TW202205379A - Semiconductor structure and method of forming the same - Google Patents

Semiconductor structure and method of forming the same Download PDF

Info

Publication number
TW202205379A
TW202205379A TW109124531A TW109124531A TW202205379A TW 202205379 A TW202205379 A TW 202205379A TW 109124531 A TW109124531 A TW 109124531A TW 109124531 A TW109124531 A TW 109124531A TW 202205379 A TW202205379 A TW 202205379A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
semiconductor
hard mask
forming
Prior art date
Application number
TW109124531A
Other languages
Chinese (zh)
Other versions
TWI731753B (en
Inventor
陳柏安
Original Assignee
新唐科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 新唐科技股份有限公司 filed Critical 新唐科技股份有限公司
Priority to TW109124531A priority Critical patent/TWI731753B/en
Priority to CN202110417436.0A priority patent/CN113964176B/en
Application granted granted Critical
Publication of TWI731753B publication Critical patent/TWI731753B/en
Publication of TW202205379A publication Critical patent/TW202205379A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

A method of forming a semiconductor structure includes sequentially forming an epitaxial layer and a semiconductor layer on a substrate. A patterned hard mask layer is formed on the semiconductor layer. The patterned hard mask layer includes at least two openings. The semiconductor layer is etched with the patterned hard mask layer as an etching mask to remove the semiconductor layer under the at least two openings. The patterned hard mask layer between the at least two openings is removed. The semiconductor layer and the epitaxial layer are etched with a remaining portion of the patterned hard mask layer as an etch mask so that the epitaxial layer has a recess and a convex portion. The convex portion is on an upper surface of the recess and under the patterned hard mask layer between the at least two openings. The remaining portion of the patterned hard mask layer is removed. A first dielectric layer having a first trench is formed on the semiconductor layer and the epitaxial layer.

Description

半導體結構及其形成方法Semiconductor structure and method of forming the same

本發明係關於半導體結構及其形成方法,特別是關於其內包含有凹槽及凸部的磊晶層的半導體結構及其形成方法。The present invention relates to a semiconductor structure and a method for forming the same, and more particularly, to a semiconductor structure including an epitaxial layer with grooves and protrusions and a method for forming the same.

由於溝槽式金屬氧化物半導體場效電晶體(trench MOSFET)中存在溝槽結構,而使其具有較小的元件間距(device pitch)與較低的閘汲極電容(Cgd ),因此能夠有效降低導通電阻(Rds_on )且降低開關損耗(switching loss)。然而,隨著使用者需求的提升,電晶體被期望具有更小的尺寸、更快的響應速度及更低的開關損耗。如果需要縮小電晶體的尺寸,通常需要相應縮小溝槽的寬度。即使如此,閘汲極電荷(Qgd )或閘汲極電容仍無法有效地變小,致使開關速度沒有顯著的改善。Due to the trench structure in the trench MOSFET, it has a smaller device pitch and a lower gate-drain capacitance (C gd ), so it can Effectively reduce on-resistance (R ds_on ) and reduce switching loss. However, as user demands increase, transistors are expected to have smaller size, faster response speed, and lower switching losses. If the size of the transistor needs to be reduced, it is usually necessary to reduce the width of the trench accordingly. Even so, the gate-drain charge (Q gd ) or gate-drain capacitance cannot be reduced effectively, resulting in no significant improvement in switching speed.

因此,目前發展出了遮蔽閘極溝槽式(shielded gate trench,SGT)MOSFET。SGT-MOSFET內設置有作為遮蔽電極(shield electrode)的源極電極,也就是在其內設置有源極遮蔽(source shielded)結構。因此,SGT-MOSFET能夠基於電荷平衡技術,來獲得更低的導通電阻與更優良的開關性能。Therefore, a shielded gate trench (SGT) MOSFET has been developed. The SGT-MOSFET is provided with a source electrode serving as a shield electrode, that is, a source shielded structure is provided therein. Therefore, SGT-MOSFET can obtain lower on-resistance and better switching performance based on charge balance technology.

惟,雖然現存的半導體結構及其形成方法已逐步滿足它們既定的用途,但它們仍未在各方面皆徹底的符合要求。因此,關於進一步加工後可做為SGT-MOSFET之半導體結構及其形成方法仍有一些問題需要克服。However, although existing semiconductor structures and methods of forming them have gradually met their intended uses, they have not yet fully met the requirements in all respects. Therefore, there are still some problems to be overcome with respect to the semiconductor structure and the method of forming it which can be used as SGT-MOSFET after further processing.

鑒於上述問題,本發明藉由進一步設置作為遮蔽電極的汲極電極,也就是進一步設置有汲極遮蔽(drain shielded)結構,以使半導體結構中的電場與電荷更加均勻,來獲得更優良的電性特徵。In view of the above problems, the present invention further provides a drain electrode as a shield electrode, that is, a drain shielded structure is further provided to make the electric field and charge in the semiconductor structure more uniform, so as to obtain a better electric field. sexual characteristics.

根據一些實施例,提供半導體結構的形成方法。半導體結構的形成方法包含:在基板上依序形成磊晶層及半導體層。在半導體層上形成圖案化硬遮罩層。圖案化硬遮罩層包含至少二開口。使用圖案化硬遮罩層作為蝕刻遮罩,並蝕刻半導體層,以移除至少二開口下方的半導體層。移除介於至少二開口之間的圖案化硬遮罩層。使用圖案化硬遮罩層的剩餘部分作為蝕刻遮罩,並蝕刻半導體層及磊晶層,以使磊晶層具有凹槽及凸部。凸部位於凹槽的底表面上且在介於至少二開口之間的圖案化硬遮罩層的下方。移除圖案化硬遮罩層的剩餘部分。在半導體層及磊晶層上形成具有第一溝槽的第一介電層。According to some embodiments, methods of forming semiconductor structures are provided. The method for forming a semiconductor structure includes: sequentially forming an epitaxial layer and a semiconductor layer on a substrate. A patterned hard mask layer is formed on the semiconductor layer. The patterned hard mask layer includes at least two openings. The patterned hard mask layer is used as an etch mask, and the semiconductor layer is etched to remove the semiconductor layer under the at least two openings. The patterned hard mask layer between the at least two openings is removed. The remaining part of the patterned hard mask layer is used as an etching mask, and the semiconductor layer and the epitaxial layer are etched so that the epitaxial layer has grooves and protrusions. The convex portion is located on the bottom surface of the groove and below the patterned hard mask layer between the at least two openings. Remove the remainder of the patterned hardmask layer. A first dielectric layer having a first trench is formed on the semiconductor layer and the epitaxial layer.

根據一些實施例,提供半導體結構。半導體結構包含:基板、磊晶層、半導體層、介電層、源極及閘極。基板具有第一導電型態。磊晶層具有第一導電型態,設置於基板上,包含凹槽及設置於凹槽的底表面上的凸部。半導體層具有不同於第一導電型態的第二導電型態,設置於磊晶層上,且不設置於凹槽上。介電層設置於磊晶層及半導體層上。源極設置於介電層上。閘極設置於介電層上。According to some embodiments, semiconductor structures are provided. The semiconductor structure includes: a substrate, an epitaxial layer, a semiconductor layer, a dielectric layer, a source electrode and a gate electrode. The substrate has a first conductivity type. The epitaxial layer has a first conductivity type, is disposed on the substrate, and includes a groove and a convex portion disposed on the bottom surface of the groove. The semiconductor layer has a second conductivity type different from the first conductivity type, is arranged on the epitaxial layer, and is not arranged on the groove. The dielectric layer is disposed on the epitaxial layer and the semiconductor layer. The source electrode is disposed on the dielectric layer. The gate electrode is arranged on the dielectric layer.

本發明的半導體結構可應用於多種類型的半導體裝置,為讓本發明之特徵和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下。The semiconductor structure of the present invention can be applied to various types of semiconductor devices. In order to make the features and advantages of the present invention more obvious and easy to understand, preferred embodiments are given below and described in detail with the accompanying drawings.

以下揭露提供了很多不同的實施例或範例,用於實施所提供的半導體結構之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在不同的範例中重複參考數字及/或字母。如此重複是為了簡明和清楚,而非用以表示所討論的不同實施例及/或形態之間的關係。The following disclosure provides many different embodiments or examples for implementing different elements of the provided semiconductor structures. Specific examples of elements and their configurations are described below to simplify embodiments of the invention. Of course, these are only examples and are not intended to limit the present invention. For example, if the description mentions that the first element is formed on the second element, it may include embodiments in which the first and second elements are in direct contact, and may also include additional elements formed between the first and second elements , so that they are not in direct contact with the examples. Furthermore, embodiments of the present invention may repeat reference numerals and/or letters in different instances. This repetition is for brevity and clarity and is not intended to represent a relationship between the different embodiments and/or aspects discussed.

以下描述實施例的一些變化。在不同圖式和說明的實施例中,相似的參考數字被用來標明相似的元件。可以理解的是,在方法的前、中、後可以提供額外的操作,且一些敘述的操作可為了該方法的其他實施例被取代或刪除。Some variations of the embodiments are described below. In the different drawings and the illustrated embodiments, like reference numerals are used to designate like elements. It will be appreciated that additional operations may be provided before, during, and after the method, and that some of the described operations may be replaced or deleted for other embodiments of the method.

第1至18圖是根據本發明的一些實施例,說明形成第19圖所示之半導體結構在各個階段的剖面示意圖。FIGS. 1-18 are schematic cross-sectional views illustrating various stages of forming the semiconductor structure shown in FIG. 19 according to some embodiments of the present invention.

參照第1圖,在基板100上依序形成磊晶層200及半導體層300。基板100可為塊材(bulk)半導體。基板100可為晶圓,例如為矽晶圓。Referring to FIG. 1 , an epitaxial layer 200 and a semiconductor layer 300 are sequentially formed on the substrate 100 . The substrate 100 may be a bulk semiconductor. The substrate 100 can be a wafer, such as a silicon wafer.

磊晶層200可包含矽、鍺、矽與鍺、III-V族化合物或上述之組合。上述磊晶層200可藉由磊晶成長(epitaxial growth)製程形成。The epitaxial layer 200 may include silicon, germanium, silicon and germanium, III-V compounds, or a combination thereof. The above-mentioned epitaxial layer 200 can be formed by an epitaxial growth process.

在一些實施例,基板100及磊晶層200具有第一導電型態,且半導體層300具有不同於第一導電型態的第二導電型態。舉例而言,若基板100及磊晶層200具有的第一導電型態為N型,則半導體層300具有的第二導電型態為P型;反之,若基板100及磊晶層200具有的第一導電型態為P型,則半導體層300具有的第二導電型態為N型。第一導電型態與第二導電型態可依據需求調整,同時,摻雜濃度、摻雜深度及摻雜區域大小亦可依據需求調整。在一些實施例中,基板100及磊晶層200具有N型導電型態;且半導體層300具有P型導電型態。在一些實施例中,半導體層300亦可於後續形成閘極之後再形成。In some embodiments, the substrate 100 and the epitaxial layer 200 have a first conductivity type, and the semiconductor layer 300 has a second conductivity type different from the first conductivity type. For example, if the first conductivity type of the substrate 100 and the epitaxial layer 200 is N-type, the second conductivity type of the semiconductor layer 300 is P-type; conversely, if the substrate 100 and the epitaxial layer 200 have the P-type conductivity The first conductivity type is P-type, and the second conductivity type of the semiconductor layer 300 is N-type. The first conductivity type and the second conductivity type can be adjusted according to requirements, and at the same time, the doping concentration, the doping depth and the size of the doping region can also be adjusted according to the requirements. In some embodiments, the substrate 100 and the epitaxial layer 200 have an N-type conductivity; and the semiconductor layer 300 has a P-type conductivity. In some embodiments, the semiconductor layer 300 can also be formed after the gate electrode is subsequently formed.

參照第2圖,在半導體層300上形成圖案化硬遮罩層400。圖案化硬遮罩層400包含至少二開口OP。圖案化硬遮罩層400的開口OP暴露出半導體層300的上表面的一部分。Referring to FIG. 2 , a patterned hard mask layer 400 is formed on the semiconductor layer 300 . The patterned hard mask layer 400 includes at least two openings OP. The opening OP of the patterned hard mask layer 400 exposes a portion of the upper surface of the semiconductor layer 300 .

圖案化硬遮罩層400可包含氧化物、氮化物或其組合。可理解的是,能夠依據製程條件搭配適合的硬遮罩材料,因此本發明之實施例並不限於此。The patterned hard mask layer 400 may include oxide, nitride, or a combination thereof. It can be understood that suitable hard mask materials can be matched according to process conditions, so the embodiments of the present invention are not limited thereto.

在一些實施例中,圖案化硬遮罩層400為氧化物。在一些實施例中,在半導體層300上形成圖案化硬遮罩層400的步驟可進一步包含:沉積氧化物層於半導體層300上:形成光阻層於氧化物層上;依照需求對光阻層進行曝光,以獲得圖案化光阻層;以及使用圖案化光阻層作為蝕刻遮罩,蝕刻氧化物層來形成圖案化氧化物層,以獲得在半導體層300上的圖案化硬遮罩層400。氧化物層可藉由化學氣相沉積(chemical vapor deposition,CVD)沉積、或其他合適的製程而得。In some embodiments, the patterned hard mask layer 400 is an oxide. In some embodiments, the step of forming the patterned hard mask layer 400 on the semiconductor layer 300 may further include: depositing an oxide layer on the semiconductor layer 300 ; forming a photoresist layer on the oxide layer; layer is exposed to obtain a patterned photoresist layer; and using the patterned photoresist layer as an etch mask, the oxide layer is etched to form a patterned oxide layer to obtain a patterned hard mask layer on the semiconductor layer 300 400. The oxide layer can be deposited by chemical vapor deposition (CVD), or other suitable processes.

使用圖案化硬遮罩層400作為蝕刻遮罩,並蝕刻半導體層300,以移除在圖案化硬遮罩層400中的至少二開口OP內的半導體層300。亦即,移除對應至少二開口OP的半導體層300的部分,使得半導體層300形成對應於至少二開口OP的凹槽301、及介於凹槽301之間的凸部302,也就是說,半導體層300的表面具有淺雙溝槽構形(shallow twin-trench formation)。在一些實施例中,經蝕刻的半導體層300未被貫穿,換句話說,半導體層300仍完全覆蓋磊晶層200的上表面,而不暴露磊晶層200的上表面。可理解的是,能夠根據需求調整蝕刻半導體層300的深度。The patterned hard mask layer 400 is used as an etch mask, and the semiconductor layer 300 is etched to remove the semiconductor layer 300 in the at least two openings OP in the patterned hard mask layer 400 . That is, the parts of the semiconductor layer 300 corresponding to the at least two openings OP are removed, so that the semiconductor layer 300 forms the grooves 301 corresponding to the at least two openings OP and the protrusions 302 between the grooves 301, that is, The surface of the semiconductor layer 300 has a shallow twin-trench formation. In some embodiments, the etched semiconductor layer 300 is not penetrated, in other words, the semiconductor layer 300 still completely covers the upper surface of the epitaxial layer 200 without exposing the upper surface of the epitaxial layer 200 . It can be understood that the depth of etching the semiconductor layer 300 can be adjusted according to requirements.

參照第3至5圖,移除介於至少二開口OP之間的圖案化硬遮罩層400。可藉由執行蝕刻製程或其他合適的製程來移除圖案化硬遮罩層400。蝕刻製程可包含乾蝕刻、濕蝕刻或其他蝕刻方法(例如,反應式離子蝕刻)。蝕刻製程也可以是純化學蝕刻(電漿蝕刻)、純物理蝕刻(離子研磨)或其組合。Referring to FIGS. 3 to 5 , the patterned hard mask layer 400 between the at least two openings OP is removed. The patterned hard mask layer 400 may be removed by performing an etching process or other suitable process. The etching process may include dry etching, wet etching, or other etching methods (eg, reactive ion etching). The etching process can also be pure chemical etching (plasma etching), pure physical etching (ion milling), or a combination thereof.

在一些實施例中,圖案化硬遮罩層400可包含介於至少二開口OP之間的第一圖案化硬遮罩400A、以及第二圖案化硬遮罩400B。在一些實施例中,第二圖案化硬遮罩400B可相鄰於第一圖案化硬遮罩400A。在一些實施例中,第二圖案化硬遮罩400B與相鄰於其的第一圖案化硬遮罩400A之間具有開口OP。在一些實施例中,第一圖案化硬遮罩400A可介於兩個相鄰的第二圖案化硬遮罩400B之間。在一些實施例中,藉由執行蝕刻製程來移除第一圖案化硬遮罩400A,並保留第二圖案化硬遮罩400B,來調整作為後續蝕刻製程的蝕刻遮罩的圖案化硬遮罩層400的圖案。In some embodiments, the patterned hard mask layer 400 may include a first patterned hard mask 400A and a second patterned hard mask 400B between at least two openings OP. In some embodiments, the second patterned hard mask 400B may be adjacent to the first patterned hard mask 400A. In some embodiments, the second patterned hard mask 400B has an opening OP between the first patterned hard mask 400A adjacent thereto. In some embodiments, the first patterned hard mask 400A may be interposed between two adjacent second patterned hard masks 400B. In some embodiments, an etching process is performed to remove the first patterned hard mask 400A and leave the second patterned hard mask 400B to adjust the patterned hard mask as an etching mask for a subsequent etching process The pattern of layer 400.

詳細而言,如第3圖所示,在移除介於至少二開口OP之間的第一圖案化硬遮罩400A之前,形成光阻層500於第二圖案化硬遮罩400B上。光阻層500的形成可藉由旋轉塗佈製程來塗佈合適的光阻劑於半導體層300及圖案化硬遮罩層400上,並使用適合的光罩以曝光光阻劑來形成僅遮蔽第二圖案化硬遮罩400B,而未遮蔽第一圖案化硬遮罩400A的光阻層500。接著,如第4圖所示,移除未被光阻層500遮蔽的介於至少二開口OP之間的第一圖案化硬遮罩400A,以暴露第一圖案化硬遮罩400A下方的半導體層300。也就是說,藉由移除第一圖案化硬遮罩400A,暴露出半導體層300的凸部302的頂表面及側壁。半導體層300的凸部302可對應於已被移除之圖案化硬遮罩400的第一圖案化硬遮罩400A下方的位置處。然後,如第5圖所示,在移除第一圖案化硬遮罩400A之後,再移除光阻層500。光阻層500可使用灰化(ashing)及/或濕式去除(wet strip)製程來移除。In detail, as shown in FIG. 3 , before removing the first patterned hard mask 400A between the at least two openings OP, a photoresist layer 500 is formed on the second patterned hard mask 400B. The photoresist layer 500 can be formed by applying a suitable photoresist on the semiconductor layer 300 and the patterned hard mask layer 400 by a spin coating process, and using a suitable mask to expose the photoresist to form a mask only The second patterned hard mask 400B does not mask the photoresist layer 500 of the first patterned hard mask 400A. Next, as shown in FIG. 4 , the first patterned hard mask 400A between the at least two openings OP that is not shielded by the photoresist layer 500 is removed to expose the semiconductor under the first patterned hard mask 400A Layer 300. That is, by removing the first patterned hard mask 400A, the top surface and sidewalls of the protrusions 302 of the semiconductor layer 300 are exposed. The convex portion 302 of the semiconductor layer 300 may correspond to a position below the first patterned hard mask 400A of the patterned hard mask 400 that has been removed. Then, as shown in FIG. 5 , after removing the first patterned hard mask 400A, the photoresist layer 500 is removed. The photoresist layer 500 may be removed using ashing and/or wet strip processes.

根據本發明的一些實施例,藉由設置光阻層500於圖案化硬遮罩層400上;移除圖案化硬遮罩層400的一部分,也就是移除第一圖案化硬遮罩400A;且保留圖案化硬遮罩層400的剩餘部分,也就是保留第二圖案化硬遮罩400B,來調整作為後續蝕刻製程的蝕刻遮罩的圖案化硬遮罩層400的圖案。According to some embodiments of the present invention, by disposing the photoresist layer 500 on the patterned hard mask layer 400; removing a part of the patterned hard mask layer 400, that is, removing the first patterned hard mask 400A; And the remaining part of the patterned hard mask layer 400 is retained, that is, the second patterned hard mask 400B is retained to adjust the pattern of the patterned hard mask layer 400 as an etching mask for the subsequent etching process.

參照第6圖,使用圖案化硬遮罩層的剩餘部分,亦即使用第二圖案化硬遮罩400B作為蝕刻遮罩,並蝕刻半導體層300及磊晶層200,根據特定的蝕刻速率及蝕刻選擇性,使得半導體層300的表面的圖案轉移到磊晶層200的表面上。由於半導體層300的表面具有凹槽301及介於凹槽301之間的凸部302,因此經過蝕刻製程後,磊晶層200亦可具有凹槽210及凸部220。半導體層300雖設置於磊晶層200上,但不設置於凹槽210上。凹槽210朝向基板100的方向凹入。在磊晶層200中,凹槽210的底表面最接近基板100。凸部220可位於凹槽210的底表面上。凸部220可對應於已被移除之圖案化硬遮罩400的第一圖案化硬遮罩400A下方、及半導體層300的凸部302的下方的位置處。Referring to FIG. 6 , the remaining part of the patterned hard mask layer is used, that is, the second patterned hard mask 400B is used as an etching mask, and the semiconductor layer 300 and the epitaxial layer 200 are etched according to a specific etching rate and etching rate The selectivity enables the pattern of the surface of the semiconductor layer 300 to be transferred onto the surface of the epitaxial layer 200 . Since the surface of the semiconductor layer 300 has the grooves 301 and the protrusions 302 between the grooves 301 , after the etching process, the epitaxial layer 200 can also have the grooves 210 and the protrusions 220 . Although the semiconductor layer 300 is disposed on the epitaxial layer 200 , it is not disposed on the groove 210 . The groove 210 is concave toward the direction of the substrate 100 . In the epitaxial layer 200 , the bottom surface of the groove 210 is closest to the substrate 100 . The convex part 220 may be located on the bottom surface of the groove 210 . The convex portion 220 may correspond to a position below the first patterned hard mask 400A of the patterned hard mask 400 that has been removed, and below the convex portion 302 of the semiconductor layer 300 .

在一些實施例中,凸部220從凹槽210的底表面沿著遠離基板100的方向外延伸。且以第6圖中的虛線作為虛擬基準線時,凸部220具有高度H。較佳地,高度H可為溝槽深度L的1/2~1/6,其中溝槽深度L為半導體層300的底部至第6圖中的虛擬基準線的距離;更佳地可為1/3~1/5。凸部220的寬度W可對應於第一圖案化硬遮罩400A的寬度。較佳地,寬度W可為磊晶層200的凹槽210的寬度的1/2~3/1;更佳地可為1/1~2/1。在此,磊晶層200的凸部220可作為汲極遮蔽結構(drain shielded structure),設置於後續所形成的SGT-MOSFET中。因此,本發明能夠藉由設置汲極遮蔽結構,增加遮蔽電極在漂移區域的深度,進一步改善電荷平衡效應,使得電荷能夠分布地更加均勻,同時讓最大的電場強度遠離溝槽的角落位置,來達到降低導通電阻及提高元件崩潰電壓的目的。In some embodiments, the protrusion 220 extends outward from the bottom surface of the groove 210 in a direction away from the substrate 100 . In addition, when the dotted line in FIG. 6 is used as a virtual reference line, the convex portion 220 has a height H. As shown in FIG. Preferably, the height H can be 1/2~1/6 of the trench depth L, wherein the trench depth L is the distance from the bottom of the semiconductor layer 300 to the virtual reference line in FIG. 6; more preferably, it can be 1 /3~1/5. The width W of the convex portion 220 may correspond to the width of the first patterned hard mask 400A. Preferably, the width W may be 1/2˜3/1 of the width of the groove 210 of the epitaxial layer 200 ; more preferably, it may be 1/1˜2/1. Here, the protruding portion 220 of the epitaxial layer 200 can be used as a drain shielded structure to be disposed in the SGT-MOSFET to be formed subsequently. Therefore, the present invention can increase the depth of the shielding electrode in the drift region by setting the drain shielding structure, further improve the charge balance effect, make the charge distribution more uniform, and keep the maximum electric field strength away from the corners of the trench to achieve To achieve the purpose of reducing the on-resistance and increasing the breakdown voltage of the component.

在一些實施例中,由於開口OP的寬度對應於凸部220與凹槽210的側壁之間的距離D,因此可藉由調整開口OP的寬度來調整凸部220與凹槽210的側壁之間的距離D。較佳地,距離D不為0。較佳地,距離D與寬度W的比例為1:3~2:1;更佳地為,1:2~1:1。進而,藉由改變凸部220與凹槽210的側壁之間的距離D,調整介於凸部220與凹槽210的側壁之間的電容大小,來降低磊晶層200中的磊晶阻抗(epitaxy resistance),使導通電阻降低,崩潰電壓提高,且維持閘極電荷(Qg),而不使閘極電荷增加。所以,後續所形成的SGT-MOSFET的效能指數(Figure of Merits,FOM)能夠更加改善,而達到降低高壓端的切換損耗、降低低壓端的導通損耗、及提升電路效率的目的。In some embodiments, since the width of the opening OP corresponds to the distance D between the convex portion 220 and the sidewall of the groove 210 , the width between the convex portion 220 and the sidewall of the groove 210 can be adjusted by adjusting the width of the opening OP. distance D. Preferably, the distance D is not zero. Preferably, the ratio of the distance D to the width W is 1:3~2:1; more preferably, 1:2~1:1. Furthermore, by changing the distance D between the convex portion 220 and the sidewall of the groove 210, the capacitance between the convex portion 220 and the sidewall of the groove 210 is adjusted to reduce the epitaxial resistance in the epitaxial layer 200 ( epitaxy resistance), reducing the on-resistance, increasing the breakdown voltage, and maintaining the gate charge (Qg) without increasing the gate charge. Therefore, the Figure of Merits (FOM) of the subsequently formed SGT-MOSFET can be further improved, so as to reduce the switching loss of the high-voltage side, reduce the conduction loss of the low-voltage side, and improve the circuit efficiency.

參照第7圖,移除第二圖案化硬遮罩400B,以暴露半導體層300的上表面。移除第二圖案化硬遮罩400B的製程與移除第一圖案化硬遮罩400A的製程相同,或者可以任何合適製程進行移除。接者,在半導體層300及磊晶層200上形成具有第一溝槽T1的第一介電層600,以獲得本發明的半導體結構。第一介電層600可為氧化矽、氮化矽、氮氧化矽、高介電常數(high-k)介電材料、或其它任何適合之介電材料、或上述之組合。高介電常數介電材料之材料可為金屬氧化物、金屬氮化物、金屬矽化物、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽化物、金屬的氮氧化物、金屬鋁酸鹽、鋯矽酸鹽、鋯鋁酸鹽。在一些實施例中,第一介電層600可包含氧化物。Referring to FIG. 7 , the second patterned hard mask 400B is removed to expose the upper surface of the semiconductor layer 300 . The process for removing the second patterned hard mask 400B is the same as the process for removing the first patterned hard mask 400A, or it can be removed by any suitable process. Next, a first dielectric layer 600 having a first trench T1 is formed on the semiconductor layer 300 and the epitaxial layer 200 to obtain the semiconductor structure of the present invention. The first dielectric layer 600 may be silicon oxide, silicon nitride, silicon oxynitride, high-k dielectric material, or any other suitable dielectric material, or a combination thereof. The material of the high dielectric constant dielectric material can be metal oxide, metal nitride, metal silicide, transition metal oxide, transition metal nitride, transition metal silicide, metal oxynitride, metal aluminate, zirconium Silicates, zirconium aluminates. In some embodiments, the first dielectric layer 600 may include oxide.

第一介電層600可藉由CVD或熱氧化法形成。CVD可為低壓化學氣相沉積法(low pressure chemical vapor deposition,LPCVD)、低溫化學氣相沉積法(low temperature chemical vapor deposition,LTCVD)、快速升溫化學氣相沉積法(rapid thermal chemical vapor deposition,RTCVD)、PECVD、原子層化學氣相沉積法之原子層沉積法(atomic layer deposition,ALD)或其它合適的CVD製程。The first dielectric layer 600 may be formed by CVD or thermal oxidation. CVD can be low pressure chemical vapor deposition (LPCVD), low temperature chemical vapor deposition (LTCVD), rapid thermal chemical vapor deposition (RTCVD) ), PECVD, atomic layer deposition (ALD) or other suitable CVD process.

在一些實施例中,第一介電層600順應性地形成於磊晶層200及半導體層300上。具體而言,第一介電層600設置於半導體層300的頂表面及側壁上、磊晶層200的凹槽210的側壁及底表面上、及磊晶層200的凸部220的側壁及頂表面上。In some embodiments, the first dielectric layer 600 is conformally formed on the epitaxial layer 200 and the semiconductor layer 300 . Specifically, the first dielectric layer 600 is disposed on the top surface and sidewall of the semiconductor layer 300 , on the sidewall and bottom surface of the groove 210 of the epitaxial layer 200 , and on the sidewall and top of the convex portion 220 of the epitaxial layer 200 on the surface.

需特別說明的是,一般而言,SGT-MOSFET可分為左右分離閘極式(left and right split gate)及上下分離閘極式(up and down split gate)。常見地,左右分離閘極式的遮蔽電極夾設於閘極之間,而上下分離閘極式的遮蔽電極則設置於閘極下方。本發明的半導體結構可廣泛地應用於上述兩種常見的SGT-MOSFET,且本發明的半導體結構的形成方法能夠在僅改變少數步驟的情況下,增設汲極遮蔽結構來進一步改善SGT-MOSFET的電性特徵。It should be noted that, generally speaking, SGT-MOSFET can be divided into left and right split gate type and up and down split gate type. Commonly, the shield electrodes of the left and right separated gate type are sandwiched between the gate electrodes, and the shield electrodes of the upper and lower separated gate type are arranged below the gate electrodes. The semiconductor structure of the present invention can be widely applied to the above two common SGT-MOSFETs, and the formation method of the semiconductor structure of the present invention can further improve the SGT-MOSFET by adding a drain shielding structure under the condition of changing only a few steps. electrical characteristics.

根據本發明的一些實施例,藉由調整第一介電層600的形狀,來調整後續形成的源極700及/或閘極800的形狀,進而改變閘極以及汲極電容,來提升效能。此外,本發明的半導體結構可藉由執行進一步的製程來形成本發明實施例之一的SGT-MOSFET。According to some embodiments of the present invention, by adjusting the shape of the first dielectric layer 600, the shape of the source electrode 700 and/or the gate electrode 800 formed subsequently is adjusted, thereby changing the gate and drain capacitances to improve performance. In addition, the semiconductor structure of the present invention can be formed by performing further processes to form the SGT-MOSFET of one of the embodiments of the present invention.

在一些實施例中,第一介電層600具有第一溝槽T1。第一溝槽T1具有實質上平坦的底表面,且第一溝槽T1的底表面實質上平行於凸部220的頂表面,因此,於後將包含此種第一介電層600形狀的半導體結構稱為半導體結構1。在一些實施例中,第一介電層600具有第一溝槽T1。第一溝槽T1的底表面實質上不為平坦。第一溝槽T1具有對應於遠離基板100延伸的凸部220的凸出形狀,因此,於後將包含此種第一介電層600形狀的半導體結構稱為半導體結構2(繪示於第20圖)。In some embodiments, the first dielectric layer 600 has a first trench T1. The first trench T1 has a substantially flat bottom surface, and the bottom surface of the first trench T1 is substantially parallel to the top surface of the protruding portion 220 . Therefore, the semiconductor in the shape of the first dielectric layer 600 will be included in the following. The structure is called semiconductor structure 1. In some embodiments, the first dielectric layer 600 has a first trench T1. The bottom surface of the first trench T1 is not substantially flat. The first trench T1 has a protruding shape corresponding to the protruding portion 220 extending away from the substrate 100 . Therefore, the semiconductor structure including the shape of the first dielectric layer 600 is hereinafter referred to as the semiconductor structure 2 (shown in the 20th picture).

以下,以SGT-MOSFET的左右分離閘極式為範例進行說明:In the following, the left and right split gate type of SGT-MOSFET is used as an example to explain:

參照第8至13圖,基於半導體結構1,在第一溝槽T1具有實質上平坦的底表面的情況下,形成源極700及閘極800於第一介電層600上。其中,形成源極700於磊晶層200的凸部220上。形成閘極800於磊晶層200的凹槽210上,但不形成於磊晶層200的凸部220上,而形成於源極700的兩側的位置處。Referring to FIGS. 8 to 13 , based on the semiconductor structure 1 , the source electrode 700 and the gate electrode 800 are formed on the first dielectric layer 600 under the condition that the first trench T1 has a substantially flat bottom surface. The source electrode 700 is formed on the convex portion 220 of the epitaxial layer 200 . The gate electrode 800 is formed on the groove 210 of the epitaxial layer 200 , but is not formed on the convex portion 220 of the epitaxial layer 200 , but is formed at positions on both sides of the source electrode 700 .

詳細而言,如第8圖所示,填入第一材料於第一介電層600的第一溝槽T1中,以形成源極700。第一材料為導電材料,且可包含多晶矽、非晶矽、金屬、金屬氮化物、導電金屬氧化物、或其他合適的材料。在一些實施例中,第一材料可為多晶矽。填入第一材料的方法包含:CVD、濺鍍法、電阻加熱蒸鍍法、電子束蒸鍍法、或其它任何適合的沈積製程,但不限於此。此外,在填入第一材料之後,可進一步執行回蝕刻(etchback)或化學機械研磨(chemical mechanical polishing,CMP)製程,以使源極700的頂表面與第一介電層600的頂表面實質上共平面。In detail, as shown in FIG. 8 , a first material is filled in the first trench T1 of the first dielectric layer 600 to form the source electrode 700 . The first material is a conductive material, and may include polysilicon, amorphous silicon, metal, metal nitride, conductive metal oxide, or other suitable materials. In some embodiments, the first material may be polysilicon. The method of filling the first material includes, but is not limited to, CVD, sputtering, resistance heating evaporation, electron beam evaporation, or any other suitable deposition process. In addition, after filling the first material, an etchback or chemical mechanical polishing (CMP) process may be further performed, so that the top surface of the source electrode 700 and the top surface of the first dielectric layer 600 are substantially substantially upper coplanar.

接著,如第9圖所示,回蝕(etching back)源極700,使源極700的頂表面低於第一介電層600的頂表面。如第10圖所示,蝕刻第一介電層600,直至露出半導體層300的上表面,露出源極700的側壁的一部份,且露出磊晶層200的凹槽210的側壁的一部分,以形成第二溝槽T2。第二溝槽T2介於半導體層300與源極700之間。如第11圖所示,順應性地形成第二介電層610於第二溝槽T2上、半導體層300上及源極700上。第二介電層610具有第三溝槽T3。在一些實施例中,第二介電層610與第一介電層600可以相同或不同材料形成。在一些實施例中,第二介電層610可為氧化矽、氮化矽、氮氧化矽、低介電常數(low-k)介電材料、或其它任何適合之介電材料、或上述之組合。在一些實施例中,第二介電層610可包含氧化物。在一些實施例中,第二介電層610與第一介電層600可以相同或不同的製程形成。Next, as shown in FIG. 9 , the source electrode 700 is etched back so that the top surface of the source electrode 700 is lower than the top surface of the first dielectric layer 600 . As shown in FIG. 10, the first dielectric layer 600 is etched until the upper surface of the semiconductor layer 300 is exposed, a part of the sidewall of the source electrode 700 is exposed, and a part of the sidewall of the groove 210 of the epitaxial layer 200 is exposed, to form the second trench T2. The second trench T2 is interposed between the semiconductor layer 300 and the source electrode 700 . As shown in FIG. 11 , a second dielectric layer 610 is conformally formed on the second trench T2 , the semiconductor layer 300 and the source electrode 700 . The second dielectric layer 610 has a third trench T3. In some embodiments, the second dielectric layer 610 and the first dielectric layer 600 may be formed of the same material or different materials. In some embodiments, the second dielectric layer 610 may be silicon oxide, silicon nitride, silicon oxynitride, low-k dielectric material, or any other suitable dielectric material, or any of the above combination. In some embodiments, the second dielectric layer 610 may include oxide. In some embodiments, the second dielectric layer 610 and the first dielectric layer 600 may be formed by the same or different processes.

如第12圖所示,填入第二材料於第三溝槽T3中,以形成閘極800。在一些實施例中,第二材料與第一材料可以相同或不同材料形成。在一些實施例中,第二材料與第一材料可以相同或不同的製程形成。在一些實施例中,第二材料可為多晶矽。As shown in FIG. 12 , the second material is filled in the third trench T3 to form the gate electrode 800 . In some embodiments, the second material and the first material may be formed of the same or different materials. In some embodiments, the second material and the first material may be formed by the same or different processes. In some embodiments, the second material may be polysilicon.

如第13圖所示,當填入第二材料於第三溝槽T3後,可進一步執行平坦化製程,以平坦化第二材料,直至露出源極700的上表面,且保留第二介電層610的至少一部分於半導體層300上。源極700可夾設於閘極800之間。平坦化製程可包含使用例如:CMP製程來進行。As shown in FIG. 13, after the second material is filled in the third trench T3, a planarization process may be further performed to planarize the second material until the upper surface of the source electrode 700 is exposed and the second dielectric is retained At least a portion of layer 610 is on semiconductor layer 300 . The source electrode 700 can be sandwiched between the gate electrodes 800 . The planarization process may include using, for example, a CMP process.

如第14圖所示,形成第一摻雜區310於半導體層300的遠離基板100之表面。形成第一摻雜區310的方式包含:舉例而言,離子植入(ion implantation)或擴散(diffusion)製程來形成,但不限於此。另外,還可藉由快速熱退火(rapid thermal annealing,RTA)製程來活化被植入的摻質。As shown in FIG. 14 , a first doped region 310 is formed on the surface of the semiconductor layer 300 away from the substrate 100 . The manner of forming the first doped region 310 includes, for example, ion implantation or diffusion process, but is not limited thereto. In addition, the implanted dopants can be activated by a rapid thermal annealing (RTA) process.

如第15圖所示,形成層間介電(interlayer dielectric)層900於第一摻雜區310、源極700、閘極800及第二介電層610的上方。在一些實施例中,層間介電層900與第二介電層610可以相同材料形成。在一些實施例中,層間介電層900與第二介電層610可以相同或不同的製程形成。As shown in FIG. 15 , an interlayer dielectric layer 900 is formed over the first doped region 310 , the source electrode 700 , the gate electrode 800 and the second dielectric layer 610 . In some embodiments, the interlayer dielectric layer 900 and the second dielectric layer 610 may be formed of the same material. In some embodiments, the interlayer dielectric layer 900 and the second dielectric layer 610 may be formed by the same or different processes.

如第16圖所示,形成接觸通孔CT。接觸通孔CT可貫穿第一摻雜區310、第二介電層610及層間介電層900。接觸通孔CT不貫穿半導體層300。接觸通孔CT暴露設置於磊晶層200上的半導體層300的一部分。As shown in FIG. 16, the contact through hole CT is formed. The contact via CT may penetrate through the first doped region 310 , the second dielectric layer 610 and the interlayer dielectric layer 900 . The contact through hole CT does not penetrate the semiconductor layer 300 . The contact through hole CT exposes a portion of the semiconductor layer 300 disposed on the epitaxial layer 200 .

如第17圖所示,形成第二摻雜區320於接觸通孔CT下且於半導體層300中。第一摻雜區310與第二摻雜區320具有不同的導電型態。如第18圖所示,填入通孔材料於接觸通孔CT中,以形成接觸插塞330。在一些實施例中,通孔材料可包含金屬材料、導電材料、或其他合適的材料。如第19圖所示,形成金屬層910於層間介電層900上,使金屬層910與接觸插塞330彼此接觸,以獲得本發明實施例之一的SGT-MOSFET。As shown in FIG. 17 , a second doped region 320 is formed under the contact via CT and in the semiconductor layer 300 . The first doped region 310 and the second doped region 320 have different conductivity types. As shown in FIG. 18 , the through hole material is filled in the contact through hole CT to form the contact plug 330 . In some embodiments, the via material may comprise metallic material, conductive material, or other suitable material. As shown in FIG. 19, a metal layer 910 is formed on the interlayer dielectric layer 900, so that the metal layer 910 and the contact plug 330 are in contact with each other, so as to obtain an SGT-MOSFET according to an embodiment of the present invention.

在一些實施例中,基板100、磊晶層200、以及第一摻雜區310具有第一導電型態。第一摻雜區310的摻雜濃度可高於基板100及磊晶層200的摻雜濃度。半導體層300及第二摻雜區320具有不同於第一導電型態的第二導電型態。第二摻雜區320的摻雜濃度可高於半導體層300的摻雜濃度。具體而言,當基板100與磊晶層200為N型,半導體層300為P型,則第一摻雜區310可為重摻雜之N+型態,且第二摻雜區320可為重摻雜之P+型態。In some embodiments, the substrate 100 , the epitaxial layer 200 , and the first doped region 310 have a first conductivity type. The doping concentration of the first doping region 310 may be higher than the doping concentration of the substrate 100 and the epitaxial layer 200 . The semiconductor layer 300 and the second doped region 320 have a second conductivity type different from the first conductivity type. The doping concentration of the second doping region 320 may be higher than that of the semiconductor layer 300 . Specifically, when the substrate 100 and the epitaxial layer 200 are N-type and the semiconductor layer 300 is P-type, the first doped region 310 can be a heavily doped N+ type, and the second doped region 320 can be heavily doped The P+ form.

在本發明的一些實施例中,半導體結構可包含源極700、閘極800及凸部220。源極700夾設於閘極800中。源極700可為作為遮蔽電極(shield electrode)的源極電極。閘極800可為閘極電極。凸部220則可為作為遮蔽電極的汲極電極。藉由於磊晶層200中進一步設置凸部220,改變凸部220與磊晶層200的凹槽210的側壁之間的電容,來提升SGT-MOSFET左右分離閘極式的電性性能。In some embodiments of the present invention, the semiconductor structure may include a source electrode 700 , a gate electrode 800 and a bump 220 . The source electrode 700 is sandwiched in the gate electrode 800 . The source electrode 700 may be a source electrode serving as a shield electrode. The gate 800 may be a gate electrode. The convex portion 220 can be a drain electrode serving as a shielding electrode. By further disposing the convex portion 220 in the epitaxial layer 200, the capacitance between the convex portion 220 and the sidewall of the groove 210 of the epitaxial layer 200 is changed, so as to improve the electrical performance of the left and right split gate type of the SGT-MOSFET.

以下,另以SGT-MOSFET的上下分離閘極式為範例進行說明:In the following, the upper and lower separated gate type of SGT-MOSFET is used as an example to illustrate:

為使便於說明,由於在此之前的所有步驟皆參照第1至6圖所述,因此不再加以贅述。此外,與前述步驟類似之步驟亦不再多加贅述。For the convenience of description, since all the previous steps are described with reference to FIGS. 1 to 6 , they will not be repeated here. In addition, steps similar to the aforementioned steps will not be described again.

類似於第7至13圖,參照第20至25圖,將源極700形成於磊晶層200的凹槽210上,並將閘極800形成於源極700上,以使閘極相較於源極700更遠離基板100。Similar to FIGS. 7 to 13, referring to FIGS. 20 to 25, a source electrode 700 is formed on the groove 210 of the epitaxial layer 200, and a gate electrode 800 is formed on the source electrode 700, so that the gate electrode is relatively The source electrode 700 is further away from the substrate 100 .

須說明的是,如第20圖所示,由於源極700的底表面的形狀會受到第一介電層600的第一溝槽T1的影響,因此在下文中,基於半導體結構2進行說明。在本實施例中,因為第一介電層600順應性地形成於磊晶層200及半導體層300上方,所以第一介電層600在對應於凸部220的相對位置上方形成突出表面600a,使得第一介電層600的第一溝槽T1的底表面實質上不為平坦,亦即第一溝槽T1具有對應於遠離基板100延伸的凸部220的凸出形狀。如上所述,接續進行形成源極700及閘極800於第一介電層600上的步驟的說明。此外,當基於半導體結構1,也就是當第一溝槽T1具有實質上平坦的底表面時,可形成具有對應的平坦底表面的源極700,且此半導體結構1仍可執行進一步製程來獲得本發明實施例之一的SGT-MOSFET。It should be noted that, as shown in FIG. 20 , since the shape of the bottom surface of the source electrode 700 is affected by the first trench T1 of the first dielectric layer 600 , the following description is based on the semiconductor structure 2 . In this embodiment, since the first dielectric layer 600 is compliantly formed over the epitaxial layer 200 and the semiconductor layer 300 , the first dielectric layer 600 forms the protruding surface 600 a above the relative position corresponding to the convex portion 220 , The bottom surface of the first trench T1 of the first dielectric layer 600 is not substantially flat, that is, the first trench T1 has a protruding shape corresponding to the protruding portion 220 extending away from the substrate 100 . As described above, the description of the steps of forming the source electrode 700 and the gate electrode 800 on the first dielectric layer 600 is continued. In addition, when based on the semiconductor structure 1, that is, when the first trench T1 has a substantially flat bottom surface, a source electrode 700 with a corresponding flat bottom surface can be formed, and the semiconductor structure 1 can still be obtained by further processes. The SGT-MOSFET of one of the embodiments of the present invention.

如第21圖所示,填入第一材料於第一介電層600的第一溝槽T1中,以形成源極700。其中,由於第一介電層600的第一溝槽T1中形成有對應於磊晶層200的凸部220的凸出形狀,亦即對應於第一介電層600的突出表面600a,因此,設置於第一溝槽T1中的源極700具有對應於磊晶層200的凸部220的凹入形狀。換句話說,在一些實施例中,源極700具有朝向基板100延伸的兩個延伸部分,而在源極700的延伸部分之間的部分係以遠離基板100的方向凹入。As shown in FIG. 21 , a first material is filled in the first trench T1 of the first dielectric layer 600 to form a source electrode 700 . Wherein, since the first trench T1 of the first dielectric layer 600 has a protruding shape corresponding to the protruding portion 220 of the epitaxial layer 200, that is, corresponding to the protruding surface 600a of the first dielectric layer 600, therefore, The source electrode 700 disposed in the first trench T1 has a concave shape corresponding to the convex portion 220 of the epitaxial layer 200 . In other words, in some embodiments, the source electrode 700 has two extending portions extending toward the substrate 100 , and the portion between the extending portions of the source electrode 700 is recessed in a direction away from the substrate 100 .

如第22圖所示,蝕刻第一介電層600並移除源極700的一部分,直至第一介電層600的頂表面與源極700的頂表面實質上共平面,且第一介電層600的頂表面低於磊晶層200與半導體層300的界面,以形成第二溝槽T2。As shown in FIG. 22, the first dielectric layer 600 is etched and a portion of the source electrode 700 is removed until the top surface of the first dielectric layer 600 and the top surface of the source electrode 700 are substantially coplanar, and the first dielectric The top surface of the layer 600 is lower than the interface between the epitaxial layer 200 and the semiconductor layer 300 to form the second trench T2.

如第23圖所示,形成第二介電層610於第二溝槽T2上、及半導體層300上。第二介電層610具有第三溝槽T3。如第24圖所示,填入第二材料於第三溝槽T3中,以形成閘極800。閘極800的寬度可大於源極700。此外,填入第二材料之後,可進一步執行CMP製程,以使閘極800的頂表面與第二介電層610的頂表面實質上共平面。如第25圖所示,回蝕閘極800,使閘極800的頂表面低於第二介電層610的頂表面。As shown in FIG. 23 , a second dielectric layer 610 is formed on the second trench T2 and on the semiconductor layer 300 . The second dielectric layer 610 has a third trench T3. As shown in FIG. 24 , the second material is filled in the third trench T3 to form the gate electrode 800 . The width of the gate electrode 800 may be greater than that of the source electrode 700 . In addition, after filling the second material, a CMP process may be further performed, so that the top surface of the gate electrode 800 and the top surface of the second dielectric layer 610 are substantially coplanar. As shown in FIG. 25 , the gate electrode 800 is etched back so that the top surface of the gate electrode 800 is lower than the top surface of the second dielectric layer 610 .

接續上述,類似於第14至19圖,參照第26至31圖,形成第一摻雜區310、第二摻雜區320、接觸插塞330、層間介電層900、及金屬層910,以獲得本發明實施例之一的SGT-MOSFET。在此,與上述內容相似的敘述不在加以贅述。特別地,由於在本實施例中閘極800的頂表面低於第二介電層610的頂表面,因此於設置層間介電層900於第二介電層上時,層間介電層900具有朝向基板100延伸的部分。Continuing the above, similar to FIGS. 14 to 19 , referring to FIGS. 26 to 31 , the first doped region 310 , the second doped region 320 , the contact plug 330 , the interlayer dielectric layer 900 , and the metal layer 910 are formed to The SGT-MOSFET of one of the embodiments of the present invention is obtained. Here, descriptions similar to those described above will not be repeated here. In particular, since the top surface of the gate electrode 800 is lower than the top surface of the second dielectric layer 610 in this embodiment, when the interlayer dielectric layer 900 is disposed on the second dielectric layer, the interlayer dielectric layer 900 has A portion extending toward the substrate 100 .

在本發明的一些實施例中,半導體結構可包含源極700、閘極800及凸部220。源極700設置於閘極800下。源極700可為作為遮蔽電極的源極電極。凸部220則可為作為遮蔽電極的汲極電極。藉由於磊晶層200中進一步設置凸部220,改變凸部220與磊晶層200的凹槽210的側壁之間的電容,來提升SGT-MOSFET上下分離閘極式的電性性能。In some embodiments of the present invention, the semiconductor structure may include a source electrode 700 , a gate electrode 800 and a bump 220 . The source electrode 700 is disposed under the gate electrode 800 . The source electrode 700 may be a source electrode serving as a shielding electrode. The convex portion 220 can be a drain electrode serving as a shielding electrode. By further disposing the protruding portion 220 in the epitaxial layer 200, the capacitance between the protruding portion 220 and the sidewall of the groove 210 of the epitaxial layer 200 is changed, so as to improve the electrical performance of the upper and lower separated gate type of the SGT-MOSFET.

綜上所述,根據本發明的一些實施例,本發明藉由同時設置源極遮蔽結構與汲極遮蔽結構來進一步改善電性性能。舉例而言,能夠降低導通電阻、降低開關損耗。此外,由於本發明藉由調整磊晶層的形狀,來設置凸部於磊晶層上,因此本發明所述的形成方法能夠廣泛應用於各種電晶體的改良,舉例而言,無論是SGT-MOSFET左右分離閘極式或上下分離閘極式皆適用。To sum up, according to some embodiments of the present invention, the present invention further improves the electrical performance by arranging the source shielding structure and the drain shielding structure at the same time. For example, on-resistance and switching loss can be reduced. In addition, since the present invention arranges the protrusions on the epitaxial layer by adjusting the shape of the epitaxial layer, the formation method of the present invention can be widely applied to the improvement of various transistors, for example, whether it is SGT- MOSFET left and right separated gate type or upper and lower separated gate type are applicable.

雖然本揭露的實施例及其優點已揭露如上,但應該瞭解的是,任何所屬技術領域中具有通常知識者,在不脫離本揭露之精神和範圍內,當可作更動、替代與潤飾。此外,本揭露之保護範圍並未侷限於說明書內所述特定實施例中的製程、機器、製造、物質組成、裝置、方法及步驟,任何所屬技術領域中具有通常知識者可從本揭露一些實施例之揭示內容中理解現行或未來所發展出的製程、機器、製造、物質組成、裝置、方法及步驟,只要可以在此處所述實施例中實施大抵相同功能或獲得大抵相同結果皆可根據本揭露一些實施例使用。因此,本揭露之保護範圍包括上述製程、機器、製造、物質組成、裝置、方法及步驟。另外,每一申請專利範圍構成個別的實施例,且本揭露之保護範圍也包括各個申請專利範圍及實施例的組合。Although the embodiments of the present disclosure and their advantages have been disclosed above, it should be understood that those skilled in the art can make changes, substitutions and modifications without departing from the spirit and scope of the present disclosure. In addition, the protection scope of the present disclosure is not limited to the process, machine, manufacture, material composition, device, method and steps in the specific embodiments described in the specification. Anyone with ordinary knowledge in the technical field can learn some implementations from the present disclosure. In the disclosure of the examples, it is understood that processes, machines, manufactures, compositions of matter, devices, methods and steps developed in the present or in the future, as long as substantially the same functions can be implemented or substantially the same results can be obtained in the embodiments described herein. Some embodiments of the present disclosure are used. Therefore, the protection scope of the present disclosure includes the above-mentioned processes, machines, manufactures, compositions of matter, devices, methods and steps. In addition, each claimed scope constitutes a separate embodiment, and the protection scope of the present disclosure also includes the combination of each claimed scope and the embodiments.

以上概述數個實施例,以便在本發明所屬技術領域中具有通常知識者可以更理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應該理解到,此類等效的製程和結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍之下,做各式各樣的改變、取代和替換。Several embodiments are summarized above so that those with ordinary knowledge in the technical field to which the present invention pertains can better understand the viewpoints of the embodiments of the present invention. Those skilled in the art to which the present invention pertains should appreciate that they can, based on the embodiments of the present invention, design or modify other processes and structures to achieve the same purposes and/or advantages of the embodiments described herein. Those with ordinary knowledge in the technical field to which the present invention pertains should also understand that such equivalent processes and structures do not depart from the spirit and scope of the present invention, and they can, without departing from the spirit and scope of the present invention, Make all kinds of changes, substitutions, and substitutions.

1,2:半導體結構 100:基板 200:磊晶層 210,301:凹槽 220,302:凸部 300:半導體層 310:第一摻雜區 320:第二摻雜區 330:接觸插塞 400:圖案化硬遮罩層 400A:第一圖案化硬遮罩 400B:第二圖案化硬遮罩 500:光阻層 600:第一介電層 600a:突出表面 610:第二介電層 700:源極 800:閘極 900:層間介電層 910:金屬層 CT:接觸通孔 D:距離 H:高度 L:深度 OP:開口 T1:第一溝槽 T2:第二溝槽 T3:第三溝槽 W:寬度1,2: Semiconductor structure 100: Substrate 200: epitaxial layer 210, 301: Grooves 220,302: convex part 300: Semiconductor layer 310: the first doped region 320: the second doping region 330: Contact Plug 400: Patterned hard mask layer 400A: First patterned hard mask 400B: Second patterned hard mask 500: photoresist layer 600: first dielectric layer 600a: Protruding surface 610: Second Dielectric Layer 700: source 800: Gate 900: Interlayer dielectric layer 910: Metal Layer CT: Contact Via D: distance H: height L: depth OP: opening T1: First trench T2: Second trench T3: Third trench W: width

藉由以下的詳述配合所附圖式,我們能更加理解本發明實施例的觀點。值得注意的是,根據工業上的標準慣例,一些部件(feature)可能沒有按照比例繪製。事實上,為了能清楚地討論,不同部件的尺寸可能被增加或減少。 第1圖至第18圖是根據本發明的一些實施例,繪示在各個階段形成半導體結構的剖面示意圖; 第19圖是根據本發明的一些實施例,繪示出由半導體結構形成的本發明實施例之一的SGT-MOSFET的剖面示意圖; 第20圖至第30圖是根據本發明的一些實施例,繪示出半導體結構的剖面示意圖;以及 第31圖是根據本發明的一些實施例,繪示出由半導體結構形成的本發明實施例之一的SGT-MOSFET的剖面示意圖。Through the following detailed description in conjunction with the accompanying drawings, we can better understand the viewpoints of the embodiments of the present invention. Notably, according to standard industry practice, some features may not be drawn to scale. In fact, the dimensions of various components may be increased or decreased for clarity of discussion. 1 to 18 are schematic cross-sectional views illustrating the formation of semiconductor structures at various stages according to some embodiments of the present invention; 19 is a schematic cross-sectional view illustrating an SGT-MOSFET formed from a semiconductor structure according to one embodiment of the present invention according to some embodiments of the present invention; FIGS. 20-30 are schematic cross-sectional views illustrating semiconductor structures according to some embodiments of the present invention; and FIG. 31 is a schematic cross-sectional view illustrating an SGT-MOSFET formed from a semiconductor structure according to one embodiment of the present invention, according to some embodiments of the present invention.

100:基板100: Substrate

200:磊晶層200: epitaxial layer

220:凸部220: convex part

300:半導體層300: Semiconductor layer

310:第一摻雜區310: the first doped region

320:第二摻雜區320: the second doping region

330:接觸插塞330: Contact Plug

600:第一介電層600: first dielectric layer

610:第二介電層610: Second Dielectric Layer

700:源極700: source

800:閘極800: Gate

900:層間介電層900: Interlayer dielectric layer

910:金屬層910: Metal Layer

Claims (15)

一種半導體結構的形成方法,其包含: 在一基板上依序形成一磊晶層及一半導體層; 在該半導體層上形成一圖案化硬遮罩層,該圖案化硬遮罩層包含至少二開口; 使用該圖案化硬遮罩層作為蝕刻遮罩,並蝕刻該半導體層,以移除該至少二開口下方的該半導體層; 移除介於該至少二開口之間的該圖案化硬遮罩層; 使用該圖案化硬遮罩層的剩餘部分作為蝕刻遮罩,並蝕刻該半導體層及該磊晶層,以使該磊晶層具有一凹槽及位於該凹槽的底表面上且在介於該至少二開口之間的該圖案化硬遮罩層的下方的一凸部; 移除該圖案化硬遮罩層的該剩餘部分;以及 在該半導體層及該磊晶層上形成具有一第一溝槽的一第一介電層。A method for forming a semiconductor structure, comprising: forming an epitaxial layer and a semiconductor layer on a substrate in sequence; forming a patterned hard mask layer on the semiconductor layer, the patterned hard mask layer including at least two openings; using the patterned hard mask layer as an etching mask, and etching the semiconductor layer to remove the semiconductor layer under the at least two openings; removing the patterned hard mask layer between the at least two openings; Using the remainder of the patterned hard mask layer as an etch mask, and etching the semiconductor layer and the epitaxial layer so that the epitaxial layer has a groove and is located on the bottom surface of the groove and between a convex portion below the patterned hard mask layer between the at least two openings; removing the remaining portion of the patterned hard mask layer; and A first dielectric layer having a first trench is formed on the semiconductor layer and the epitaxial layer. 如請求項1之形成方法,其中在移除介於該至少二開口之間的該圖案化硬遮罩層之前,形成一光阻層於該圖案化硬遮罩層的該剩餘部分上,且其中在移除介於該至少二開口之間的該圖案化硬遮罩層之後,再移除該光阻層。The forming method of claim 1, wherein before removing the patterned hard mask layer between the at least two openings, a photoresist layer is formed on the remaining portion of the patterned hard mask layer, and The photoresist layer is removed after removing the patterned hard mask layer between the at least two openings. 如請求項1之形成方法,更包含一電極形成步驟,該電極形成步驟包含: 形成一源極於該磊晶層的該凸部上;以及 形成一閘極於該磊晶層的凹槽上,且該閘極不形成於該磊晶層的該凸部上。The forming method of claim 1, further comprising an electrode forming step, the electrode forming step comprising: forming a source electrode on the convex portion of the epitaxial layer; and A gate electrode is formed on the groove of the epitaxial layer, and the gate electrode is not formed on the convex portion of the epitaxial layer. 如請求項3之形成方法,其中該電極形成步驟更包含: 填入一第一材料於該第一介電層的該第一溝槽中,以形成該源極; 蝕刻該第一介電層,直至露出該半導體層的上表面,以形成一第二溝槽; 形成一第二介電層於該第二溝槽上、該半導體層上及該源極上,該第二介電層具有一第三溝槽;以及 填入一第二材料於該第三溝槽中,以形成該閘極,其中形成該閘極的步驟更包含: 平坦化該第二材料,直至露出該源極的上表面,且保留該第二介電層的至少一部分於該半導體層上。The forming method of claim 3, wherein the electrode forming step further comprises: filling a first material in the first trench of the first dielectric layer to form the source; etching the first dielectric layer until the upper surface of the semiconductor layer is exposed to form a second trench; forming a second dielectric layer on the second trench, the semiconductor layer and the source, the second dielectric layer has a third trench; and Filling a second material in the third trench to form the gate, wherein the step of forming the gate further comprises: The second material is planarized until the upper surface of the source electrode is exposed, and at least a part of the second dielectric layer is left on the semiconductor layer. 如請求項1之形成方法,更包含一電極形成步驟,該電極形成步驟包含: 形成一源極於該磊晶層的該凹槽上;以及 形成一閘極於該源極上,以使該閘極相較於該源極更遠離該基板。The forming method of claim 1, further comprising an electrode forming step, the electrode forming step comprising: forming a source on the groove of the epitaxial layer; and A gate is formed on the source, so that the gate is farther away from the substrate than the source. 如請求項5之形成方法,其中該電極形成步驟更包含: 填入一第一材料於該第一介電層的該第一溝槽中,以形成該源極; 蝕刻該第一介電層,直至該第一介電層的頂表面與該源極的頂表面共平面,以形成一第二溝槽; 形成一第二介電層於該第二溝槽上、及該半導體層上,該第二介電層具有一第三溝槽;以及 填入一第二材料於該第三溝槽中,以形成該閘極。The forming method of claim 5, wherein the electrode forming step further comprises: filling a first material in the first trench of the first dielectric layer to form the source; etching the first dielectric layer until the top surface of the first dielectric layer is coplanar with the top surface of the source to form a second trench; forming a second dielectric layer on the second trench and on the semiconductor layer, the second dielectric layer has a third trench; and A second material is filled in the third trench to form the gate. 如請求項6之形成方法,其中在該第一介電層的該第一溝槽中形成對應於該磊晶層的該凸部的一凸出形狀,以使該源極具有對應於該磊晶層的該凸部的一凹入形狀。The forming method of claim 6, wherein a protruding shape corresponding to the protruding portion of the epitaxial layer is formed in the first trench of the first dielectric layer, so that the source electrode has a shape corresponding to the epitaxial layer A concave shape of the convex portion of the crystal layer. 如請求項1之形成方法,其進一步包含: 形成一第一摻雜區於該半導體層; 形成一層間介電層於該第一摻雜區上; 形成一接觸通孔,該接觸通孔暴露設置於該磊晶層上的該半導體層的一部分; 形成一第二摻雜區於該接觸通孔下且於該半導體層中; 填入一通孔材料於該接觸通孔中,以形成一接觸插塞; 形成一金屬層於該層間介電層上,使該金屬層與該接觸插塞彼此接觸。The formation method of claim 1, further comprising: forming a first doped region on the semiconductor layer; forming an interlayer dielectric layer on the first doped region; forming a contact via that exposes a portion of the semiconductor layer disposed on the epitaxial layer; forming a second doped region under the contact via and in the semiconductor layer; filling a through hole material in the contact through hole to form a contact plug; A metal layer is formed on the interlayer dielectric layer so that the metal layer and the contact plug are in contact with each other. 如請求項8之形成方法,其中該基板、該磊晶層、以及該第一摻雜區具有一第一導電型態,且該半導體層及該第二摻雜區具有不同於該第一導電型態的一第二導電型態。The formation method of claim 8, wherein the substrate, the epitaxial layer, and the first doped region have a first conductivity type, and the semiconductor layer and the second doped region have a different conductivity than the first conductivity A second conductivity type of the type. 一種半導體結構,其包含: 一基板,具有一第一導電型態; 一磊晶層,具有該第一導電型態,設置於該基板上,包含一凹槽及設置於該凹槽的底表面上的一凸部; 一半導體層,具有不同於該第一導電型態的一第二導電型態,設置於該磊晶層上,且不設置於該凹槽上; 一介電層,設置於該磊晶層及該半導體層上; 一源極,設置於該介電層上;以及 一閘極,設置於該介電層上。A semiconductor structure comprising: a substrate having a first conductivity type; an epitaxial layer, having the first conductivity type, disposed on the substrate, comprising a groove and a protrusion disposed on the bottom surface of the groove; a semiconductor layer having a second conductivity type different from the first conductivity type, disposed on the epitaxial layer and not disposed on the groove; a dielectric layer disposed on the epitaxial layer and the semiconductor layer; a source electrode disposed on the dielectric layer; and A gate electrode is arranged on the dielectric layer. 如請求項10之半導體結構,其中該介電層設置於該半導體層的頂表面及側壁上、該磊晶層的該凹槽的側壁及該底表面上、及該磊晶層的該凸部的側壁及頂表面上。The semiconductor structure of claim 10, wherein the dielectric layer is disposed on the top surface and sidewalls of the semiconductor layer, on the sidewalls and the bottom surface of the recess of the epitaxial layer, and the convex portion of the epitaxial layer on the side walls and top surface. 如請求項10之半導體結構,其中: 該源極設置於該磊晶層的該凸部上;以及 該閘極設置於該磊晶層的該凹槽上,且不設置於該磊晶層的該凸部上。The semiconductor structure of claim 10, wherein: the source electrode is disposed on the convex portion of the epitaxial layer; and The gate electrode is arranged on the groove of the epitaxial layer, and is not arranged on the convex portion of the epitaxial layer. 如請求項10之半導體結構,其中: 該源極設置於該磊晶層的該凹槽上,其中該源極具有對應於該磊晶層的該凸部的一凹入形狀,該凹入形狀相較於該源極的底表面更遠離該基板;及 該閘極設置於該源極上,該閘極相較於該源極更遠離該基板。The semiconductor structure of claim 10, wherein: The source electrode is disposed on the groove of the epitaxial layer, wherein the source electrode has a concave shape corresponding to the convex portion of the epitaxial layer, and the concave shape is larger than the bottom surface of the source electrode away from the substrate; and The gate electrode is disposed on the source electrode, and the gate electrode is farther away from the substrate than the source electrode. 如請求項10之半導體結構,其中該閘極的寬度大於該源極的寬度。The semiconductor structure of claim 10, wherein the width of the gate electrode is greater than the width of the source electrode. 如請求項10之半導體結構,其包含: 一第一摻雜區,具有該第一導電型態,設置於該半導體層上; 一層間介電層,設置於該介電層上; 一接觸插塞,貫穿該層間介電層、該介電層、以及該第一摻雜區,且不貫穿該半導體層; 一第二摻雜區,具有該第二導電型態,設置於該半導體層,與該接觸插塞接觸;以及 一金屬層,設置於該層間介電層上,與該接觸插塞接觸。The semiconductor structure of claim 10, comprising: a first doped region with the first conductivity type disposed on the semiconductor layer; an interlayer dielectric layer disposed on the dielectric layer; a contact plug, penetrating the interlayer dielectric layer, the dielectric layer, and the first doped region, and not penetrating the semiconductor layer; a second doped region, having the second conductivity type, disposed on the semiconductor layer and in contact with the contact plug; and A metal layer is disposed on the interlayer dielectric layer and is in contact with the contact plug.
TW109124531A 2020-07-21 2020-07-21 Semiconductor structure and method of forming the same TWI731753B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW109124531A TWI731753B (en) 2020-07-21 2020-07-21 Semiconductor structure and method of forming the same
CN202110417436.0A CN113964176B (en) 2020-07-21 2021-04-19 Semiconductor structure and forming method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW109124531A TWI731753B (en) 2020-07-21 2020-07-21 Semiconductor structure and method of forming the same

Publications (2)

Publication Number Publication Date
TWI731753B TWI731753B (en) 2021-06-21
TW202205379A true TW202205379A (en) 2022-02-01

Family

ID=77517355

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109124531A TWI731753B (en) 2020-07-21 2020-07-21 Semiconductor structure and method of forming the same

Country Status (2)

Country Link
CN (1) CN113964176B (en)
TW (1) TWI731753B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI834121B (en) * 2022-02-18 2024-03-01 世界先進積體電路股份有限公司 Semiconductor device and method forming the same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7936009B2 (en) * 2008-07-09 2011-05-03 Fairchild Semiconductor Corporation Shielded gate trench FET with an inter-electrode dielectric having a low-k dielectric therein
US8067800B2 (en) * 2009-12-28 2011-11-29 Force Mos Technology Co., Ltd. Super-junction trench MOSFET with resurf step oxide and the method to make the same
US8587054B2 (en) * 2011-12-30 2013-11-19 Force Mos Technology Co., Ltd. Trench MOSFET with resurf stepped oxide and diffused drift region
US8785278B2 (en) * 2012-02-02 2014-07-22 Alpha And Omega Semiconductor Incorporated Nano MOSFET with trench bottom oxide shielded and third dimensional P-body contact
JP6310577B2 (en) * 2015-04-17 2018-04-11 スー ジョウ オリエンタル セミコンダクター カンパニー リミテッドSu Zhou Oriental Semiconductor Co., Ltd. Manufacturing method of split gate type power device
CN110164967B (en) * 2018-02-11 2022-02-15 世界先进积体电路股份有限公司 Semiconductor device and method for manufacturing the same

Also Published As

Publication number Publication date
CN113964176B (en) 2023-05-09
CN113964176A (en) 2022-01-21
TWI731753B (en) 2021-06-21

Similar Documents

Publication Publication Date Title
US20220328356A1 (en) Mechanisms for Forming FinFET Device
US10763341B2 (en) Semiconductor device structure and method for forming the same
KR102099747B1 (en) Dielectric spacer to prevent contacting shorting
US10020230B2 (en) FinFETs with multiple threshold voltages
TWI662652B (en) Method of forming integrated circuit
TWI749363B (en) Finfet having insulating layers between gate and source/drain contacts
TWI731753B (en) Semiconductor structure and method of forming the same
KR102350485B1 (en) Semiconductor device
TWI763033B (en) Semiconductor structure and method of forming the same
CN111863933A (en) Semiconductor structure and forming method thereof
CN113314605B (en) Semiconductor structure and forming method thereof
CN112151605B (en) Semiconductor structure and forming method thereof
CN111863723B (en) Semiconductor structure and forming method thereof
CN109273407B (en) Semiconductor device and method of forming the same
CN112928025A (en) Semiconductor structure and forming method thereof
TWI788100B (en) Semiconductor structure and method of forming the same
CN111128731A (en) Semiconductor device and method of forming the same
TWI746094B (en) Semiconductor structure and method of forming the same
TWI778671B (en) Semiconductor structure and method of forming the same
US11011608B2 (en) Semiconductor structure and method for forming the same
TWI819425B (en) Semiconductor structure and method of forming the same
TWI824342B (en) Semiconductor structure and method of forming the same
CN117410333A (en) Semiconductor structure and forming method thereof
CN113314595A (en) Semiconductor structure and method for forming semiconductor structure
CN117476461A (en) Method for forming semiconductor structure