TWI746094B - Semiconductor structure and method of forming the same - Google Patents

Semiconductor structure and method of forming the same Download PDF

Info

Publication number
TWI746094B
TWI746094B TW109125513A TW109125513A TWI746094B TW I746094 B TWI746094 B TW I746094B TW 109125513 A TW109125513 A TW 109125513A TW 109125513 A TW109125513 A TW 109125513A TW I746094 B TWI746094 B TW I746094B
Authority
TW
Taiwan
Prior art keywords
electrode
layer
dielectric layer
electrodes
pair
Prior art date
Application number
TW109125513A
Other languages
Chinese (zh)
Other versions
TW202205388A (en
Inventor
陳柏安
Original Assignee
新唐科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 新唐科技股份有限公司 filed Critical 新唐科技股份有限公司
Priority to TW109125513A priority Critical patent/TWI746094B/en
Priority to CN202110422809.3A priority patent/CN114068670B/en
Application granted granted Critical
Publication of TWI746094B publication Critical patent/TWI746094B/en
Publication of TW202205388A publication Critical patent/TW202205388A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41733Source or drain electrodes for field effect devices for thin film transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

A method of forming a semiconductor structure includes sequentially forming an epitaxial layer and a semiconductor layer on a substrate. A patterned hard mask layer is formed on the semiconductor layer. The semiconductor layer and the epitaxial layer are etched with the patterned hard mask layer as an etching mask, so that the epitaxial layer has a recess. The patterned hard mask layer is removed. A first electrode dielectric layer is formed on the sidewall and bottom surface of the recess and on the semiconductor layer, so that the first electrode dielectric layer has a trench. A first electrode is formed in the trench. A pair of second electrodes is formed on the first electrode, so that the pair of second electrodes is arranged corresponding to each other along a horizontal direction. A third electrode is formed on the pair of second electrodes.

Description

半導體結構及其形成方法Semiconductor structure and its forming method

本發明係關於半導體結構及其形成方法,特別是關於其內包含有在水平方向上設置的遮罩電極之半導體結構及其形成方法。The present invention relates to a semiconductor structure and its forming method, and more particularly to a semiconductor structure containing a mask electrode arranged in a horizontal direction and its forming method.

由於溝槽式金屬氧化物半導體場效電晶體(trench MOSFET)中存在溝槽結構,而使其具有較小的單位晶胞長度(unit cell pitch)與較低的閘汲極電容(C gd),因此能夠有效降低導通電阻(R on)且降低開關損耗(switching loss)。然而,隨著使用者需求的提升,電晶體被期望具有更小的尺寸、更快的響應速度及更低的開關損耗,需要縮小電晶體的尺寸,即使如此,閘汲極電荷(Q gd)或閘汲極電容仍無法有效地變小,致使開關速度沒有顯著的改善。 Due to the trench structure in the trench MOSFET, it has a smaller unit cell pitch and a lower gate-drain capacitance (C gd ) Therefore, it is possible to effectively reduce the on-resistance (R on ) and reduce the switching loss. However, with the increase in user demand, transistors are expected to have smaller sizes, faster response speeds and lower switching losses. It is necessary to reduce the size of the transistors. Even so, the gate drain charge (Q gd ) Or the gate and drain capacitance still cannot be effectively reduced, resulting in no significant improvement in the switching speed.

因此,目前發展出了遮蔽閘極溝槽式(shielded gate trench,SGT)MOSFET。SGT-MOSFET內設置有作為遮蔽電極(shielding electrode)的源極電極,也就是在其內設置有源極遮蔽結構。因此,SGT-MOSFET能夠基於電荷平衡技術,來獲得更低的導通電阻與更優良的開關性能。Therefore, a shielded gate trench (SGT) MOSFET has been developed. The SGT-MOSFET is provided with a source electrode as a shielding electrode, that is, a source shielding structure is provided in the SGT-MOSFET. Therefore, SGT-MOSFET can obtain lower on-resistance and better switching performance based on charge balancing technology.

惟,現存的半導體結構及其形成方法已逐步滿足它們既定的用途,但它們仍未在各方面皆徹底的符合要求。因此,關於進一步加工後可做為SGT-MOSFET之半導體結構及其形成方法仍有一些問題需要克服。However, the existing semiconductor structures and their formation methods have gradually met their intended uses, but they have not yet fully met the requirements in all aspects. Therefore, there are still some problems to be overcome regarding the semiconductor structure that can be used as an SGT-MOSFET after further processing and its formation method.

鑒於上述問題,本發明除了藉由設置多個電極作為遮蔽電極(shielding electrode)以提供遮蔽結構(shielding structure)。特別地,在經設置的多個電極中進一步包含水平設置的一對電極,也就是設置有在水平方向上的獨立的間隙物電極(separated spacer electrode)結構,以使半導體結構中的電場與電荷更加均勻,來獲得更優良的電性特徵。In view of the above problems, the present invention provides a shielding structure by providing a plurality of electrodes as shielding electrodes. In particular, the provided plurality of electrodes further includes a pair of electrodes arranged horizontally, that is, a structure of separate spacer electrodes arranged in the horizontal direction, so that the electric field and the charge in the semiconductor structure More uniform, to obtain better electrical characteristics.

根據一些實施例,提供半導體結構的形成方法。半導體結構的形成方法包含:在基板上依序形成磊晶層及半導體層。在半導體層上形成圖案化硬遮罩層。使用圖案化硬遮罩層作為蝕刻遮罩,並蝕刻半導體層及磊晶層,以使磊晶層具有凹槽。移除圖案化硬遮罩層。形成第一電極介電層於凹槽的側壁及底面上且於半導體層上,以使第一電極介電層具有溝槽。形成第一電極於溝槽中。形成一對第二電極於第一電極上,以使該對第二電極沿著水平方向彼此對應地設置。形成第三電極於該對第二電極上。According to some embodiments, a method of forming a semiconductor structure is provided. The method for forming the semiconductor structure includes: sequentially forming an epitaxial layer and a semiconductor layer on a substrate. A patterned hard mask layer is formed on the semiconductor layer. The patterned hard mask layer is used as an etching mask, and the semiconductor layer and the epitaxial layer are etched so that the epitaxial layer has grooves. Remove the patterned hard mask layer. A first electrode dielectric layer is formed on the sidewall and bottom surface of the groove and on the semiconductor layer, so that the first electrode dielectric layer has a groove. A first electrode is formed in the trench. A pair of second electrodes is formed on the first electrode so that the pair of second electrodes are arranged corresponding to each other along the horizontal direction. A third electrode is formed on the pair of second electrodes.

根據一些實施例,提供半導體結構。半導體結構包含:基板、磊晶層、半導體層、第一電極介電層、第一電極、第二電極、及第三電極。基板具有第一導電型態。磊晶層具有第一導電型態。磊晶層設置於基板上,且具有凹槽。半導體層具有不同於第一導電型態的第二導電型態。半導體層設置於磊晶層上。第一電極介電層設置於凹槽的側壁及底面上且設置於半導體層上。第一電極介電層具有溝槽。第一電極設置於溝槽中。一對第二電極設置於第一電極上。該對第二電極沿著水平方向彼此對應。第三電極,設置於該對第二電極上。According to some embodiments, a semiconductor structure is provided. The semiconductor structure includes: a substrate, an epitaxial layer, a semiconductor layer, a first electrode dielectric layer, a first electrode, a second electrode, and a third electrode. The substrate has a first conductivity type. The epitaxial layer has the first conductivity type. The epitaxial layer is arranged on the substrate and has a groove. The semiconductor layer has a second conductivity type different from the first conductivity type. The semiconductor layer is arranged on the epitaxial layer. The first electrode dielectric layer is arranged on the sidewall and bottom surface of the groove and on the semiconductor layer. The first electrode dielectric layer has a trench. The first electrode is arranged in the groove. A pair of second electrodes are arranged on the first electrode. The pair of second electrodes correspond to each other along the horizontal direction. The third electrode is arranged on the pair of second electrodes.

本發明的半導體結構可應用於多種類型的半導體裝置,為讓本發明之特徵和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下。The semiconductor structure of the present invention can be applied to various types of semiconductor devices. In order to make the features and advantages of the present invention more comprehensible, preferred embodiments are listed below in conjunction with the accompanying drawings, which are described in detail as follows.

以下揭露提供了很多不同的實施例或範例,用於實施所提供的半導體結構之不同元件。各元件和其配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一和第二元件直接接觸的實施例,也可能包含額外的元件形成在第一和第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在不同的範例中重複參考數字及/或字母。如此重複是為了簡明和清楚,而非用以表示所討論的不同實施例及/或形態之間的關係。The following disclosure provides many different embodiments or examples for implementing different elements of the provided semiconductor structure. Specific examples of each element and its configuration are described below in order to simplify the embodiment of the present invention. Of course, these are only examples and are not intended to limit the present invention. For example, if the description mentions that the first element is formed on the second element, it may include an embodiment in which the first and second elements are in direct contact, or may include additional elements formed between the first and second elements. , So that they do not directly touch the embodiment. In addition, the embodiment of the present invention may repeat reference numbers and/or letters in different examples. Such repetition is for conciseness and clarity, and is not used to indicate the relationship between the different embodiments and/or forms discussed.

在不同圖式和說明的實施例中,相似的元件符號被用來標明相似的元件。可以理解的是,在方法的前、中、後可以提供額外的操作,且一些敘述的操作可為了該方法的其他實施例被取代或刪除。In the different drawings and illustrated embodiments, similar component symbols are used to designate similar components. It can be understood that additional operations may be provided before, during, and after the method, and some of the described operations may be replaced or deleted for other embodiments of the method.

在本文中,各個方向不限於直角坐標系的像是x軸、y軸及z軸的三個軸,且可以在更廣泛的意義上進行解釋。舉例而言,x軸、y軸及z軸可彼此垂直,或者可表示彼此不垂直的不同方向。為使便於說明,在下文中,以剖面圖觀察時,將y軸方向稱為垂直方向D1,且將x軸方向稱為水平方向D2。In this article, each direction is not limited to the three axes of the rectangular coordinate system, such as the x-axis, the y-axis, and the z-axis, and can be explained in a broader sense. For example, the x-axis, y-axis, and z-axis may be perpendicular to each other, or may represent different directions that are not perpendicular to each other. For ease of description, hereinafter, when viewed in a cross-sectional view, the y-axis direction is referred to as the vertical direction D1, and the x-axis direction is referred to as the horizontal direction D2.

第1至12圖是根據本發明的一些實施例,說明在各個階段形成半導體結構1的剖面示意圖。FIGS. 1-12 are schematic cross-sectional views illustrating the formation of the semiconductor structure 1 at various stages according to some embodiments of the present invention.

參照第1圖,在基板100上依序形成磊晶層200及半導體層300。磊晶層200可設置於基板100上。半導體層300可設置於磊晶層200上。基板100、磊晶層200及半導體層300可各自延伸。基板100、磊晶層200及半導體層300可為彼此平行。Referring to FIG. 1, an epitaxial layer 200 and a semiconductor layer 300 are sequentially formed on the substrate 100. The epitaxial layer 200 may be disposed on the substrate 100. The semiconductor layer 300 may be disposed on the epitaxial layer 200. The substrate 100, the epitaxial layer 200, and the semiconductor layer 300 may each extend. The substrate 100, the epitaxial layer 200, and the semiconductor layer 300 may be parallel to each other.

基板100可為塊材(bulk)半導體、或絕緣上覆半導體(semiconductor-on-insulator,SOI)基板。基板100可為晶圓,例如為矽晶圓。一般而言,絕緣上覆半導體基板包含形成在絕緣層上的一層半導體材料。絕緣層可例如為埋置氧化(buried oxide,BOX)層、氧化矽層或類似的材料,其提供絕緣層在矽或玻璃基板上。其他的基板種類則包含例如為多重層或梯度(gradient)基板。The substrate 100 may be a bulk semiconductor or a semiconductor-on-insulator (SOI) substrate. The substrate 100 may be a wafer, such as a silicon wafer. Generally speaking, an insulating overlying semiconductor substrate includes a layer of semiconductor material formed on an insulating layer. The insulating layer may be, for example, a buried oxide (BOX) layer, a silicon oxide layer or similar materials, which provide an insulating layer on a silicon or glass substrate. Other types of substrates include, for example, multi-layer or gradient substrates.

基板100可為元素半導體,其包含矽(silicon)、鍺(germanium);基板100亦可為化合物半導體,其包含:舉例而言,碳化矽(silicon carbide)、砷化鎵(gallium arsenide)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)及/或銻化銦(indium antimonide),但不限於此;基板100亦可為合金半導體,其包含:舉例而言,SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP或其任意組合,但不限於此。The substrate 100 may be an element semiconductor, which includes silicon and germanium; the substrate 100 may also be a compound semiconductor, which includes: for example, silicon carbide, gallium arsenide, and phosphorous. Gallium phosphide, indium phosphide, indium arsenide and/or indium antimonide, but not limited thereto; the substrate 100 may also be an alloy semiconductor, which includes: examples In particular, SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, and/or GaInAsP or any combination thereof, but not limited thereto.

磊晶層200可包含矽、鍺、矽與鍺、III-V族化合物或上述之組合。上述磊晶層200可藉由磊晶成長(epitaxial growth)製程形成,例如金屬有機物化學氣相沉積法(metal-organic chemical vapor deposition,MOCVD)、金屬有機物化學氣相磊晶法(metal-organic vapor phase epitaxy,MOVPE)、電漿增強型化學氣相沉積法(plasma-enhanced chemical vapor deposition,PECVD)、遙控電漿化學氣相沉積法(remote plasma chemical vapor deposition,RPCVD)、分子束磊晶法(molecular beam epitaxy,MBE)、氫化物氣相磊晶法(hydride vapor phase Epitaxy,HVPE)、液相磊晶法(liquid phase epitaxy,LPE)、氯化物氣相磊晶法(chloride vapor phase epitaxy,Cl-VPE)或類似的方法形成。The epitaxial layer 200 may include silicon, germanium, silicon and germanium, III-V compounds, or a combination of the above. The epitaxial layer 200 may be formed by an epitaxial growth process, such as metal-organic chemical vapor deposition (MOCVD), metal-organic chemical vapor deposition (MOCVD), and metal-organic chemical vapor deposition (MOCVD). phase epitaxy (MOVPE), plasma-enhanced chemical vapor deposition (PECVD), remote plasma chemical vapor deposition (RPCVD), molecular beam epitaxy ( molecular beam epitaxy (MBE), hydride vapor phase epitaxy (HVPE), liquid phase epitaxy (LPE), chloride vapor phase epitaxy (Cl -VPE) or similar methods.

在一些實施例,基板100及磊晶層200具有第一導電型態,且半導體層300具有不同於第一導電型態的第二導電型態。舉例而言,若基板100及磊晶層200具有的第一導電型態為N型,則半導體層300具有的第二導電型態為P型;反之,若基板100及磊晶層200具有的第一導電型態為P型,則半導體層300具有的第二導電型態為N型。第一導電型態與第二導電型態可依據需求調整,同時,摻雜濃度、摻雜深度及摻雜區域大小亦可依據需求調整。在一些實施例中,基板100及磊晶層200具有N型導電型態;且半導體層300具有P型導電型態。在一些實施例中,半導體層300亦可於後續形成第三電極之後再形成。In some embodiments, the substrate 100 and the epitaxial layer 200 have a first conductivity type, and the semiconductor layer 300 has a second conductivity type different from the first conductivity type. For example, if the first conductivity type of the substrate 100 and the epitaxial layer 200 is N-type, the second conductivity type of the semiconductor layer 300 is the P-type; on the contrary, if the substrate 100 and the epitaxial layer 200 have the The first conductivity type is P type, and the second conductivity type of the semiconductor layer 300 is N type. The first conductivity type and the second conductivity type can be adjusted according to requirements. At the same time, the doping concentration, doping depth, and size of the doped region can also be adjusted according to requirements. In some embodiments, the substrate 100 and the epitaxial layer 200 have an N-type conductivity type; and the semiconductor layer 300 has a P-type conductivity type. In some embodiments, the semiconductor layer 300 can also be formed after the third electrode is subsequently formed.

參照第2圖,在半導體層300上形成圖案化硬遮罩層400。圖案化硬遮罩層400包含開口OP。圖案化硬遮罩層400的開口OP暴露出半導體層300的上表面的一部分。Referring to FIG. 2, a patterned hard mask layer 400 is formed on the semiconductor layer 300. The patterned hard mask layer 400 includes openings OP. The opening OP of the patterned hard mask layer 400 exposes a part of the upper surface of the semiconductor layer 300.

圖案化硬遮罩層400可包含氧化物、氮化物或其組合。在一些實施例中,氧化物層可包含:舉例而言,由四乙氧基矽烷(tetraethyl orthosilicate,TEOS)作為前驅物的氧化物或其他適合的氧化物。氮化物可包含(SiN)、氮氧化矽(SiON)、氮化鈦(TiN)、氮化鉭(TaN)或其他適合的氮化物。可理解的是,能夠依據製程條件搭配適合的硬遮罩材料,因此本發明之實施例並不限於此。The patterned hard mask layer 400 may include oxide, nitride, or a combination thereof. In some embodiments, the oxide layer may include, for example, an oxide using tetraethyl orthosilicate (TEOS) as a precursor or other suitable oxides. The nitride may include (SiN), silicon oxynitride (SiON), titanium nitride (TiN), tantalum nitride (TaN) or other suitable nitrides. It is understandable that suitable hard mask materials can be matched according to process conditions, so the embodiments of the present invention are not limited thereto.

在一些實施例中,圖案化硬遮罩層400為氧化物。在一些實施例中,在半導體層300上形成圖案化硬遮罩層400的步驟可進一步包含:沉積作為硬遮罩層的氧化物層於半導體層300上,再形成光阻層於上述氧化物層上,然後依照需求對此光阻層進行曝光,以獲得圖案化光阻層。之後,使用圖案化光阻層作為蝕刻遮罩,並蝕刻氧化物層來形成圖案化氧化物層。接著,移除圖案化光阻層,以獲得在半導體層300上的圖案化硬遮罩層400。上述氧化物層可藉由化學氣相沉積(chemical vapor deposition,CVD)沉積、或其他合適的製程而得。光阻層可使用灰化(ashing)及/或濕式去除(wet strip)製程來移除。In some embodiments, the patterned hard mask layer 400 is oxide. In some embodiments, the step of forming a patterned hard mask layer 400 on the semiconductor layer 300 may further include: depositing an oxide layer as a hard mask layer on the semiconductor layer 300, and then forming a photoresist layer on the above oxide. And then expose the photoresist layer as required to obtain a patterned photoresist layer. After that, the patterned photoresist layer is used as an etching mask, and the oxide layer is etched to form a patterned oxide layer. Next, the patterned photoresist layer is removed to obtain the patterned hard mask layer 400 on the semiconductor layer 300. The above-mentioned oxide layer can be obtained by chemical vapor deposition (CVD) deposition or other suitable processes. The photoresist layer can be removed using an ashing and/or wet strip process.

參照第3圖,使用圖案化硬遮罩層400作為蝕刻遮罩,並蝕刻半導體層300及磊晶層200,以使磊晶層200具有凹槽210。在一實施例中,移除對應開口OP的半導體層300的部分,使得經蝕刻的半導體層300被貫穿。進一步地,移除對應開口OP的磊晶層200的部份,但經蝕刻的磊晶層200未被貫穿,因此能夠使磊晶層200具有凹槽210。在一實施例中,半導體層300雖設置於磊晶層200上,但不設置於凹槽210上。在一實施例中,半導體層300遮蔽磊晶層200的上表面的一部分。對應於開口OP之半導體層300的經移除部分暴露磊晶層200的上表面的另一部分,使磊晶層200具有凹槽210。Referring to FIG. 3, the patterned hard mask layer 400 is used as an etching mask, and the semiconductor layer 300 and the epitaxial layer 200 are etched so that the epitaxial layer 200 has a groove 210. In an embodiment, the portion of the semiconductor layer 300 corresponding to the opening OP is removed, so that the etched semiconductor layer 300 is penetrated. Furthermore, the part of the epitaxial layer 200 corresponding to the opening OP is removed, but the etched epitaxial layer 200 is not penetrated, so that the epitaxial layer 200 can have a groove 210. In an embodiment, although the semiconductor layer 300 is disposed on the epitaxial layer 200, it is not disposed on the groove 210. In one embodiment, the semiconductor layer 300 shields a part of the upper surface of the epitaxial layer 200. The removed part of the semiconductor layer 300 corresponding to the opening OP exposes another part of the upper surface of the epitaxial layer 200 so that the epitaxial layer 200 has a groove 210.

凹槽210可對應於圖案化硬遮罩層400的開口OP。凹槽210可位於開口OP的下方。凹槽210可朝基板100凹入。在磊晶層200中,凹槽210的底表面最接近基板100。應理解的是,可調整蝕刻磊晶層200的深度,亦即調整凹槽210的深度。在此,藉由調整凹槽210的深度,可調整後續設置於凹槽210中的第一電極、第二電極以及第三電極設置於後續所形成的SGT-MOSFET中的相對深度。因此,本發明能夠藉由設置多個遮蔽電極並調整多個遮蔽電極的深度,達到增加遮蔽電極的設置數量及設置在漂移區域的深度之外,還能使得遮蔽電極同時配置在垂直方向及水平方向上,而進一步改善電荷平衡效應,使得電荷能夠分布地更加均勻,來達到降低導通電阻的目的。The groove 210 may correspond to the opening OP of the patterned hard mask layer 400. The groove 210 may be located below the opening OP. The groove 210 may be recessed toward the substrate 100. In the epitaxial layer 200, the bottom surface of the groove 210 is closest to the substrate 100. It should be understood that the depth of the etching epitaxial layer 200 can be adjusted, that is, the depth of the groove 210 can be adjusted. Here, by adjusting the depth of the groove 210, the relative depth of the first electrode, the second electrode, and the third electrode subsequently disposed in the groove 210 in the SGT-MOSFET subsequently formed can be adjusted. Therefore, the present invention can increase the number of shielding electrodes and the depth of the drift region by providing multiple shielding electrodes and adjusting the depth of the multiple shielding electrodes, and also enable the shielding electrodes to be arranged in the vertical and horizontal directions at the same time. In the direction, the charge balance effect is further improved, so that the charge can be distributed more uniformly to achieve the purpose of reducing the on-resistance.

參照第4圖,移除圖案化硬遮罩層400。可藉由執行蝕刻製程或其他合適的製程來移除圖案化硬遮罩層400。蝕刻製程可包含乾式蝕刻、或濕式蝕刻、或其他合適的蝕刻方式。乾式蝕刻可包含但不限於電漿蝕刻、無電漿氣體蝕刻、濺射蝕刻(sputter etching)、離子研磨(ion milling)、反應離子蝕刻(reactive ion etching,RIE)。濕式蝕刻可包含但不限於使用酸性溶液、鹼性溶液或是溶劑來移除待移除結構的至少一部分。此外,蝕刻製程也可以是純化學蝕刻、純物理蝕刻、或其任意組合。Referring to FIG. 4, the patterned hard mask layer 400 is removed. The patterned hard mask layer 400 can be removed by performing an etching process or other suitable processes. The etching process may include dry etching, wet etching, or other suitable etching methods. Dry etching may include, but is not limited to, plasma etching, plasma-free gas etching, sputter etching, ion milling, and reactive ion etching (RIE). Wet etching may include, but is not limited to, using an acidic solution, an alkaline solution, or a solvent to remove at least a part of the structure to be removed. In addition, the etching process can also be pure chemical etching, pure physical etching, or any combination thereof.

參照第5圖,形成第一電極介電層500於磊晶層200的凹槽210的側壁及底面上且於半導體層300上,以使第一電極介電層500具有溝槽T。第一電極介電層500可為二氧化矽、氮氧化矽、或其它任何適合之氧化層材料、或上述之組合。Referring to FIG. 5, a first electrode dielectric layer 500 is formed on the sidewall and bottom surface of the groove 210 of the epitaxial layer 200 and on the semiconductor layer 300, so that the first electrode dielectric layer 500 has a trench T. The first electrode dielectric layer 500 can be silicon dioxide, silicon oxynitride, or any other suitable oxide layer material, or a combination of the above.

第一電極介電層500可藉由CVD或熱氧化法形成。CVD可為低壓化學氣相沉積法(low pressure chemical vapor deposition,LPCVD)、低溫化學氣相沉積法(low temperature chemical vapor deposition,LTCVD)、快速升溫化學氣相沉積法(rapid thermal chemical vapor deposition,RTCVD)、PECVD、原子層化學氣相沉積法之原子層沉積法(atomic layer deposition,ALD)或其它合適的CVD製程。The first electrode dielectric layer 500 can be formed by CVD or thermal oxidation. CVD can be low pressure chemical vapor deposition (LPCVD), low temperature chemical vapor deposition (LTCVD), rapid thermal chemical vapor deposition (RTCVD) ), PECVD, atomic layer deposition (ALD) or other suitable CVD processes.

在一些實施例中,第一電極介電層500順應性地形成於磊晶層200及半導體層300上。具體而言,第一電極介電層500設置於半導體層300的頂表面及側壁上、及磊晶層200的凹槽210的側壁及底表面上。在一些實施例中,溝槽T具有實質上平坦的底表面,且溝槽T的底表面實質上平行於凹槽210的底表面。In some embodiments, the first electrode dielectric layer 500 is compliantly formed on the epitaxial layer 200 and the semiconductor layer 300. Specifically, the first electrode dielectric layer 500 is disposed on the top surface and sidewalls of the semiconductor layer 300 and on the sidewalls and bottom surface of the groove 210 of the epitaxial layer 200. In some embodiments, the trench T has a substantially flat bottom surface, and the bottom surface of the trench T is substantially parallel to the bottom surface of the groove 210.

參照第6圖及第7圖,形成第一電極600於溝槽T中。詳細而言,在一實施例中,如第6圖所示,填入導電材料於第一電極介電層500的溝槽T中,以形成第一電極600。導電材料作為形成第一電極600的電極材料。上述導電材料可包含非晶矽、多晶矽、金屬、金屬氮化物、導電金屬氧化物、或其他合適的材料。在一些實施例中,用於形成第一電極600的導電材料可為多晶矽。填入導電材料的方法包含:CVD、濺鍍法、電阻加熱蒸鍍法、電子束蒸鍍法、或其它任何適合的沈積製程,但不限於此。此外,在填入導電材料之後,可進一步執行化學機械研磨(chemical mechanical polishing,CMP)製程,以使第一電極600的頂表面與第一電極介電層500的頂表面實質上共平面。Referring to FIGS. 6 and 7, the first electrode 600 is formed in the trench T. In detail, in one embodiment, as shown in FIG. 6, a conductive material is filled in the trench T of the first electrode dielectric layer 500 to form the first electrode 600. A conductive material is used as the electrode material for forming the first electrode 600. The aforementioned conductive material may include amorphous silicon, polysilicon, metal, metal nitride, conductive metal oxide, or other suitable materials. In some embodiments, the conductive material used to form the first electrode 600 may be polysilicon. The method of filling the conductive material includes: CVD, sputtering, resistance heating evaporation, electron beam evaporation, or any other suitable deposition process, but it is not limited thereto. In addition, after the conductive material is filled, a chemical mechanical polishing (CMP) process may be further performed to make the top surface of the first electrode 600 and the top surface of the first electrode dielectric layer 500 substantially coplanar.

如第7圖所示,回蝕(etching back)第一電極600,使第一電極600的頂表面低於第一電極介電層500的頂表面。第一電極600的頂表面與第一電極介電層500的側壁形成類似於溝槽T的形狀。在此,由於後續會進一步形成第二電極與第三電極,因此,回蝕第一電極600的深度可取決於後續形成第二電極與第三電極的形狀及數量。也就是說,可根據後續待形成的第二電極與第三電極的形狀及數量,調整第一電極600的厚度及第一電極介電層500的厚度。在一實施例中,若需形成所需較多數量、及/或較大尺寸或特殊形狀的第二電極,回蝕第一電極600的深度較深,也就是說,第一電極600的具有較小的厚度。As shown in FIG. 7, the first electrode 600 is etched back so that the top surface of the first electrode 600 is lower than the top surface of the first electrode dielectric layer 500. The top surface of the first electrode 600 and the sidewall of the first electrode dielectric layer 500 form a shape similar to the trench T. Here, since the second electrode and the third electrode will be further formed later, the depth of the etch back of the first electrode 600 may depend on the shape and quantity of the second electrode and the third electrode formed later. In other words, the thickness of the first electrode 600 and the thickness of the first electrode dielectric layer 500 can be adjusted according to the shape and quantity of the second electrode and the third electrode to be formed later. In one embodiment, if a larger number of second electrodes and/or a larger size or a special shape need to be formed, the depth of the etch back of the first electrode 600 is deeper, that is, the first electrode 600 has Smaller thickness.

參照第8圖至第10圖,形成一對第二電極700於第一電極600上,以使該對第二電極700沿著水平方向D2彼此對應地設置。也就是說,對於所屬技術領域中具有通常知識者而言,基板100、磊晶層200、及半導體層300係沿著垂直方向D1設置,然而此對第二電極700則是沿著水平方向D2設置。換句話說,該對第二電極700中的一個第二電極700與該對第二電極700中的另一個第二電極700彼此面對。在一實施例中,該對第二電極700中的一個第二電極700可設置於溝槽T的一個側表面上,且該對第二電極700中的另一個第二電極700可設置於溝槽T的另一個側表面上,也就是設置於相對側表面上,以使該對第二電極700沿著水平方向D2彼此對應地設置。詳細而言,在一實施例中,如第8圖所示,順應性地形成第二電極介電層510於第一電極600上。第二電極介電層510係設置於介於第一電極600及後續形成的第二電極之間。第二電極介電層510的頂表面與第一電極介電層500的側壁形成類似溝槽的形狀。在一實施例中,第二電極介電層510僅形成在於凹槽210中的第一電極介電層500上。在一實施例中,第二電極介電層510可順應性地同時形成於第一電極介電層500及第一電極600上。在一些實施例中,第二電極介電層510與第一電極介電層500可以相同或不同材料形成。在一些實施例中,第二電極介電層510可為氧化矽、氮氧化矽、低介電常數(low-k)介電材料、或其它任何適合之介電材料、或上述之組合。在一些實施例中,第二電極介電層510可包含氧化物。在一些實施例中,第二電極介電層510與第一電極介電層500可以相同或不同的製程形成。 Referring to FIGS. 8 to 10, a pair of second electrodes 700 are formed on the first electrode 600 so that the pair of second electrodes 700 are arranged corresponding to each other along the horizontal direction D2. In other words, for those skilled in the art, the substrate 100, the epitaxial layer 200, and the semiconductor layer 300 are arranged along the vertical direction D1, while the pair of second electrodes 700 are arranged along the horizontal direction D2. set up. In other words, one second electrode 700 of the pair of second electrodes 700 and the other second electrode 700 of the pair of second electrodes 700 face each other. In an embodiment, one second electrode 700 of the pair of second electrodes 700 may be disposed on one side surface of the trench T, and the other second electrode 700 of the pair of second electrodes 700 may be disposed on the trench T. On the other side surface of the groove T, that is, on the opposite side surface, so that the pair of second electrodes 700 are arranged corresponding to each other along the horizontal direction D2. In detail, in one embodiment, as shown in FIG. 8, the second electrode dielectric layer 510 is formed on the first electrode 600 in compliance. The second electrode dielectric layer 510 is disposed between the first electrode 600 and the second electrode formed subsequently. The top surface of the second electrode dielectric layer 510 and the sidewall of the first electrode dielectric layer 500 form a trench-like shape. In one embodiment, the second electrode dielectric layer 510 is formed only on the first electrode dielectric layer 500 in the groove 210. In one embodiment, the second electrode dielectric layer 510 can be formed on the first electrode dielectric layer 500 and the first electrode 600 simultaneously. In some embodiments, the second electrode dielectric layer 510 and the first electrode dielectric layer 500 may be formed of the same or different materials. In some embodiments, the second electrode dielectric layer 510 may be silicon oxide, silicon oxynitride, low-k dielectric material, or any other suitable dielectric material, or a combination of the foregoing. In some embodiments, the second electrode dielectric layer 510 may include oxide. In some embodiments, the second electrode dielectric layer 510 and the first electrode dielectric layer 500 may be formed by the same or different processes.

如第9圖所示,填入導電材料於溝槽T中,且設置於第二電極介電層510上,以順應性地形成電極材料層710於第二電極 介電層510上。電極材料層710可具有溝槽。導電材料作為形成第二電極700的電極材料。在一些實施例中,用於形成第二電極700的導電材料與用於形成第一電極600的導電材料可為相同或不同。在一些實施例中,第二電極700與第一電極600可以相同或不同的製程形成。在一些實施例中,用於形成第二電極700的導電材料可為多晶矽。 As shown in FIG. 9, a conductive material is filled in the trench T and disposed on the second electrode dielectric layer 510 to conformally form the electrode material layer 710 on the second electrode On the dielectric layer 510. The electrode material layer 710 may have grooves. A conductive material is used as an electrode material for forming the second electrode 700. In some embodiments, the conductive material used to form the second electrode 700 and the conductive material used to form the first electrode 600 may be the same or different. In some embodiments, the second electrode 700 and the first electrode 600 can be formed by the same or different processes. In some embodiments, the conductive material used to form the second electrode 700 may be polysilicon.

如第10圖所示,蝕刻電極材料層710至露出第二電極介電層510的上表面的一部分,以形成在其之間具有間隙的該對第二電極700。該對第二電極700之間的間隙的間距最小值可實質上對應於順應性地形成的電極材料層710的厚度。在一實施例中,該對第二電極700的形狀取決於進行蝕刻的方式,諸如:蝕刻時間、蝕刻種類、蝕刻選擇性、蝕刻速率,但不限於其。舉例而言,當進行非等向性蝕刻時,該對第二電極700可具有上窄下寬的形狀。也就是說,靠近基板的間隙的間距S1小於遠離基板100的間隙的間距S2。在一實施例中,該對第二電極700可具有類似於間隙物(spacer)的形狀。 As shown in FIG. 10, the electrode material layer 710 is etched to expose a part of the upper surface of the second electrode dielectric layer 510 to form the pair of second electrodes 700 with a gap therebetween. The minimum distance of the gap between the pair of second electrodes 700 may substantially correspond to the thickness of the electrode material layer 710 that is formed compliantly. In an embodiment, the shape of the pair of second electrodes 700 depends on the etching method, such as etching time, etching type, etching selectivity, and etching rate, but is not limited thereto. For example, when performing anisotropic etching, the pair of second electrodes 700 may have a narrow top and a wide bottom shape. That is, the distance S1 of the gap close to the substrate is smaller than the distance S2 of the gap far from the substrate 100. In an embodiment, the pair of second electrodes 700 may have a shape similar to a spacer.

在一實施例中,可重複進行如第8圖至第10圖所述之形成第二電極700的步驟,以形成多於一對之所需對數的第二電極700,來進一步調整後續形成的SGT-MOSFET的電性特徵。 In one embodiment, the steps of forming the second electrode 700 as described in FIGS. 8 to 10 may be repeated to form more than one pair of the second electrode 700 required to further adjust the subsequent formation. Electrical characteristics of SGT-MOSFET.

參照第11圖及第12圖,形成第三電極800於上述該對第二電極700上,以獲得本發明的一實施例的半導體結構1。詳細而言,在一實施例中,如第11圖所示,順應性地形成第三電極介電層520於此對第二電極700上。第三電極介電層520係設置於介於此對第二電極700及第三電極800之間。在一實施例中, 第三電極介電層520具有均勻厚度,因此後續形成於第三電極介電層520上的第三電極可具有對應於此對第二電極700的形狀。在此情況下,第三電極800除了可具有對應於此對第二電極700的形狀之外,第三電極800的一部份可延伸至介於此對第二電極700之間的間隙中。甚至,第三電極800的一部份可與第二電極介電層510接觸,也就是說,第三電極800可與未被此對第二電極700及第三電極介電層520覆蓋的第二電極介電層510的上表面的一部分接觸。在一實施例中,第三電極介電層520僅形成於此對第二電極700上,因此第三電極介電層520可暴露第二電極介電層510的上表面的一部份。 Referring to FIGS. 11 and 12, a third electrode 800 is formed on the pair of second electrodes 700 to obtain a semiconductor structure 1 according to an embodiment of the present invention. In detail, in one embodiment, as shown in FIG. 11, a third electrode dielectric layer 520 is conformably formed on the pair of second electrodes 700. The third electrode dielectric layer 520 is disposed between the pair of second electrodes 700 and the third electrode 800. In one embodiment, The third electrode dielectric layer 520 has a uniform thickness, so the third electrode subsequently formed on the third electrode dielectric layer 520 may have a shape corresponding to the pair of second electrodes 700. In this case, in addition to the third electrode 800 having a shape corresponding to the pair of second electrodes 700, a part of the third electrode 800 may extend into the gap between the pair of second electrodes 700. Furthermore, a part of the third electrode 800 can be in contact with the second electrode dielectric layer 510, that is, the third electrode 800 can be in contact with the second electrode not covered by the pair of second electrode 700 and the third electrode dielectric layer 520 A part of the upper surface of the two-electrode dielectric layer 510 is in contact with each other. In one embodiment, the third electrode dielectric layer 520 is formed only on the pair of second electrodes 700, so the third electrode dielectric layer 520 can expose a part of the upper surface of the second electrode dielectric layer 510.

在一實施例中,第三電極介電層520可同時形成於第二電極介電層510及此對第二電極700上。在一實施例中,第三電極介電層520可具有不均勻的厚度,因此後續形成於第三電極介電層520上的第三電極800可不具有對應於此對第二電極700的形狀。此外,在一實施例中,相較於此對第二電極700,整個第三電極800皆更遠離基板100。 In one embodiment, the third electrode dielectric layer 520 may be formed on the second electrode dielectric layer 510 and the pair of second electrodes 700 at the same time. In an embodiment, the third electrode dielectric layer 520 may have an uneven thickness, so the third electrode 800 subsequently formed on the third electrode dielectric layer 520 may not have a shape corresponding to the pair of second electrodes 700. In addition, in one embodiment, compared to the pair of second electrodes 700, the entire third electrode 800 is farther away from the substrate 100.

在一些實施例中,第三電極介電層520與第二電極介電層510及第一電極介電層500可以相同或不同材料形成。在一些實施例中,第三電極介電層520可為氧化矽、氮氧化矽、低介電常數(low-k)介電材料、或其它任何適合之介電材料、或上述之組合。在一些實施例中,第三電極介電層520可包含氧化物。在一些實施例中,第三電極介電層520與第二電極介電層510及第一電極介電層500可以相同或不同的製程形成。 In some embodiments, the third electrode dielectric layer 520, the second electrode dielectric layer 510, and the first electrode dielectric layer 500 may be formed of the same or different materials. In some embodiments, the third electrode dielectric layer 520 may be silicon oxide, silicon oxynitride, low-k dielectric material, or any other suitable dielectric material, or a combination of the foregoing. In some embodiments, the third electrode dielectric layer 520 may include oxide. In some embodiments, the third electrode dielectric layer 520, the second electrode dielectric layer 510, and the first electrode dielectric layer 500 can be formed by the same or different processes.

參照第12圖,填入導電材料於溝槽T中,以形成第三 電極800。導電材料作為形成第三電極800的電極材料。在一些實施例中,用於形成第三電極800的導電材料與用於形成第二電極700的導電材料及用於形成第一電極700的導電材料可為相同或不同。在一些實施例中,第三電極800與第二電極700及第一電極600可以相同或不同的製程形成。在一些實施例中,用於形成第三電極800的導電材料可為多晶矽。 Referring to Figure 12, fill conductive material in the trench T to form a third Electrode 800. A conductive material is used as the electrode material for forming the third electrode 800. In some embodiments, the conductive material used to form the third electrode 800 and the conductive material used to form the second electrode 700 and the conductive material used to form the first electrode 700 may be the same or different. In some embodiments, the third electrode 800 and the second electrode 700 and the first electrode 600 can be formed by the same or different processes. In some embodiments, the conductive material used to form the third electrode 800 may be polysilicon.

在一實施例中,當填入導電材料於溝槽T後,可進一步執行平坦化製程,以平坦化導電材料,直至露出第一電極介電層500的上表面,以形成第三電極800,進而獲得本發明之包含第一電極600、一對第二電極700、及第三電極800之一實施例的半導體結構1。上述平坦化製程可包含使用例如:CMP製程來進行。 In one embodiment, after the conductive material is filled in the trench T, a planarization process can be further performed to planarize the conductive material until the upper surface of the first electrode dielectric layer 500 is exposed to form the third electrode 800. Furthermore, the semiconductor structure 1 including one embodiment of the first electrode 600, a pair of second electrodes 700, and a third electrode 800 of the present invention is obtained. The above-mentioned planarization process may include the use of, for example, a CMP process.

參照第13圖至第18圖,本發明的半導體結構可藉由執行進一步的製程來形成本發明實施例之一的SGT-MOSFET。 Referring to FIG. 13 to FIG. 18, the semiconductor structure of the present invention can form an SGT-MOSFET of one embodiment of the present invention by performing further processes.

如第13圖所示,形成第一摻雜區310於半導體層300的遠離基板100之表面。形成第一摻雜區310的方式包含:舉例而言,離子植入(ion implantation)或擴散(diffusion)製程來形成,但不限於此。另外,還可藉由快速熱退火(rapid thermal annealing,RTA)製程來活化被植入的摻質。 As shown in FIG. 13, the first doped region 310 is formed on the surface of the semiconductor layer 300 away from the substrate 100. The method of forming the first doped region 310 includes, for example, ion implantation or diffusion process, but it is not limited thereto. In addition, the implanted dopants can also be activated by a rapid thermal annealing (RTA) process.

如第14圖所示,形成層間介電(interlayer dielectric)層900於第三電極800及第一電極介電層500的上方。在一些實施例中,層間介電層900與第三電極介電層520可以相同材料形成。在一些實施例中,層間介電層900與第三電極介電層520可以相同或不同的製程形成。 As shown in FIG. 14, an interlayer dielectric layer 900 is formed on the third electrode 800 and the first electrode dielectric layer 500. In some embodiments, the interlayer dielectric layer 900 and the third electrode dielectric layer 520 may be formed of the same material. In some embodiments, the interlayer dielectric layer 900 and the third electrode dielectric layer 520 can be formed by the same or different processes.

如第15圖所示,形成接觸通孔CT。接觸通孔CT可貫 穿第一摻雜區310、第一電極介電層500及層間介電層900。接觸通孔CT不貫穿半導體層300。接觸通孔CT暴露設置於磊晶層200上的半導體層300的一部分。 As shown in Fig. 15, a contact via CT is formed. Contact through hole CT can penetrate Pass through the first doped region 310, the first electrode dielectric layer 500, and the interlayer dielectric layer 900. The contact via CT does not penetrate the semiconductor layer 300. The contact via CT exposes a part of the semiconductor layer 300 provided on the epitaxial layer 200.

如第16圖所示,形成第二摻雜區320於接觸通孔CT下且於半導體層300中。第一摻雜區310與第二摻雜區320具有不同的導電型態。如第17圖所示,填入通孔材料於接觸通孔CT中,以形成接觸插塞330。在一些實施例中,通孔材料可包含金屬材料、導電材料、或其他合適的材料。如第18圖所示,形成金屬層910於層間介電層900上,使金屬層910與接觸插塞330彼此接觸,以獲得本發明實施例之一的SGT-MOSFET。 As shown in FIG. 16, a second doped region 320 is formed under the contact via CT and in the semiconductor layer 300. The first doped region 310 and the second doped region 320 have different conductivity types. As shown in FIG. 17, the through hole material is filled in the contact through hole CT to form a contact plug 330. In some embodiments, the via material may include metal materials, conductive materials, or other suitable materials. As shown in FIG. 18, a metal layer 910 is formed on the interlayer dielectric layer 900 so that the metal layer 910 and the contact plug 330 are in contact with each other to obtain an SGT-MOSFET of one embodiment of the present invention.

在一些實施例中,基板100、磊晶層200、以及第一摻雜區310具有第一導電型態。第一摻雜區310的摻雜濃度可高於基板100及磊晶層200的摻雜濃度。半導體層300及第二摻雜區320具有不同於第一導電型態的第二導電型態。第二摻雜區320的摻雜濃度可高於半導體層300的摻雜濃度。具體而言,當基板100與磊晶層200為N型,半導體層300為P型,則第一摻雜區310可為重摻雜之N+型態,且第二摻雜區320可為重摻雜之P+型態。 In some embodiments, the substrate 100, the epitaxial layer 200, and the first doped region 310 have the first conductivity type. The doping concentration of the first doped region 310 may be higher than the doping concentration of the substrate 100 and the epitaxial layer 200. The semiconductor layer 300 and the second doped region 320 have a second conductivity type different from the first conductivity type. The doping concentration of the second doping region 320 may be higher than the doping concentration of the semiconductor layer 300. Specifically, when the substrate 100 and the epitaxial layer 200 are of N type and the semiconductor layer 300 is of P type, the first doped region 310 may be a heavily doped N+ type, and the second doped region 320 may be heavily doped The P+ type.

需特別說明的是,一般而言,SGT-MOSFET可分為左右分離閘極式(left and right split gate)SGT-MOSFET、及上下分離閘極式(up and down split gate)SGT-MOSFET。在欲形成上下分離閘極式SGT-MOSFET時,使用本發明的半導體結構的形成方法,能夠藉由在同一步驟中同時形成在水平方向上彼此對應的一對遮蔽電極,而在僅改變少數步驟的情況下,簡易地依據需求增設更多遮蔽結構來增加電荷平衡效果,以進一步改善 SGT-MOSFET的電性特徵。 It should be noted that, generally speaking, SGT-MOSFETs can be divided into left and right split gate SGT-MOSFETs and up and down split gate SGT-MOSFETs. When the upper and lower gate SGT-MOSFETs are to be formed, the semiconductor structure forming method of the present invention can simultaneously form a pair of shielding electrodes corresponding to each other in the horizontal direction in the same step, and only a few steps can be changed. In the case of the circumstance, simply add more shielding structures according to the demand to increase the charge balance effect to further improve Electrical characteristics of SGT-MOSFET.

在本發明的一些實施例中,半導體結構可包含第一電極600、沿水平方向設置的一對第二電極700、以及第三電極800。其中,第一電極600與該對第二電極700可共同作為遮蔽電極(shielding electrode)的源極電極,因此本發明的實施例之一的SGT-MOSFET可具有多個遮蔽電極。藉由於進一步設置成對的第二電極700,改變閘極與汲極之間的電容,來提升SGT-MOSFET的電性性能。 In some embodiments of the present invention, the semiconductor structure may include a first electrode 600, a pair of second electrodes 700 arranged in a horizontal direction, and a third electrode 800. Wherein, the first electrode 600 and the pair of second electrodes 700 can serve as source electrodes of shielding electrodes. Therefore, the SGT-MOSFET in one of the embodiments of the present invention may have multiple shielding electrodes. The electrical performance of the SGT-MOSFET is improved by changing the capacitance between the gate electrode and the drain electrode by further disposing the pair of second electrodes 700.

綜上所述,根據本發明的一些實施例,本發明藉由同時設置多個源極遮蔽結構來進一步改善電性性能。舉例而言,能夠降低閘汲極電容、降低導通電阻及減少開關損耗。 In summary, according to some embodiments of the present invention, the present invention further improves the electrical performance by arranging multiple source shielding structures at the same time. For example, the gate and drain capacitance can be reduced, the on-resistance can be reduced, and the switching loss can be reduced.

此外,由於本發明藉由調整設置源極遮蔽結構的製程,因此本發明所述的形成方法能夠廣泛應用於各種電晶體的改良,並為一種能以單一步驟形成水平設置的類似間隙物形狀的成對遮蔽電極之形成方法。故而能夠達到簡化製程,同時改善電晶體電性特徵的目的。 In addition, because the present invention adjusts the process of setting the source shielding structure, the forming method of the present invention can be widely used in the improvement of various transistors, and is a kind of material that can form horizontally arranged spacers in a single step. A method of forming a pair of shielded electrodes. Therefore, the purpose of simplifying the manufacturing process and improving the electrical characteristics of the transistor can be achieved.

雖然本揭露的實施例及其優點已揭露如上,但應該瞭解的是,任何所屬技術領域中具有通常知識者,在不脫離本揭露之精神和範圍內,當可作更動、替代與潤飾。此外,本揭露之保護範圍並未侷限於說明書內所述特定實施例中的製程、機器、製造、物質組成、裝置、方法及步驟,任何所屬技術領域中具有通常知識者可從本揭露一些實施例之揭示內容中理解現行或未來所發展出的製程、機器、製造、物質組成、裝置、方法及步驟,只要可以在此處所述實施例中實施大抵相同功能或獲得大抵相同結果皆可根據本 揭露一些實施例使用。因此,本揭露之保護範圍包括上述製程、機器、製造、物質組成、裝置、方法及步驟。另外,每一申請專利範圍構成個別的實施例,且本揭露之保護範圍也包括各個申請專利範圍及實施例的組合。 Although the embodiments of the present disclosure and their advantages have been disclosed as above, it should be understood that any person with ordinary knowledge in the relevant technical field can make changes, substitutions and modifications without departing from the spirit and scope of the present disclosure. In addition, the protection scope of the present disclosure is not limited to the manufacturing processes, machines, manufacturing, material composition, devices, methods, and steps in the specific embodiments described in the specification. Anyone with ordinary knowledge in the technical field can implement some implementations from this disclosure. The disclosed content of the examples understands the current or future developed processes, machines, manufacturing, material composition, devices, methods, and steps, as long as they can implement substantially the same functions or obtain substantially the same results in the embodiments described herein. Book Some examples are disclosed for use. Therefore, the protection scope of the present disclosure includes the above-mentioned manufacturing processes, machines, manufacturing, material composition, devices, methods, and steps. In addition, each patent application scope constitutes an individual embodiment, and the protection scope of the present disclosure also includes each patent application scope and a combination of embodiments.

以上概述數個實施例,以便在本發明所屬技術領域中具有通常知識者可以更理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應該理解,他們能以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應該理解到,此類等效的製程和結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍之下,做各式各樣的改變、取代和替換。 Several embodiments are summarized above so that those with ordinary knowledge in the technical field of the present invention can better understand the viewpoints of the embodiments of the present invention. Those with ordinary knowledge in the technical field of the present invention should understand that they can design or modify other manufacturing processes and structures based on the embodiments of the present invention to achieve the same purposes and/or advantages as the embodiments described herein. Those with ordinary knowledge in the technical field of the present invention should also understand that such equivalent manufacturing processes and structures do not depart from the spirit and scope of the present invention, and they can do so without departing from the spirit and scope of the present invention. Make all kinds of changes, substitutions and replacements.

1:半導體結構 1: Semiconductor structure

100:基板 100: substrate

200:磊晶層 200: epitaxial layer

210:凹槽 210: Groove

300:半導體層 300: semiconductor layer

310:第一摻雜區 310: the first doped region

320:第二摻雜區 320: second doped region

330:接觸插塞 330: contact plug

400:圖案化硬遮罩層 400: Patterned hard mask layer

500:第一電極介電層 500: first electrode dielectric layer

510:第二電極介電層 510: second electrode dielectric layer

520:第三電極介電層 520: third electrode dielectric layer

600:第一電極 600: first electrode

700:第二電極 700: second electrode

710:電極材料層 710: Electrode material layer

800:第三電極 800: third electrode

900:層間介電層 900: Interlayer dielectric layer

910:金屬層 910: Metal layer

CT:接觸通孔 CT: contact through hole

D1:垂直方向 D1: vertical direction

D2:水平方向 D2: horizontal direction

OP:開口 OP: opening

S1,S2:間距 S1, S2: Spacing

T:溝槽 T: groove

藉由以下的詳述配合所附圖式,我們能更加理解本發明實施例的觀點。值得注意的是,根據工業上的標準慣例,一些部件(feature)可能沒有按照比例繪製。事實上,為了能清楚地討論,不同部件的尺寸可能被增加或減少。 第1圖至第12圖是根據本發明的一些實施例,繪示在各個階段形成半導體結構的剖面示意圖;及 第13圖至第18圖是根據本發明的一些實施例,繪示出基於第12圖所示之半導體結構,在各個階段形成本發明實施例之一的SGT-MOSFET的剖面示意圖。 Through the following detailed description and the accompanying drawings, we can better understand the viewpoints of the embodiments of the present invention. It is worth noting that, according to industry standard conventions, some features may not be drawn to scale. In fact, in order to be able to discuss clearly, the size of different components may be increased or decreased. Figures 1 to 12 are schematic cross-sectional views illustrating the formation of a semiconductor structure at various stages according to some embodiments of the present invention; and FIGS. 13 to 18 are cross-sectional schematic diagrams showing the formation of an SGT-MOSFET in various stages based on the semiconductor structure shown in FIG. 12 according to some embodiments of the present invention.

1:半導體結構 1: Semiconductor structure

100:基板 100: substrate

200:磊晶層 200: epitaxial layer

300:半導體層 300: semiconductor layer

500:第一電極介電層 500: first electrode dielectric layer

510:第二電極介電層 510: second electrode dielectric layer

520:第三電極介電層 520: third electrode dielectric layer

600:第一電極 600: first electrode

700:第二電極 700: second electrode

800:第三電極 800: third electrode

D1:垂直方向 D1: vertical direction

D2:水平方向 D2: horizontal direction

T:溝槽 T: groove

Claims (13)

一種半導體結構的形成方法,其包含: 在一基板上依序形成一磊晶層及一半導體層; 在該半導體層上形成一圖案化硬遮罩層; 使用該圖案化硬遮罩層作為蝕刻遮罩,並蝕刻該半導體層及該磊晶層,以使該磊晶層具有一凹槽; 移除該圖案化硬遮罩層; 形成一第一電極介電層於該凹槽的側壁及底面上且於該半導體層上,以使該第一電極介電層具有一溝槽; 形成一第一電極於該溝槽中; 形成一對第二電極於該第一電極上,以使該對第二電極沿著水平方向彼此對應地設置;以及 形成一第三電極於該對第二電極上。 A method for forming a semiconductor structure includes: Sequentially forming an epitaxial layer and a semiconductor layer on a substrate; Forming a patterned hard mask layer on the semiconductor layer; Using the patterned hard mask layer as an etching mask, and etching the semiconductor layer and the epitaxial layer, so that the epitaxial layer has a groove; Removing the patterned hard mask layer; Forming a first electrode dielectric layer on the sidewall and bottom surface of the groove and on the semiconductor layer, so that the first electrode dielectric layer has a trench; Forming a first electrode in the trench; Forming a pair of second electrodes on the first electrode, so that the pair of second electrodes are arranged corresponding to each other along the horizontal direction; and A third electrode is formed on the pair of second electrodes. 如請求項1之形成方法,其中形成該對第二電極於該第一電極上之步驟進一步包含: 形成一第二電極介電層於該第一電極上; 形成一電極材料層於該第二電極介電層上;以及 蝕刻該電極材料層至露出該第二電極介電層的一上表面的一部分,以形成在其之間具有一間隙的該對第二電極。 The forming method of claim 1, wherein the step of forming the pair of second electrodes on the first electrode further comprises: Forming a second electrode dielectric layer on the first electrode; Forming an electrode material layer on the second electrode dielectric layer; and The electrode material layer is etched to expose a part of an upper surface of the second electrode dielectric layer to form the pair of second electrodes with a gap therebetween. 如請求項1之形成方法,其中形成該第三電極於該對第二電極上的步驟進一步包含: 使該第三電極的一部份延伸至介於該對第二電極之間的一間隙中。 According to the forming method of claim 1, wherein the step of forming the third electrode on the pair of second electrodes further comprises: A part of the third electrode is extended into a gap between the pair of second electrodes. 如請求項1之形成方法,其中在形成該第三電極於該對第二電極上的步驟之前: 形成一第三電極介電層於該對第二電極上。 The forming method of claim 1, wherein before the step of forming the third electrode on the pair of second electrodes: A third electrode dielectric layer is formed on the pair of second electrodes. 如請求項4之形成方法,其中: 該第三電極介電層具有對應於該對第二電極的形狀,且該第三電極介電層暴露該第二電極介電層的上表面的一部份。 Such as the formation method of claim 4, where: The third electrode dielectric layer has a shape corresponding to the pair of second electrodes, and the third electrode dielectric layer exposes a part of the upper surface of the second electrode dielectric layer. 如請求項1之形成方法,其進一步包含: 形成一第一摻雜區於該半導體層; 形成一層間介電層於該第一電極介電層上; 形成一接觸通孔,該接觸通孔暴露設置於該磊晶層上的該半導體層的一部分; 形成一第二摻雜區於該接觸通孔下且於該半導體層中; 填充一通孔材料於該接觸通孔中,以形成一接觸插塞;以及 形成一金屬層於該層間介電層上,使該金屬層與該接觸插塞彼此接觸。 Such as the formation method of claim 1, which further includes: Forming a first doped region on the semiconductor layer; Forming an interlayer dielectric layer on the first electrode dielectric layer; Forming a contact through hole, the contact through hole exposing a part of the semiconductor layer disposed on the epitaxial layer; Forming a second doped region under the contact via and in the semiconductor layer; Filling a through hole material in the contact through hole to form a contact plug; and A metal layer is formed on the interlayer dielectric layer so that the metal layer and the contact plug are in contact with each other. 如請求項6之形成方法,其中: 該基板、該磊晶層、以及該第一摻雜區具有一第一導電型態,且該半導體層及該第二摻雜區具有不同於該第一導電型態的一第二導電型態。 Such as the formation method of claim 6, where: The substrate, the epitaxial layer, and the first doped region have a first conductivity type, and the semiconductor layer and the second doped region have a second conductivity type different from the first conductivity type . 一種半導體結構,其包含: 一基板,具有一第一導電型態; 一磊晶層,具有該第一導電型態,設置於該基板上,具有一凹槽; 一半導體層,具有不同於該第一導電型態的一第二導電型態,設置於該磊晶層上; 一第一電極介電層,設置於該凹槽的側壁及底面上且設置於該半導體層上,具有一溝槽; 一第一電極,設置於該溝槽中; 一對第二電極,設置於該第一電極上,該對第二電極沿著水平方向彼此對應;以及 一第三電極,設置於該對第二電極上。 A semiconductor structure comprising: A substrate having a first conductivity type; An epitaxial layer having the first conductivity type, disposed on the substrate, and having a groove; A semiconductor layer having a second conductivity type different from the first conductivity type and disposed on the epitaxial layer; A first electrode dielectric layer, arranged on the sidewall and bottom surface of the groove and on the semiconductor layer, with a groove; A first electrode arranged in the groove; A pair of second electrodes arranged on the first electrode, the pair of second electrodes corresponding to each other along the horizontal direction; and A third electrode is arranged on the pair of second electrodes. 如請求項8之半導體結構,其進一步包含: 一第二電極介電層,設置於該第一電極及該對第二電極之間;以及 一第三電極介電層,設置於該對第二電極及該第三電極之間。 Such as the semiconductor structure of claim 8, which further includes: A second electrode dielectric layer disposed between the first electrode and the pair of second electrodes; and A third electrode dielectric layer is arranged between the pair of second electrodes and the third electrode. 如請求項8之半導體結構,其中該第三電極的一部分延伸至介於該對第二電極之間的一間隙中。The semiconductor structure of claim 8, wherein a part of the third electrode extends into a gap between the pair of second electrodes. 如請求項8之半導體結構,其中該第三電極的一部分與該第二電極介電層接觸。The semiconductor structure of claim 8, wherein a part of the third electrode is in contact with the second electrode dielectric layer. 如請求項8之半導體結構,其中該第三電極的一部分的形狀對應於該對第二電極的形狀。The semiconductor structure of claim 8, wherein the shape of a part of the third electrode corresponds to the shape of the pair of second electrodes. 如請求項8之半導體結構,其進一步包含: 一第一摻雜區,具有該第一導電型態,設置於該半導體層上; 一層間介電層,設置於該第一電極介電層上; 一接觸插塞,貫穿該層間介電層、該第一電極介電層、以及該第一摻雜區,且不貫穿該半導體層; 一第二摻雜區,具有該第二導電型態,設置於該半導體層,與該接觸插塞接觸;以及 一金屬層,設置於該層間介電層上,與該接觸插塞接觸。 Such as the semiconductor structure of claim 8, which further includes: A first doped region having the first conductivity type and disposed on the semiconductor layer; An interlayer dielectric layer disposed on the first electrode dielectric layer; A contact plug that penetrates the interlayer dielectric layer, the first electrode dielectric layer, and the first doped region, but does not penetrate the semiconductor layer; A second doped region having the second conductivity type, disposed on the semiconductor layer, and in contact with the contact plug; and A metal layer is arranged on the interlayer dielectric layer and is in contact with the contact plug.
TW109125513A 2020-07-29 2020-07-29 Semiconductor structure and method of forming the same TWI746094B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW109125513A TWI746094B (en) 2020-07-29 2020-07-29 Semiconductor structure and method of forming the same
CN202110422809.3A CN114068670B (en) 2020-07-29 2021-04-19 Semiconductor structure and forming method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW109125513A TWI746094B (en) 2020-07-29 2020-07-29 Semiconductor structure and method of forming the same

Publications (2)

Publication Number Publication Date
TWI746094B true TWI746094B (en) 2021-11-11
TW202205388A TW202205388A (en) 2022-02-01

Family

ID=79907460

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109125513A TWI746094B (en) 2020-07-29 2020-07-29 Semiconductor structure and method of forming the same

Country Status (2)

Country Link
CN (1) CN114068670B (en)
TW (1) TWI746094B (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190109216A1 (en) * 2017-10-11 2019-04-11 Silergy Semiconductor Technology (Hangzhou) Ltd Method for manufacturing trench mosfet

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7183610B2 (en) * 2004-04-30 2007-02-27 Siliconix Incorporated Super trench MOSFET including buried source electrode and method of fabricating the same
US20120037983A1 (en) * 2010-08-10 2012-02-16 Force Mos Technology Co., Ltd. Trench mosfet with integrated schottky rectifier in same cell
CN111200018B (en) * 2018-11-19 2021-12-21 无锡华润上华科技有限公司 Semiconductor device and semiconductor device manufacturing method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20190109216A1 (en) * 2017-10-11 2019-04-11 Silergy Semiconductor Technology (Hangzhou) Ltd Method for manufacturing trench mosfet

Also Published As

Publication number Publication date
TW202205388A (en) 2022-02-01
CN114068670A (en) 2022-02-18
CN114068670B (en) 2023-07-07

Similar Documents

Publication Publication Date Title
KR101971349B1 (en) Contact plugs and methods forming same
US11476349B2 (en) FinFET structures and methods of forming the same
US10388651B2 (en) Shallow trench isolation recess process flow for vertical field effect transistor fabrication
US9870952B1 (en) Formation of VFET and finFET
US9136340B2 (en) Doped protection layer for contact formation
US8835255B2 (en) Method of forming a semiconductor structure including a vertical nanowire
KR20190003295A (en) Semiconductor device and method
US10249721B2 (en) Semiconductor device including a gate trench and a source trench
TW201913748A (en) Methods for forming semiconductor devices
US8492221B2 (en) Method for fabricating power semiconductor device with super junction structure
KR20190132171A (en) Non-conformal oxide liner and manufacturing methods thereof
KR20200047292A (en) Slot contacts and method forming same
KR102042718B1 (en) Fin field-effect transistor device and method
US11217676B1 (en) Antenna-free high-k gate dielectric for a gate-all-around transistor and methods of forming the same
CN103779222A (en) Manufacturing method of mosfet
TW202129723A (en) Semiconductor device and method for forming the same
KR102350485B1 (en) Semiconductor device
TWI746094B (en) Semiconductor structure and method of forming the same
TWI763033B (en) Semiconductor structure and method of forming the same
CN112951765A (en) Semiconductor structure and forming method thereof
TWI788100B (en) Semiconductor structure and method of forming the same
CN111863723A (en) Semiconductor structure and forming method thereof
TWI731753B (en) Semiconductor structure and method of forming the same
TWI819425B (en) Semiconductor structure and method of forming the same
TWI835349B (en) Semiconductor devices and methods for forming the same