TW202203352A - 製程模組、半導體處理系統、及處理半導體基板之方法 - Google Patents

製程模組、半導體處理系統、及處理半導體基板之方法 Download PDF

Info

Publication number
TW202203352A
TW202203352A TW110121565A TW110121565A TW202203352A TW 202203352 A TW202203352 A TW 202203352A TW 110121565 A TW110121565 A TW 110121565A TW 110121565 A TW110121565 A TW 110121565A TW 202203352 A TW202203352 A TW 202203352A
Authority
TW
Taiwan
Prior art keywords
top plate
process module
stages
rigid structure
shape
Prior art date
Application number
TW110121565A
Other languages
English (en)
Inventor
森幸博
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202203352A publication Critical patent/TW202203352A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/1303Apparatus specially adapted to the manufacture of LCDs

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

一種真空製程模組具有一預成形頂板及/或底部,其係經成形以向外凸出。當在此製程模組中處理基板時,此頂板及/或製程模組的形狀抵消由真空壓力及/或高溫所導致的變形。此製程模組可具有一側,其可對一傳遞室開啟;及一相對側,其相對於此可開啟側。此凸出部可以是不對稱的,其中此凸出部的頂峰在此頂板上偏心,且相較於此可開啟側,此頂峰更靠近此相對側。一剛性結構可安裝在此頂板上以調整此頂板中之此凸出部的量值。此樑可以是例如一剛性樑,其具有一可調整升降機構以用於舉升此頂板之一附接部分。此製程模組可容納複數個供處理的基板,其中各基板佔據此製程模組中之一專用載物台。

Description

多載物台基板處理系統
本申請案係關於基板處理系統,且更具體係關於在其中處理基板之基板處理模組。
製造半導體裝置(諸如在積體電路製造中)一般有關於使基板(諸如半導體晶圓)遭受許多製程(諸如研磨、沉積、蝕刻、光微影、熱處理等)。由於對製程結果品質的嚴格要求,在一些情況下,這些不同製程可在配置以一次處理單一基板的專用室中實施。欲增加製程產出量及降低製程成本,已開發在其中容納且並列處理複數個基板之處理模組。各基板可依想要的在製程模組內具有類似且均勻的局部環境,以在基板之間提供均勻的製程結果。
因此,對提供用於基板處理之均勻局部環境的基板處理系統持續存在需求。
在一些實施例中,一種用於處理一基板之製程模組包含複數個載物台,其等各配置以容納一基板;及一殼體,其圍封此等載物台,此殼體包含一底部、一頂板、及從此底部延伸至此頂板之側壁。如在剖面側視圖中所見,此頂板之一形狀界定一向上延伸的凸出部。
在一些實施例中,此殼體進一步包含一剛性結構,其經設置在此頂板上方且跨此頂板設置,此剛性結構包含一升降機構,其經附接至此頂板以用於維持此凸出部之一向上延伸。
在一些實施例中,此升降機構係可調整以用於調整此凸出部的高度。此升降機構可包含一螺紋桿,其經附接至此頂板之一對應螺紋部分,其中此凸出部之一頂點的高度可藉由此桿與此頂板之此對應螺紋部分的相對旋轉來調整。
在一些實施例中,此剛性結構包含一剛性樑(rigid beam)。
在一些實施例中,此頂板係一蓋總成,其包含對應於此複數個載物台的複數個蓋,且其中此剛性結構在成對的此等蓋之間延伸。
在一些實施例中,複數個蓋係設置在此等載物台之各別者上方,其中此複數個蓋並未平行於此等各別載物台。此等載物台之總數及此等蓋之數目各可以是四,其中此等載物台及此等蓋係各以一2x2矩陣布置。此凸出部之一頂點在比此頂板之一周緣高0.2毫米至4毫米的一範圍內突出。
在一些實施例中,此殼體的此底部向外延伸以形成一凸形狀。
在一些實施例中,一種用於處理一基板之製程模組包含一或多個載物台,其各配置以容納一基板;一殼體,其圍封此一或多個載物台,此殼體包含一底部、一頂板、及從此底部延伸至此蓋總成的側壁;及一剛性結構,其經設置在此頂板上方。此剛性結構包含一可調整升降機構,其經附接至此頂板以用於改變此頂板的一形狀。
在一些實施例中,此剛性結構包含一樑,其在此頂板上方延伸並跨此頂板延伸。在一些實施例中,此升降機構包含一公螺紋(male thread)及一母螺紋(female thread),此母螺紋配置以與此公螺紋耦接,並可相對於此公螺紋旋轉。此公螺紋及此母螺紋中之一者係附接至此剛性結構,且此公螺紋及此母螺紋中之另一者係附接至此頂板。
在一些實施例中,此等載物台之數目及此等蓋之數目係四個,且此等載物台及此等蓋係各以一2x2矩陣布置,且此剛性結構係設置在兩蓋的兩列之間。
在一些實施例中,此升降機構係在此頂板之一偏心點處附接至此頂板,如在由上而下視圖中所見者。
在一些實施例中,一種半導體處理系統包含一傳遞室及經附接至此傳遞室之一或多個處理模組。此一或多個處理模組包含複數個載物台及一殼體,此等載物台各配置以容納一基板,此殼體圍封此一或多個載物台。此殼體包含一底部、一頂板、可對此傳遞室開啟的一門、及相對於此門並從此底部延伸至此頂板的一側壁。此頂板具有一凸形狀,如在剖面側視圖中所見者。此凸形狀具有一頂點,相較於此可開啟的門,此頂點更靠近相對於此門的此側壁。
在一些實施例中,各載物台具有一各別蓋,其中此頂板係一蓋總成,且此凸形狀可改變成一平坦形狀,其中一經在此等載物台上處理此等基板,此等蓋係平行於此各別載物台。
在一些實施例中,此製程模組進一步包含一剛性結構,此剛性結構具有一升降機構以用於調整此頂板之一形狀。此升降機構包含一公螺紋及一母螺紋,此母螺紋配置以與此公螺紋耦接並可相對於此公螺紋旋轉。此公螺紋及此母螺紋中之一者係附接至此剛性結構,且此公螺紋及此母螺紋中之另一者係附接至此頂板。
在一些實施例中,一種用於處理半導體基板之方法包含提供一半導體製程模組;針對一半導體製程判定一製程溫度及一製程壓力的一或兩者;及基於此製程溫度及製程壓力的一或兩者以此升降機構調整此頂板的一形狀。此半導體製程模組包含一殼體及一剛性結構,此殼體圍封用於容納供處理之複數個半導體基板之複數個載物台,此剛性結構經設置在此頂板上方。此剛性結構包含一可調整升降機構,其經附接至此頂板以用於改變此頂板的一形狀。此殼體包含一底部、一頂板、及從此底部延伸至此頂板的側壁。此蓋總成包含複數個蓋,其等各對應於此複數個載物台中之一者。
在一些實施例中,以此升降機構調整此頂板之此形狀包含基於此製程溫度及製程壓力兩者改變此頂板之此形狀。
在一些實施例中,以此升降機構調整此頂板之此形狀包含增加經附接至此升降機構之此頂板的一部分之一高度。
在一些實施例中,此方法進一步包含將此複數個載物台加熱至此製程溫度,並將此複數個載物台抽空至此製程壓力。
在一些實施例中,此方法進一步包含後續在此複數個載物台中處理複數個半導體基板。
在一些實施例中,將此複數個載物台加熱至此製程溫度及將此複數個載物台抽空至此製程壓力使此頂板之此形狀的一向上偏折(deflection)變平。
在一些實施例中,此等蓋在處理半導體基板期間係平行於此等載物台。
在一些實施例中,處理半導體基板包含處理液晶顯示器(LCD)面板。
如本文所討論,製程產出量(throughput)可藉由增加基板處理模組的大小來增加,使得此等基板處理模組一次容納且同時處理多個基板。此外,基板本身的大小已隨時間增加。這些及其他因素已使製程模組的大小增加。隨著製程模組的大小增加,其對外部氣體壓力的敏感性(susceptibility)亦增加。
在一些情況下,用以形成製程模組的材料可加劇此敏感性。例如,一些製程模組可以鋁製成,其所具有的優點在於提供經處理裝置上之低位準(levels)的重金屬污染及對由暴露至製程氣體所導致的化學腐蝕具抗性。然而,用於使用鋁之製程模組可相對容易變形。
對許多製程而言,可抽空製程模組內部以為容納於其中的基板提供低壓處理環境。此可使製程模組暴露至製程模組內部與外部環境間之異常大的壓力差。例如,在一些處理壓力中,可能會在製程模組上施加由數噸大氣壓力所導致的壓縮力。非想要的地,此壓縮力可使製程模組殼體的寬頂部及/或底部部分變形。此變形可導致製程模組殼體的頂部及/或底部部分向內彎折,其可負面地影響基板周圍的製程環境,從而負面地影響針對基板之製程結果。此外,將理解,基板處理可在升高溫度下發生,且這些高溫可進一步導致製程模組變形。
在一些實施例中,真空製程模組具有由底部、頂板、及在底部與頂板之間延伸的壁所界定之體積。製程模組可容納複數個供處理的基板,其中各基板佔據製程模組中之專用「載物台」。在一些實施例中,各載物台可具有相關聯的基板支撐及蓋,其中各載物台的蓋共同形成蓋總成。載物台亦可具有將其等與其他載物台分開的内部隔板。頂板及底部跨載物台的全部者延伸,並包圍載物台的全部者。頂板及/或製程模組底部係經成形以向外凸出以形成凸形狀,以抵消在製程模組中處理基板的同時由真空壓力及/或高溫所導致的變形。在一些實施例中,頂板及/或製程模組底部係經「預成形(pre-shaped)」,或者其所具有的形狀具有經校準之特定大小的凸出部,使得當暴露至基板處理之真空壓力及溫度時,頂板及製程模組底部一經壓縮實質上係平行的。
在一些實施例中,向外凸出部可使用經設置在頂板上方及/或製程模組底部下方之剛性結構形成。例如,剛性結構可跨頂板安裝。剛性結構可附接至可調整升降機構,以用於調整頂板中之凸出部的量值。例如,可調整升降機構可以是螺紋桿,其可相對於頂板之匹配的螺紋部分旋轉,以增加或減小凸出部或凸形狀之向上程度的量值。在一些實施例中,剛性結構可以是樑。
製程模組可以是具有傳遞室之半導體處理系統的部分。製程模組可具有可開啟側,其具有可開啟以在製程模組與傳遞室之間提供存取的門。在一些實施例中,頂板凸出部可以是不對稱的,其中凸出部之頂峰在頂板上偏心(off-center)。例如,凸出部之頂點(apex)可較相對於可開啟側之製程模組的側更遠離可開啟側。
有利地,頂板及/或製程模組底部之形狀可抗變形或偏壓,使得即使在預期變形量的情況下,頂板及製程模組底部在處理期間實質上係平行的。將理解,相對於未預成形製程模組,提供此一製程環境可改善製程結果。例如,頂板及/或製程模組底部的形狀可提供更均勻的處理環境,其可包括改善前驅物對基板表面的存取(access)。結果,可改善製程產量及/或製程結果的品質。
現將參考圖式,其中通篇的相似數字係指相似零件。
第1圖係配備製程模組總成110之基板處理系統100的示意平面圖。製程模組總成110可包含多個製程模組115a、115b、115c、115d,其等繞中心傳遞室118成陣列。製程模組115a、115b、115c、115d之各者可包含複數個用於處理基板之反應室112,其中處理期間在專用室中容納一個基板。在所繪示之實施例中,顯示四個製程模組。在一些其他實施例中,基板處理系統100可包括各種其他數目的製程模組總成(例如,五個、六個等製程模組總成)。
處理系統100可進一步包含控制器140,其可包括硬體微處理器(hardware microprocessors)、微控制器(microcontrollers)、可程式化邏輯控制器(progra毫米able logic controllers)、專用硬體(dedicated hardware)、及/或記憶體(memory)、或類似者。將理解,形成控制器140之各種硬體件可常駐(resident)在共同位置中,或者可以是彼此通訊的分散式(distributed)硬體件。控制器可經程式化或以其他方式配置以導致本文所述之各種製程及相關動作的實施。製程可包括例如本文所述之裝載、處理、判定製程壓力及/或溫度、調整頂板及/或底部形狀、及/或卸載序列的任何者。在一些實施例中,製程可藉由作為指令儲存在非暫時性電腦可讀媒體(non-transitory computer-readable medium)(例如記憶體)中而程式化至控制器中。控制器可與處理系統100的各種電源、加熱系統、泵、機器人(例如基板傳遞臂)、及氣流控制器或閥通訊並配置以發送指令至其等,以實行程式化中的製程,如所屬技術領域中具有通常知識者將理解者。
基板處理系統100可進一步包含負載鎖定室120及輸送室130。傳遞室118可包括基板傳遞裝置114,其可以是傳遞臂。基板傳遞裝置114係配置以接收基板並將基板傳遞至製程模組115a、115b、115c、115d中的一者。示意地繪示為成對的矩形隔板之模組門190係設置在各製程模組115a、115b、115c、115d與傳遞室118之間。將理解,模組門116可以是可再密封(resealable)的閉合件(諸如閘閥),且第1圖中之模組門116的數目係僅用於說明目的,並可依體積之間的基板存取及密封想要的而改變。例如,當基板傳遞裝置114傳遞基板進出製程模組115a、115b、115c、115d中之一者時,可開啟用於製程模組115a、115b、115c、115d中之一者的模組門116。對應的模組門116可在基板經傳遞至製程模組115a、115b、115c、115d中之一者中或從此者移除之後閉合。將理解,模組門116、基板傳遞裝置114等的操作可由控制器140控制。
持續參照第1圖,如本文所討論,在一些實施例中,製程模組總成110可具有各可以是類似的複數個製程模組(例如四個第一製程模組115a、115b、115c、115d)。在一些實施例中,各製程模組115a、115b、115c、115d可包括複數個反應室112(例如四個第一反應室112)。如所繪示,四個反應室112可以2x2矩陣布置,但將理解其他布置係可行的。反應室112之各者可用以處理基板。較佳地,反應室112之各者係單基板室,其配置以一次處理單一基板。例如,反應室112可經定大小,並具有配置以僅容納單一基板的基板支撐。在一些實施例中,反應室112可以是電漿增強(plasma enhanced)化學氣相沉積(chemical vapor deposition,CVD)反應室、熱化學氣相沉積反應室(thermal CVD reaction chambers)、電漿增強(plasma enhanced)原子層沉積(atomic layer deposition,ALD)反應室、熱原子層沉積反應室(thermal ALD reaction chambers)、蝕刻反應室(etching reaction chambers)、UV固化反應室(UV-curing reaction chambers)等。
在一些實施例中,基板傳遞裝置114可以是傳遞臂,其包括二或更多個傳遞子臂。在一些實施例中,二或更多個傳遞子臂之各者的主驅動部分可具有各種鉸接結構(例如3連桿選擇適應性鉸接機械臂(selective compliant articulated robot arm,SCARA)、4連桿選擇適應性鉸接機械臂、雙對稱臂(bisy毫米etric arm)、蛙足(frog-leg)/剪刀型臂(scissors type arm)、及線性滑動臂(linear sliding arm))。二或更多個傳遞子臂之各者可包括一或多個末端效應器(end effectors)。例如,二或更多個傳遞子臂之各者可包括複數個末端效應器(例如兩個末端效應器)。末端效應器的數目可等於在負載鎖定室130中布置為矩陣的站之數目或者第1圖所示之一個製程模組115a、115b、115c、115d中之反應室112的數目。
各製程模組115a、115b、115c、115d可經由模組門116連接至傳遞室112。模組門116可配置以可開啟及閉合,以分別提供至反應室112的存取及隔離反應室112與傳遞室118。例如,反應室112可在將基板傳遞至反應室112中之後,且在處理基板的同時與傳遞室118隔離。因此,可維持反應室112中之高度受控的製程環境,並可防止(例如不同的製程模組之間的)交叉污染。
持續參照第1圖,負載鎖定室120可包括複數個負載鎖定站120a。輸送室130可包括複數個裝載埠130b,其等用於與外部基板載具101及複數個致動器130a(例如機械臂)介接,以用於從基板載具101將基板移動至負載鎖定站120a。在一些實施例中,輸送室130可以是設備前端模組(equipment front end module,EFEM)。在一些實施例中,基板載具101係前開式晶圓傳送盒(front opening unified pods,FOUP)。
在一些實施例中,負載鎖定室120可經由輸送門122(例如閘閥)連接至輸送室130,並經由負載鎖定門124(例如閘閥)連接至傳遞室135。在一些實施例中,輸送室130及傳遞室118可連接至負載鎖定室120的相對側。當傳遞室118的基板傳遞裝置114裝載或卸載基板進出負載鎖定室120時,負載鎖定室120可配置以提供大約等於傳遞室118中之壓力的真空大氣。類似地,當從輸送室130接收未經處理的基板或使經處理的基板返回輸送室130時,可改變負載鎖定室120內的壓力,以匹配輸送室130中的壓力。可在負載鎖定室120中提供複數個負載鎖定站120a。如所繪示,負載鎖定站120a可以2x2矩陣布置,但其他布置係可行的。負載鎖定門124可設置在傳遞室118與負載鎖定室120之間。將理解,第1圖中之負載鎖定門124的數目係用於說明目的並可予以變更。當基板傳遞裝置114傳遞基板進出負載鎖定室120時,負載鎖定門124可開啟。在傳遞基板進出負載鎖定室120之後,負載鎖定門124可閉合。負載鎖定門124、傳遞臂等的操作可由控制器140控制。
輸送室130可包括用於開啟及閉合裝載埠130b的門之開門器(未繪示),以為在裝載埠130b與負載鎖定室120之間傳遞基板之機械臂130a提供存取。機械臂130a可例如使用用於導引機械臂130a移動之導軌而在輸送室130中移動。裝載埠130b在密封空間(例如介接基板載具的內部)中含有基板,以便保護基板免於大氣中的雜質或化學污染。在一些實施例中,提供兩機械臂130a,且各機械臂130a可包括兩個傳遞臂。因此,可同時將四個基板從裝載埠130a傳遞至負載鎖定室120中。如所繪示,將理解,在一些實施例中,可同時由機械臂130a傳遞的基板數目(例如四個基板)等於負載鎖定站120a的數目,其繼而可等於各種製程模組總成之各製程模組中的反應室數目。
第2圖係可用在第1圖之基板處理系統中之製程模組的示意剖視圖之一實例。製程模組150包括殼體155,其包含頂板160、底部165、及從底部165延伸至頂板160的側壁170。頂板160及底部165可以是平坦的,且各可界定水平平面。頂板160係平行於底部165。
第3圖及第4圖係第2圖之製程模組150的示意剖面側視圖,其等顯示當施加相對大的外部壓力至製程模組150時,頂板160及底部165的變形。一般而言,用於在基板上沉積層之製程(諸如化學氣相沉積及原子層沉積)可在非常低壓下執行。導因於製程模組150內部與外部周圍環境之間的大壓力差,壓縮頂板160及底部165的大氣壓力可以是大的(其可以是數噸),如由第3圖中的箭頭所繪示者。此壓力可使頂板160及/或底部165的形狀變形,如第4圖所繪示者。例如,頂板160及底部165兩者可口頭上受壓縮;頂板160的中心部分可由於高壓而下陷,且底部165的中心部分可在殼體155內舉升。此可負面地影響製程結果。例如,當頂板160及/或底部165經變形且彼此不平行時,在此兩結構之間生成的電漿之均勻性可受到負面影響。
第5圖至第7圖係基板處理系統之製程模組之實施例的實例。各圖式可理解為第1圖之基板處理系統之製程模組中之一者的示意剖視圖,其中剖面沿著平面B-B'取得。參照第5圖,製程模組200包括複數個載物台230及殼體205,載物台230經設置在此殼體中。各載物台230可包含基板支撐,其用於在處理期間支撐基板。將理解,載物台230之各者可對應於反應室112中之一者(第1圖)。此外,在一些實施例中,載物台可藉由隔板(未繪示)來分開。
持續參照第5圖,殼體205包含頂板225、底部240、及從底部240延伸至頂板225之側壁215。如本文所討論,側壁215在頂板225與底部240之間延伸,以形成在其中設置載物台230及執行製程的空間。在一些實施例中,頂板225可以是蓋總成,其包含框架220及複數個蓋210。如所繪示,用於容納基板之載物台230係設置在殼體205中,且對應的蓋210係設置在各別載物台230上方。框架220可具有可開口,各蓋210可插入此等開口中,以密封殼體205。在一些實施例中,蓋210可促成在各別載物台230與蓋210之間的空間中生成電漿。用於供應氣體至載物台230與蓋210之間的空間中之氣體管(未圖示)及開口可形成為通過蓋210。將理解,第5圖至第7圖之製程模組可對應於第1圖所繪示之製程模組115a、115b、115c、115d的一些者。
參照第5圖,頂板225具有向外凸出的形狀,使得頂板的内表面向上延伸。在一些實施例中,頂板225之內表面可具有凸形狀、弧形狀、角錐形狀、及/或彎曲形狀。在一些實施例中,蓋210可傾斜。例如,如所繪示,蓋210可大致平行於其所附接之頂板220的部分,且因此,可相對於水平平面傾斜。在一些實施例中,頂板225的外表面向上突出。
在一些實施例中,頂板225之中心部分可相對於頂板225之周邊部分(例如,相對於與側壁215接觸之頂板225的周邊部分)向上突出。在一些實施例中,於內凸出部之頂點處,中心部分之高度Hc 可在0.2毫米()至2.0毫米、0.3毫米至3.0毫米、或0.4毫米至4.0毫米的範圍內。將理解,高度Hc 可依據頂板225之寬度Wc 而變化,使得Hc 可隨Wc 增加而增加。在一些實施例中,對應於上文所提及之Hc 的值,頂板225可具有在500毫米至1000毫米、1000毫米至1500毫米、或1500毫米至2000毫米之範圍內的寬度Wc 。此外,如本文所討論,製程壓力及/或製程溫度亦可影響頂板225之變形程度,且結果,影響高度Hc 之量值。例如,Hc 可隨製程模組200的内部壓力與製程模組200外部的周圍壓力間之壓差的增加而增加(假設周圍壓力高於内部壓力)。替代地或此外,Hc 可隨製程溫度的增加而增加,因為溫度增加可能軟化形成頂板225的材料(例如金屬)。
在一些實施例中,可選擇頂板225之形狀及高度Hc ,使得在基板處理期間一經變形,高度Hc 就減小至頂板225及蓋210實質上平行於載物台230及載物台230上所處理之任何基板的位準。如本文所討論,處理期間之頂板225的變形在含有多個載物台/或處理大型基板的製程模組中尤其可以是成問題的。
在一些實施例中,當與底部240之周邊部分(例如,底部240與側壁215接觸之周邊部分)相比時,底部240之中心部分可向外(例如向下)凸出。在一些實施例中,底部240之內表面可具有凸形狀、弧形狀、顛倒角錐形狀、及/或彎曲形狀。載物台230可傾斜,且實質上平行於設置載物台230之底部240的一部分。在一些其他實施例中,載物台230並未傾斜,且大致平行於頂板225及蓋210。在一些實施例中,在其最低點處,底部240中之向外凸出部可相對於底部240與側壁215接觸之周邊部分向下延伸達高度Hb 。在一些實施例中,Hb 可在0.2毫米至2.0毫米、0.3毫米至3.0毫米、或 0.4毫米至4.0毫米的範圍內。如同高度Hc 的情況,將理解,高度Hb 可依據底部240之寬度Wb 而變化,使得Hb 可隨Wb 的增加而增加。在一些實施例中,對應於上文所提及之Hb 的值,底部240可具有在500毫米至1000毫米、1000毫米至1500毫米、或1500毫米至2000毫米之範圍內的寬度Wb 。同樣如本文所討論,在周圍壓力高於内部壓力處,高度Hb 之量值可隨製程模組200之内部壓力與製程模組200外之周圍壓力之間的壓力差增加而增加。在一些實施例中,Hb 亦可隨製程溫度的增加而增加。
現參照第7圖,頂板225及底部240兩者均可向外凸出,如上文分別就第5圖及第6圖所討論者。如本文所討論,在一些實施例中,頂板225及底部240之各者可具有凸形狀、弧形狀、角錐形狀、及/或彎曲形狀。頂板225及底部240的形狀可經調整,以在處理期間例如回應於在製程模組200內處理基板期間所產生的壓力差而使其等有效地變平(變為實質上平行)。在一些實施例中,於處理期間,頂板225及蓋210係平行於載物台230。
第8圖係顯示製程模組內的溫度與頂板的向內懸垂間之關係的表。製程模組內的壓力係保持恆定地處於3.0托耳(Torr),其中外部周圍壓力係1大氣壓力(atm)。如本文所討論,懸垂(sag)的量隨溫度而增加。顯而易見地,模擬提供實際測量懸垂的良好近似,指示可基於預期的製程條件實施製程模組之頂板及/或底部的有效預成形。在一些實施例中,Hc (第5圖至第7圖)實質上可等於預期懸垂的倒數(inverse)。
第9圖係具有凸頂板之製程模組的示意剖視圖之另一實例。第10圖係第9圖之製程模組的立體圖之一實例。
第9圖及第10圖所繪示的製程模組類似於第5圖至第7圖所繪示者,其中第9圖及第10圖就用於達成頂板之想要的形狀的技術提供額外細節。參照第9圖,如本文所討論,製程模組200包括複數個載物台230及殼體205,載物台230經設置在此殼體中。殼體205包含頂板225、側壁215、及底部240。在一些實施例中,頂板225可包括複數個蓋210。
持續參照第9圖,剛性結構270可在頂板225上方提供,並機械地連接至此頂板。剛性結構270可調整頂板225之形狀,且較佳地,具有比下伏的頂板225更大的剛性。剛性結構270可施力以例如在與頂板225的連接點處舉升頂板225,以導致頂板225的連接部分向上移動例如量Hc (第5圖及第7圖)。結果,頂板225可呈現凸形狀、弧形狀、角錐形狀、彎曲形狀等。
在一些實施例中,剛性結構270可包含樑250及升降機構260。樑250可設置在頂板225上方,並跨此頂板設置。樑250可設置在蓋210之間,如第11圖所繪示者。如本文所討論,樑250可比頂板225更剛性。在一些實施例中,樑250可由不同於形成頂板225之材料的材料構成。例如,條塊(bar)可由鋼形成,而頂板可由諸如鋁之另一材料形成。在一些其他實施例中,剛性結構270及頂板225可由相同或類似的材料形成,且剛性結構270之增強剛性可基於此結構的形狀及大小而提供。
持續參照第9圖,剛性結構270的末端可耦接至殼體205。例如,剛性結構270的一端可耦接至頂板220的一邊緣部分,且剛性結構270的另一端可耦接至頂板220的相對邊緣部分。將理解,剛性結構270可經由各種手段耦接至殼體205,包括藉由焊接、機械緊固件等。
在一些實施例中,剛性結構270之中心部分可越過頂板220並與此頂板隔開。剛性結構270可包含如第10圖所繪示之兩個條塊區段252及254。條塊區段252及254可在其等之間形成鈍角,使得樑250與頂板220之間存在間隙。替代地,條塊可具有任何形狀(例如弧形狀),其在剛性結構270與頂板220之間提供間隙。在一些其他實施例中,剛性結構270單純可以是由間隔件與頂板225隔開之直樑。
持續參照第9圖,將升降機構260大略地安裝在剛性結構270及頂板225的中心部分處。在一些實施例中,升降機構260可延伸通過剛性結構270及頂板225(例如框架220)。升降機構260連接剛性結構270及頂板225。在一些實施例中,升降機構260可包含螺紋桿262及頂板225中之螺紋接納部分264。螺紋桿262可設置在樑250上方並通過此樑,且螺紋部分264可延伸通過頂板225下方。螺紋桿262可具有公螺紋,且螺紋部分264可具有母螺紋。當桿252之公螺紋及部分264之母螺紋在第一方向上相對於彼此旋轉時,頂板225朝頂部225與樑250之間的空間移動,使得頂板225之中心部分高於頂板225的周邊部分,從而增加高度Hc (第5圖及第7圖)。結果,頂板225可具有凸形狀。旋轉桿252之公螺紋及部分264之母螺紋及相反方向可降低頂板225,從而減小高度Hc (第5圖及第7圖)。
第11圖係第9圖之製程模組的立體圖之另一實例。在一些實施例中,剛性結構270在頂板225上方且跨此頂板包含複數個條塊250。將理解,相對於單一條塊250,複數個條塊250可提供增加的剛性及機械強度。例如,可設置兩條塊250,使得其等彼此交叉(例如,垂直)。條塊250可如第10圖所繪示般設置在成對的蓋210之間的空間中。在一些實施例中,升降機構260可設置在條塊250之相交處。在一些其他實施例中,升降機構260可沿著條塊250中之一者設置,並與條塊250之另一者隔開。
第12圖係顯示溫度梯度之製程模組的立體圖。如本文所討論,所繪示之製程模組200可對應於第1圖之製程模組115a、115b、115c、115d中的任何者。可選擇製程模組115b作為用於討論之一實例。其他製程模組115a、115c、115d可顯示類似的溫度梯度。參照第12圖,此圖可理解為詳細地顯示包括製程模組115b之第1圖的部分A。製程模組115b係在具有門116之側上連接至傳遞室118。在製程模組115b中處理基板的同時,由於導因於製程模組115b與傳遞室118之間的溫度差而從製程模組115損失熱至傳遞室118,溫度梯度I-I’可發生,如第12圖所繪示者。例如,將理解,傳遞室118可未經加熱,或者可不與製程模組115b處於相同高溫。因此,I可處於高於I'的溫度,且製程模組200的中心部分可具有高於I及I'兩者的溫度。結果,在I'與製程模組200的中心部分之間可存在大於I與那些中心部分之間的溫度差。在一些實施例中,欲補償溫度梯度,頂板225之形狀可以是不對稱的,例如可具有不對稱凸形狀、不對稱弧形狀、不對稱角錐形狀、或不對稱彎曲形狀。
例如,參照第13圖,欲提供不對稱形狀,升降機構260可在頂板225上偏心。升降機構260可設置為更靠近製程模組的較高溫側。例如,升降機構260可設置為更遠離傳遞室118,且更靠近製程模組相對門116及傳遞室118的側。因此,當升降機構260施力以舉升頂板時,頂板可具有不對稱凸形狀、弧形狀、角錐形狀、或彎曲形狀,其中形狀的頂點更靠近製程模組的較高溫側,以抵消頂板225對頂板225之此部分處的懸垂之可能增加的敏感性。
第14圖顯示使用根據一些實施例之具有凸形狀頂板的製程模組及使用具有平坦形狀頂板的類似製程模組之沉積製程結果。沉積係電漿增強原子層沉積沉積,以將SiN膜沉積於四室模組(quad chamber module,QCM)中,此四室模組可商購自ASM Internatioanl N.V。SiN膜係在下列條件下沉積:400°C至550°C、10托耳至30托耳、500瓦(W)至800瓦(13.56百萬赫(MHz)至27百萬赫),使用矽前驅物及氮反應物。咸信結果係以各種矽前驅物(例如胺基矽烷、鹵化矽烷、單矽烷、及二矽烷)及各種氮反應物(例如N2 、N2 /H2 、NH3 等)沉積之代表。
持續參照第14圖,欲達成結果A,製程模組之頂板的中心部分比頂板的周邊部分高0.8毫米。針對第14圖中的結果B,製程模組具有平坦形狀頂板。用於A及B之製程條件係相同的。在製程模組具有凸形狀頂板處,頂板係理解為已在沉積製程期間由於沉積製程中所用的溫度及/或壓力而變平。因此,在沉積製程期間,製程模組的蓋可理解為平行於載物台,其促成均勻沉積,如第14圖之A所繪示者。另一方面,在製程模組初始具有平坦頂板處,頂板的中心部分可由於沉積製程所產生的溫度及/或壓力而懸垂。因此,在沉積製程期間,製程模組的蓋係立起而未平行於載物台,其導致不均等的沉積結果,如第14圖之B所繪示者。
第15圖係繪示用於處理半導體基板之製程1000的流程圖。在方塊1010處,提供半導體製程模組。半導體製程模組可以是本文所討論之製程模組200。例如,製程模組可包含殼體及剛性結構,此殼體圍封用於容納供處理之複數個半導體基板之複數個載物台,此剛性結構經設置在蓋總成上方。殼體可包含底部、頂板、及從底部延伸至蓋總成的側壁。頂板可以是蓋總成,其可包含複數個蓋,此等蓋各對應於複數個載物台中的一者。剛性結構可包含可調整升降機構,其經附接至頂板以用於改變頂板的形狀。
在方塊1020處,判定用於半導體製程之製程溫度及製程壓力。
在方塊1030處,基於製程溫度及製程壓力中之一或兩者調整升降機構。在一些實施例中,升降機構的調整可包含基於製程溫度及製程壓力兩者改變蓋總成的形狀。改變蓋總成的形狀可包含增加經附接至升降機構之蓋總成的一部分之高度,以界定具有凸出部之形狀。例如,凸出部之頂點的高度可隨增加的溫度及/或製程模組中的低壓與周圍環境中的較高壓間之增加的壓力差而增加。
在一些實施例中,製程1000可進一步包含將複數個載物台加熱至製程溫度,並將複數個載物台抽空至製程壓力。在一些實施例中,製程1000可進一步包含後續在複數個載物台中處理複數個半導體基板。在一些實施例中,將複數個載物台加熱至製程溫度及將複數個載物台抽空至製程壓力使蓋總成的形狀之向上偏折變平。在一些實施例中,製程模組之頂板可經成形,使得蓋在處理半導體基板期間可平行於載物台。在一些實施例中,製程1000可用以處理半導體基板。在一些實施例中,製程1000可用以處理液晶顯示器面板。
雖然在前面敘述中參考某些實施例來說明本揭露,但本揭露不限於此文描述中,本揭露係參照一些實施例繪示,其並未因此受限。當然實際上,除了本文所示及描述的內容外,對於本技術領域之熟悉技藝者而言,所述者以外,所屬技術領域中具有通常知識者將從前文描述明白本揭露之的各種修飾可從前面描述變得顯而易見,且此些修飾落在隨附請求項之範圍改,且此等修改落在隨附申請專利範圍的範疇內。在所有揭示之實施例的全部者中,在一些實施例中所使用之用的任何元件可互換地或額外地使用在另一實施例中,除非此類一取代不可行或引起不良作用實行、或導致負面效應、或無法用於針對其預期目的用途起作用。本文所引用的所有揭露案、專利案、及專利申請案的全部內容皆出於所有目的而併於此作整體參考之全文為所有目的特此以引用方式併入,其程度如相同於具體且各別指明每一各個別的揭露案、專利案、或專利申請案,以併入作為參考經具體及個別指示以引用方式如此併入。本揭露之進一步的細節提供於以下非限定係在下列非限制性實例中提供。
在整個本揭露中,除非另有明確說明,否則除非另有明白陳述,本申請案通篇中之單數形的使用包含括複數形。在本申請案中,除非另有明白陳述,使用「或」包括「及/或」。此外,用語「包括(include/including/included)」並非限制性。
100:基板處理系統 101:外部基板載具 110:製程模組總成 112:反應室 114:基板傳遞裝置 115a:製程模組 115b:製程模組 115c:製程模組 115d:製程模組 116:模組門 118:傳遞室 120:負載鎖定室 120a:負載鎖定站 122:輸送門 124:負載鎖定門 130:輸送室,負載鎖定室 130a:致動器,機械臂 130b:裝載埠 135:傳遞室 140:控制器 150:製程模組 155:殼體 160:頂板 165:底部 170:側壁 190:模組門 200:製程模組 205:殼體 210:蓋 215:側壁 220:框架 225:頂板 230:載物台 240:底部 250:樑 252:條塊區段 254:條塊區段 260:升降機構 262:螺紋桿 264:螺紋接納部分 270:剛性結構 1000:製程 1010:方塊 1020:方塊 1030:方塊 A:部分,結果 B:結果 B-B':平面 Hb :高度 Hc :高度 I-I':溫度梯度 Wb :寬度 Wc :寬度
第1圖係配備有製程模組總成之基板處理系統的示意平面圖。
第2圖係可用在第1圖之基板處理系統中之製程模組的示意剖視圖之一實例。
第3圖及第4圖係第2圖之製程模組的示意剖面側視圖,其繪示製程模組的變形。
第5圖至第7圖係所具有的殼體具有凸頂板及/或底部之製程模組的實例。
第8圖係顯示在真空下之製程模組內的溫度與製程模組之頂板向內變形間之關係的表。
第9圖係具有凸頂板之製程模組的示意剖視圖之另一實例。
第10圖係第9圖之製程模組的立體圖之一實例。
第11圖係第9圖之製程模組的立體圖之另一實例。
第12圖係用於顯示溫度梯度之基板處理系統之製程模組的立體圖。
第13圖係第11圖中之基板處理系統之製程模組的示意剖視圖。
第14圖顯示具有凸形狀頂板的製程模組與具有平坦頂板之類似製程模組間之沉積製程結果的比較。
第15圖係繪示用於處理半導體基板之製程的流程圖。
200:製程模組
205:殼體
210:蓋
215:側壁
220:框架
225:頂板
230:載物台
240:底部
Hb:高度
Hc:高度
Wb:寬度
Wc:寬度

Claims (27)

  1. 一種用於處理一基板之製程模組,包括: 複數個載物台,各配置以容納一基板;以及 一殼體,圍封該等載物台,該殼體包括一底部、一頂板、以及從該底部延伸至該頂板之複數個側壁, 其中,在剖面側視圖中,該頂板之一形狀界定向上延伸的一凸出部。
  2. 如請求項1之製程模組,其中該殼體更包括一剛性結構,經設置在該頂板上方並跨該頂板設置,該剛性結構包括一升降機構,該升降機構經附接至該頂板以用於維持該凸出部的一向上延伸。
  3. 如請求項2之製程模組,其中該升降機構係可調整,以用於調整該凸出部的高度。
  4. 如請求項3之製程模組,其中該升降機構包括一螺紋桿,該螺紋桿經附接至該頂板之一對應螺紋部分,其中該凸出部之一頂點的一高度可藉由該桿與該頂板之該對應螺紋部分的相對旋轉來調整。
  5. 如請求項2之製程模組,其中該剛性結構包括一剛性樑。
  6. 如請求項2之製程模組,其中該頂板係一蓋總成,該蓋總成包括對應於該等載物台的複數個蓋,且其中該剛性結構在成對的該等蓋之間延伸。
  7. 如請求項1之製程模組,其中複數個蓋係設置在該等載物台的各別者上方,其中該等蓋並未平行於該等各別載物台。
  8. 如請求項7之製程模組,其中該等載物台之總數及該等蓋之數目各係四個,其中該等載物台及該等蓋係各以2x2之一矩陣布置。
  9. 如請求項1之製程模組,其中該凸出部之一頂點在比該頂板之一周緣高0.2毫米至4毫米的一範圍內突出。
  10. 如請求項1之製程模組,其中該殼體的該底部向外延伸以形成一凸形狀。
  11. 一種用於處理一基板之製程模組,包括: 一或多個載物台,各配置以容納一基板; 一殼體,圍封該一或多個載物台,該殼體包括一底部、一頂板、及從該底部延伸至該蓋總成之複數個側壁:以及 一剛性結構,經設置在該頂板上方,該剛性結構包括一可調整升降機構,該升降機構經附接至該頂板,以用於改變該頂板之一形狀。
  12. 如請求項11之製程模組,其中該剛性結構包括一樑,該樑在該頂板上方延伸並跨該頂板延伸。
  13. 如請求項11之製程模組,其中該升降機構包括: 一公螺紋;以及 一母螺紋,配置以與該公螺紋耦接,並可相對於該公螺紋旋轉, 其中該公螺紋及該母螺紋中之一者係附接至該剛性結構,且該公螺紋及該母螺紋中之另一者係附接至該頂板。
  14. 如請求項11之製程模組,其中該等載物台之數目及該等蓋之數目係四個,且 其中該等載物台及該等蓋係各以2x2之一矩陣布置,且該剛性結構係設置在兩蓋的兩列之間。
  15. 如請求項11之製程模組,其中,在由上而下視圖中,該升降機構係在該頂板之一偏心點處附接至該頂板。
  16. 一種半導體處理系統,包括: 一傳遞室; 一或多個處理模組,經附接至該傳遞室,該一或多個處理模組各包括: 複數個載物台,各配置以容納一基板; 一殼體,圍封該一或多個載物台,該殼體包括: 一底部; 一頂板; 一門,可對該傳遞室開啟;以及 一側壁,相對於該門,並從該底部延伸至該頂板, 其中,在剖面側視圖中,該頂板具有一凸形狀, 其中該凸形狀具有一頂點,相較於該可開啟的門,該頂點更靠近相對於該門的該側壁。
  17. 如請求項16之製程模組,其中各載物台具有一各別蓋,其中該頂板係一蓋總成,其中該凸形狀可改變成一平坦形狀,其中一經在該等載物台上處理該等基板,該等蓋係平行於各別的該等載物台。
  18. 如請求項16之製程模組,更包括一剛性結構,該剛性結構具有一升降機構以用於調整該頂板之一形狀。
  19. 如請求項18之製程模組,其中該升降機構包括: 一公螺紋;以及 一母螺紋,配置以與該公螺紋耦接,並可相對於該公螺紋旋轉, 其中該公螺紋及該母螺紋中之一者係附接至該剛性結構,且該公螺紋及該母螺紋中之另一者係附接至該頂板。
  20. 一種用於處理半導體基板之方法,包括: 提供一半導體製程模組,包括: 一殼體,圍封用於容納供處理之複數個半導體基板之複數個載物台,該殼體包括一底部、一頂板、及從該底部延伸至該頂板的複數個側壁,其中該蓋總成包括複數個蓋,其等各對應於該等載物台中之一者;以及 一剛性結構,經設置在該頂板上方,該剛性結構包括可調整的一升降機構,該升降機構經附接至該頂板,以用於改變該頂板之一形狀; 針對一半導體製程判定一製程溫度及一製程壓力的一或兩者;以及 基於該製程溫度及該製程壓力的一或兩者以該升降機構調整該頂板的一形狀。
  21. 如請求項20之方法,其中以該升降機構調整該頂板的該形狀之該步驟包括基於該製程溫度及該製程壓力兩者改變該頂板的該形狀。
  22. 如請求項21之方法,其中以該升降機構調整該頂板之該形狀之該步驟包括增加經附接至該升降機構之該頂板的一部分之一高度。
  23. 如請求項20之方法,更包括將該等載物台加熱至該製程溫度,並將該等載物台抽空至該製程壓力。
  24. 如請求項23之方法,更包括後續在該等載物台中處理複數個半導體基板。
  25. 如請求項24之方法,其中將該等載物台加熱至該製程溫度及將該等載物台抽空至該製程壓力使向上偏折的該頂板之該形狀變平。
  26. 如請求項25之方法,其中該等蓋在處理半導體基板期間係平行於該等載物台。
  27. 如請求項24之方法,其中處理半導體基板包括處理液晶顯示器面板。
TW110121565A 2020-06-19 2021-06-15 製程模組、半導體處理系統、及處理半導體基板之方法 TW202203352A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063041770P 2020-06-19 2020-06-19
US63/041,770 2020-06-19

Publications (1)

Publication Number Publication Date
TW202203352A true TW202203352A (zh) 2022-01-16

Family

ID=78989981

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110121565A TW202203352A (zh) 2020-06-19 2021-06-15 製程模組、半導體處理系統、及處理半導體基板之方法

Country Status (5)

Country Link
US (1) US20210398826A1 (zh)
JP (1) JP2022002301A (zh)
KR (1) KR20210157338A (zh)
CN (1) CN113862648A (zh)
TW (1) TW202203352A (zh)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5108792A (en) * 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
JP2875945B2 (ja) * 1993-01-28 1999-03-31 アプライド マテリアルズ インコーポレイテッド Cvdにより大面積のガラス基板上に高堆積速度でシリコン窒化薄膜を堆積する方法
SE9600705D0 (sv) * 1996-02-26 1996-02-26 Abb Research Ltd A susceptor for a device for epitaxially growing objects and such a device
US6077157A (en) * 1996-11-18 2000-06-20 Applied Materials, Inc. Process chamber exhaust system
JP4896039B2 (ja) * 2005-11-30 2012-03-14 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
DE102006018515A1 (de) * 2006-04-21 2007-10-25 Aixtron Ag CVD-Reaktor mit absenkbarer Prozesskammerdecke
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
JP6131162B2 (ja) * 2012-11-08 2017-05-17 株式会社Screenホールディングス 基板処理方法および基板処理装置
US10738381B2 (en) * 2015-08-13 2020-08-11 Asm Ip Holding B.V. Thin film deposition apparatus
US10804121B2 (en) * 2016-02-25 2020-10-13 Shibaura Mechatronics Corporation Substrate treatment apparatus, substrate treatment method, and method for manufacturing substrate
KR102532607B1 (ko) * 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11587815B2 (en) * 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN113394067A (zh) * 2020-03-13 2021-09-14 Asm Ip私人控股有限公司 基板处理设备
TW202201602A (zh) * 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法

Also Published As

Publication number Publication date
US20210398826A1 (en) 2021-12-23
CN113862648A (zh) 2021-12-31
JP2022002301A (ja) 2022-01-06
KR20210157338A (ko) 2021-12-28

Similar Documents

Publication Publication Date Title
US7690881B2 (en) Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus
JP4860167B2 (ja) ロードロック装置,処理システム及び処理方法
US11776828B2 (en) Vacuum processing device
US20100087028A1 (en) Advanced platform for processing crystalline silicon solar cells
US20050188923A1 (en) Substrate carrier for parallel wafer processing reactor
WO2007018139A1 (ja) 半導体装置の製造方法および基板処理装置
US20150050815A1 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US5855679A (en) Semiconductor manufacturing apparatus
TWI834257B (zh) 熱扼流板
JP2015122503A (ja) 基板処理装置
WO2018048842A1 (en) Semiconductor process equipment
JP2019520701A (ja) 12面形の移送チャンバ、及び、かかる移送チャンバを有する処理システム
US20210193489A1 (en) Systems and Methods for Workpiece Processing
JP2023521897A (ja) 熱制御される蓋スタック構成要素
TW202203352A (zh) 製程模組、半導體處理系統、及處理半導體基板之方法
US10115611B2 (en) Substrate cooling method, substrate transfer method, and load-lock mechanism
TWI813223B (zh) 熱噴淋頭
US20030021657A1 (en) Semiconductor-manufacturing device having buffer mechanism and method for buffering semiconductor wafers
JPH11260881A (ja) 処理装置
WO2010013333A1 (ja) 真空装置及び真空処理方法
JPS62214177A (ja) 気相反応装置
TW202329198A (zh) 將腔室空間隔離成具有內部晶圓移送能力的處理空間之方法
WO2022187459A1 (en) Systems and methods for workpiece processing
JP2023546104A (ja) 対称的な高周波帰還経路を提供するための動的インタフェース
JPH10149966A (ja) 半導体製造装置