TW202135182A - 互連部件及其形成方法 - Google Patents

互連部件及其形成方法 Download PDF

Info

Publication number
TW202135182A
TW202135182A TW110105922A TW110105922A TW202135182A TW 202135182 A TW202135182 A TW 202135182A TW 110105922 A TW110105922 A TW 110105922A TW 110105922 A TW110105922 A TW 110105922A TW 202135182 A TW202135182 A TW 202135182A
Authority
TW
Taiwan
Prior art keywords
photosensitive material
forming
layer
photosensitive
interconnection
Prior art date
Application number
TW110105922A
Other languages
English (en)
Other versions
TWI762201B (zh
Inventor
羅唯仁
施伯錚
章勳明
李資良
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202135182A publication Critical patent/TW202135182A/zh
Application granted granted Critical
Publication of TWI762201B publication Critical patent/TWI762201B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76823Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. transforming an insulating layer into a conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

形成互連部件的代表性方法包括:形成光敏材料於基板上方,形成蓋層於光敏材料上方,圖案化蓋層。使用圖案化的蓋層,選擇性地將光敏材料的第一部分暴露於預選的光波長,以改變光敏材料的第一部分的至少一個材料特性,同時防止光敏材料的第二部分暴露於預選的光波長。然後實施以下兩個步驟之一,但不是兩個步驟都執行:去除光敏材料的第一部分,且在其位置上形成導電元件,導電元件至少部分地被光敏材料的第二部分圍繞、或去除光敏材料的第二部分,且從光敏材料的第一部分形成導電元件,導電元件電性連接電路的兩個或更多部分。

Description

互連部件及其形成方法
本發明實施例是關於半導體裝置,特別是關於互連部件的形成方法。
半導體及積體電路製造中的許多步驟需要將膜層圖案化,其中通常使用微影技術。隨著部件變小而圖案密度相應變大,需要新技術來克服不利的條件,例如:乾蝕刻負載(etch loading)、複雜的多層遮罩需求、將進行圖案化的膜層或周圍膜層的非經意的損壞等等。
本發明實施例提供一種互連部件的形成方法,包括:形成光敏(photo-sensitive)材料於基板上方;形成蓋層於光敏材料上方;圖案化蓋層;使用圖案化的蓋層,選擇性地將光敏材料的第一部分暴露於預選的光波長,以改變光敏材料的第一部分的至少一個材料特性,同時防止光敏材料的第二部分暴露於預選的光波長;以及執行以下兩個步驟之一,但不是兩個步驟都執行:去除光敏材料的第一部分,且在其位置上形成導電元件,導電元件至少部分地被光敏材料的第二部分圍繞;或去除光敏材料的第二部分,且從光敏材料的第一部分形成導電元件,導電元件電性連接電路的兩個或更多部分。
本發明實施例提供一種互連部件的形成方法,包括:沉積光敏材料於基板上;選擇性地將光敏材料的多個第一部分暴露於預選的光波長,以改變光敏材料的第一部分的至少一個材料特性,而不將光敏材料的多個第二部分暴露於預選的光波長;以及將光敏材料併入積體電路的電性互連。
本發明實施例提供一種互連部件,包括:基板;電性互連電路,形成於基板上方,電性互連電路具有光敏材料層,光敏材料層具有多個導電光敏材料區,且光敏材料層中更具有多個溝槽;以及絕緣材料,形成於溝槽內且電性絕緣導電光敏材料區。
以下揭露提供了許多的實施例或範例,用於實施本發明實施例之不同元件。各元件及其配置的具體範例描述如下,以簡化本發明實施例之說明。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例而言,敘述中若提及第一元件形成在第二元件之上,可能包含第一及第二元件直接接觸的實施例,也可能包含額外的元件形成在第一及第二元件之間,使得它們不直接接觸的實施例。此外,本發明實施例可能在各種範例中重複參考數值以及/或字母。如此重複是為了簡明及清楚之目的,而非用以表示所討論的不同實施例及/或配置之間的關係。
再者,其中可能用到與空間相對用詞,例如「在……之下」、「下方」、「較低的」、「上方」、「較高的」等類似用詞,是為了便於描述圖式中一個(些)部件或特徵與另一個(些)部件或特徵之間的關係。空間相對用詞用以包括使用中或操作中的裝置之不同方位,以及圖式中所描述的方位。當裝置被轉向不同方位時(旋轉90度或其他方位),其中所使用的空間相對形容詞也將依轉向後的方位來解釋。
以下描述的實施例中,揭露形成互連部件(例如:導電通孔)於介電層內(例如:金屬間介電質(IMD))的方法。然而,本發明實施例的教示同樣適用於金屬間介電質內的導線、層間介電層(ILD layer)內的導電通孔及/或接觸插塞、導電墊等等。
第一實施例以結構10開始,第1a圖繪示出在製造的中間階段的結構10,其中一個或多個部件及/或膜層已形成在基板2內及/或上。基板2是以剖面圖示出,如其他繪示出的部件,除非特別說明,否則未按比例繪製。一般而言,基板2可以包括塊體半導體基板或絕緣體上覆矽(SOI)基板。絕緣體上覆矽基板包括在薄的半導體層下方的絕緣層,此薄的半導體層是絕緣體上覆矽基板的主動層。主動層的半導體及塊體半導體通常包括結晶半導體材料矽,但是可以包括一種或多種其他半導體材料,例如:鍺、矽鍺合金、化合物半導體(例如:GaAs、AlAs、InAs、GaN、AlN、及其他化合物半導體)、或它們的合金(例如:Gax Al1-x As、Gax Al1-x N、Inx Ga1-x As、及其他合金)、氧化物半導體(例如:ZnO、SnO2 、TiO2 、Ga2 O3 、及其他氧化物半導體)、或前述之組合。半導體材料可以是摻雜或未摻雜的。可以使用其他基板,包括:多層基板、漸變基板(gradient substrate)、或混合取向基板(hybrid orientation substrate)。為了簡明及清楚之目的,在附圖中僅繪示出基板2(以及隨後形成的膜層)的一小部分,這樣的揭露足以理解本文所述的實施例。
在第1a圖所繪示的狀態之前,還可能形成了一個或多個主動及/或被動元件(未繪示)。如鰭式場效電晶體、平面電晶體、全繞式閘極(GAA)電晶體、電容器、電阻器及其他元件皆在結構10所包括的裝置的考慮範圍內。此外,由導電多晶矽或其他導電材料、層間介電質、接觸插塞形成的局部互連、較低層級的互連(例如:嵌入相應介電層的金屬層)、及其他互連皆在第1a圖所示的基板2的考慮範圍內。
在此特定實施例中,繪示出已形成在基板2上的雙蝕刻停止部件,其包括蝕刻停止層(ESL)4及蝕刻停止層6。基板2與在基板2之上形成的膜層之間的區別在某種程度上是任意的,且僅用於說明。換言之,在一些實施例中,蝕刻停止層4及/或蝕刻停止層6可以視為是基板2的一部分,而在第1a圖將它們分別繪示出。本領域技術人員應理解,蝕刻停止層,例如蝕刻停止層4及蝕刻停止層6,是用來保護下方的基板2的部件/膜層/結構免於隨後的製程,特別是隨後的蝕刻及/或圖案化製程,如以下段落中將說明的。在本示例中,採用兩個蝕刻停止層(蝕刻停止層4及蝕刻停止層6)來確保對下方部件/膜層/結構的足夠保護。由於一些情況,這可能是必需的,所述的情況包括:那些下方部件/膜層/結構的尺寸、複雜性、形狀、圖案密度、材料組成等等、及/或隨後形成的材料(例如以下段落所述的隨後形成的金屬間介電層)的尺寸、複雜性、形狀、圖案密度、材料組成等等、以及用於圖案化隨後形成的材料的蝕刻/圖案化製程。在其他實施例中,單個蝕刻停止層可能就足夠,而在另外的實施例中,可能需要三個或更多個蝕刻停止層來充分保護下方的部件/膜層/結構。
僅作為示例,蝕刻停止層4可以是氧化鋁(Alx Oy )層,蝕刻停止層6可以是摻雜氧的碳化矽(有時稱為摻雜氧的碳化物或「ODC」)層。雖然摻雜氧的碳化物層6繪示為形成在Alx Oy 層4上方,但在本申請的考慮範圍內,Alx Oy 層4可以替代地形成在摻雜氧的碳化物層6上方。
接著參照第1b圖,在蝕刻停止層4及蝕刻停止層6上毯覆式沉積層8,其說明性地直接形成於蝕刻停止層6上。在說明性實施例中,介電層8可以用作結構10的金屬間介電層,但此功能僅是本文揭露的概念的許多應用之一。在此實施例中,作為示例,層8包括:噻吩(thiophene)、苯基、或苯硫基(thiophenyl)。以下將詳細討論,當暴露於足夠量(dosage)的指定波長內的光時,層8改變至少一種材料特性,並且在本文中可以稱為光敏層8。
可使用化學氣相沉積(CVD)製程來沉積光敏層8,舉例而言,通常在溫度約50 ℃至約300 ℃,以流速約10 sccm至約1000 sccm流動前軀氣體,例如:乙烷、烯(alkene)、炔(alkyne)、或其他氣體中的一個或多個。替代地,光敏層8的沉積可透過不同沉積製程,例如:電漿輔助化學氣相沉積(PECVD)、金屬有機化學氣相沉積(MOCVD)、化學氣相沉積、原子層沉積、或其他製程。光敏層8可以包括的材料如:I-R、P-R、或其他材料,其中I是錪鎓,P是磷,且R表示Cx Hy 或COOH有機基。使用O2 或Cox 電漿預處理製程可改善光敏層8的界面黏著性,因此可減少剝離或優選地消除剝離,尤其在所述層是使用化學氣相沉積製程所沉積時。
雖然光敏層8的厚度將取決於具體的應用及所製造的結構,可將示例光敏層8沉積至標稱的(nominal)10nm至約60nm的厚度。
第1c至1f圖繪示出利用光敏層8的光敏特性將其圖案化的步驟。首先,如第1c圖所示,在光敏層8的頂表面上形成一個或多個蓋層。在一個說明性的實施例中,第一介電蓋層12是由四乙氧基矽烷(tetraethyl orthosilicate,有時稱為tetraethoxysilane,或通常縮寫為TEOS)的沉積形成,接著是第二蓋層14,其是由金屬(例如:鎢)、摻雜鎢的碳化物、氮化鈦、或其他材料形成。然後形成第三蓋層16,例如:另一四乙氧基矽烷介電層,隨後是第四蓋層18,例如:非晶矽介電蓋層。所揭露的蓋層12、14、16及18的特定材料及沉積製程僅作為示例。蓋層的使用及組成與設計選擇有關,且取決一些因素,例如:下方的材料及蓋層材料對所選蝕刻製程的蝕刻選擇性、所得的圖案需要的解析度及密度、以及其他這樣的製程參數。各種其他材料及沉積製程在本揭露的考慮範圍內,包括較少或較多的蓋層,只要蓋層的組合及數量足以提供關於第1d至1e圖所述的圖案化功能。舉例而言,其他材料如:SiO2 、SiOC、SiCO、SiN、及類似材料,可以輕易地用於一個或多個蓋層,在一個典型的實施例中,可將其沉積至約5nm至約70nm的厚度。
繼續討論第1D圖,圖中繪示出第一圖案化步驟的結果,將蓋層12及14圖案化以形成延伸穿過其中的開口或溝槽19。舉例而言,這可以透過以下方式實現:使用習知的微影技術形成並圖案化光阻層(未示出),以蝕刻出圖案至蓋層16及18,然後在相同或獨立的蝕刻製程中將前述圖案蝕刻到蓋層12及14,以得到第1d圖所示的結構。蝕刻穿過所示蓋層的蝕刻製程及組成是習知的,且其細節對於理解本文揭露的發明概念不是必需的。因此,為了簡潔及清楚起見,省略了那些細節。在繪示的實施例中,透過圖案化蓋層12及14的製程來去除(蝕刻掉)蓋層16及18。在其他實施例中,可以留下部分蓋層16及18,或者甚至類似於蓋層12及14,可以留下全部的蓋層並將其圖案化。在又其他的實施例中,可以採用不同的圖案化技術來圖案化蓋層12、14、16及/或18的一個或多個,例如:電子束微影、離子研磨(ion milling)、及其他技術。
如第1d圖所示,在圖案化蓋層12及14之後,開口19露出光敏層8的一個區域,而光敏層8的其餘區域未被露出或被蓋層12及14覆蓋。雖然在第1d圖中僅繪示出單個開口19,但顯而易見地,可以同時形成各種尺寸及形狀的許多不同的開口,以露出光敏層8的許多不同的區域。
如第1e圖中的箭頭20所示,執行曝光步驟。開口19露出的光敏層8的那些區域遭受(暴露於)入射光20,其中蓋層12及/或14用於阻擋、吸收、及/或反射入射光20,以防止光敏層8被覆蓋的區域遭受(暴露於)入射光20。由此,以施加在蓋層12及14的圖案對應的圖案來曝光光敏層8。
對曝光於入射光20的反應,光敏層8的曝光部分經歷至少一種材料特性的變化。舉例而言,在目前描述的實施例中,曝光於入射光20導致光敏層8的曝光區22對某些蝕刻製程的抗性(resistance)經歷變化,例如顯著地變得更容易受到蝕刻製程的侵蝕(attack)(去除)。舉例而言,在光敏層8包括噻吩、苯基、苯硫基、或其他材料,以及入射光20(可以是光源、雷射、或其他類似的入射光)的波長為約200nm至約400nm且曝光能量為約10 mJ/cm2 至約100 mJ/cm2 的示例中,光敏層8的曝光部分變得更容易被蝕刻製程蝕刻。儘管光敏層8的曝光部分22及未曝光部分之間的特定蝕刻選擇性可以變化並且取決於特定應用,約2倍至約50倍的蝕刻選擇性是所期望的。
第1f圖繪示出一道或多道蝕刻製程的結果,其中去除了光敏層8的曝光部分22。在一個示例中,使用第一蝕刻製程(例如使用有機酸或溶劑的濕蝕刻)去除曝光的部分。此蝕刻製程不會顯著地侵蝕/去除蝕刻停止層6(及/或蝕刻停止層4),因此此製程「停止」在蝕刻停止層上。接著,使用第二蝕刻製程(例如使用CFx電漿、BCl3電漿、或其他電漿)以去除蝕刻停止層。因此產生開口23露出下方的基板2的膜層/部件/結構,以用於隨後的電性及/或物理連接。值得注意地,光敏層8的未曝光部分(亦即,未暴露於光20,因此未經歷材料變化的部分)相對地未被去除曝光部分22的蝕刻製程蝕刻。換言之,即使使用非等向性濕蝕刻製程來形成開口23,由於參照第1e圖描述的曝光步驟,光敏層8的未曝光部分仍相對地未被蝕刻,且開口23將具有相對直的且垂直的側壁。舉例而言,這允許更高的封裝密度、更嚴格的公差/製程裕度、以及所得裝置的更高可靠度。
接下來可以採用各種後續處理步驟以繼續/完成裝置10的製造。第2a至2d圖繪示出在開口23中形成導電通孔26的一個實施例的步驟。為簡單起見,在第2a至2d圖中未繪示出基板2,儘管在實際產品及製程中可能是如此。從第2a圖開始繪示出開口23已被導電材料24過度填充後的第1f圖的結構。導電材料24示意性地代表一個或多個填充開口23的不同膜層及/或材料。舉例而言,導電材料24可包括襯於開口23之側壁的一個或多個襯層(例如:鈦、氮化鈦、鉭、氮化鉭、及其他材料);以及一種或多種填充材料,例如:鈷、銅、鋁、鎢、金、鉑、摻雜的多晶矽、及其他材料。在本揭露的考慮範圍內,甚至介電襯層可以包含在導電材料24的範圍內。在第2a圖中,導電材料延伸至蝕刻停止層6的頂部或剛好高於刻停止層6的頂部。在其他實施例中(未特別繪示),導電材料24可以延伸於蝕刻停止層6的頂表面上。在另外的實施例中,在沉積導電材料24之前,可以將蝕刻停止層6及/或蝕刻停止層4完全地或部分地去除,在這種情況下,導電材料可能會過度填充開口23且在光敏層的頂部或高於光敏層的頂部,並可能橫向延伸至光敏層8的頂表面上。
如第2b圖所示,去除導電材料24的任何過度填充的部分,由此形成導電部件26於開口23中。舉例而言,導電部件可能只是導電通孔,但也可能只是接觸件、插塞、導線、互連、襯墊(pad)或任何其他導電部件。在大多數實施例中,導電部件26電性接觸下方的部件,例如:下方的導線或互連、通孔、插塞、或其他部件,如電晶體、電容器、電阻器、或類似部件的終端(terminal)。可以採用平坦化製程來去除過度填充的部分,例如採用化學機械研磨(CMP)、回蝕刻、或其他製程。應注意的是,在本揭露的考慮範圍內,在一些實施例中,導電材料僅填充開口23,但未過度填充開口23,在這種情況下,不需要如第2b圖所示的平坦化步驟。
繼續進行至第2c圖,在一些實施例中,在導電部件26上方形成可選的(optional)金屬蓋層,例如鈷蓋。為了在後續製程步驟期間保護導電部件26,當導電部件26由銅形成或其大部分由銅形成時,此金屬蓋層可能是特別有益的。可選地,可以由習知技術或重複第1a-1f及2a-2c圖中所繪示的製程製造附加的導電部件。舉例而言,如第2d圖所示,可以在光敏層8及導電部件26上形成附加的蝕刻停止層(例如:4’及6’),並且重複上述製程以在第2d圖所示的層級(level)上形成後續的導電部件於下一層級的互連(未示出)中。
第3a至3g圖繪示出在光敏層中形成導電部件的另一實施例。從第3a圖開始,提供基板32,基板32可以相同或相似於上述的基板2。換言之,基板32可以是塊體半導體晶圓、多層晶圓(例如絕緣體上覆矽基板),可以包括矽或其他半導體材料,可以為摻雜或未摻雜的等等。此外,如以上參考基板2所描述的,可以考慮到基板32涵蓋在晶圓中及晶圓上形成的各種膜層/部件/結構,包括但不限於:電晶體、電容器、電阻器、互連、絕緣層、介電層、金屬化層(metallization layer)等等。
蝕刻停止層34及36沉積在基板32上。這些蝕刻停止層可以相同或相似於以上討論的蝕刻停止層4及6,但這樣的相似性對此實施例不是必要的。另外,可以使用單個蝕刻停止層或超過兩個蝕刻停止層,只要此蝕刻停止層或此些蝕刻停止層在以下段落中描述的後續製程步驟中為下方的膜層/部件/結構提供足夠的保護。第3b圖繪示出光敏層38沉積在蝕刻停止層6上。在此實施例中,光敏層38的導電率在充分暴露於某些波長的光能時顯著地改變。作為示例,光敏層38可由苯基或苯硫基或苯基及苯硫基的組合來形成。當暴露於約13.5nm之範圍(極紫外光或極紫外光範圍)中的光能時,此類材料是敏感的並改變其導電率。
在一個示例中,使用化學氣相沉積製程來沉積光敏層38,在說明性實施例中,通常在溫度約50℃至300℃,以流速約10 sccm至約1000 sccm流動前軀氣體(如:乙烷、烯、炔、或其他氣體)。替代地,光敏層38的沉積可以透過不同沉積的製程,如:電漿輔助化學氣相沉積、金屬有機化學氣相沉積、化學氣相沉積、原子層沉積、或其他製程。
在第3c圖所示的實施例中,在光敏層38上毯覆沉積多個蓋層。在這種情況下,第一蓋層42及第二蓋層44由所選擇的材料形成,使蓋層42及44對於光敏層38敏感的波長的光能為透明的或至少實質上透明的。舉例而言,在苯基及/或苯硫基對上述範圍內的光為敏感的情況下,蓋層42及44由對於那些光波長為實質上透明的材料形成。舉例而言,蓋層42及/或44可由SiO2 、SiOC、或其他材料形成。應沉積蓋層到充分的厚度,以在隨後的處理步驟(在以下敘述)期間起到保護下方的光敏層38的作用,但應夠薄,以在以下關於第3f圖所述的曝光步驟期間允許全部的或實質上全部的(適當波長的)光能通過。舉例而言,在一個說明性實施例中,可以沉積蓋層42及44到約5 nm至約70 nm的厚度。雖然繪示出兩個透明蓋層,但若符合關於透明性等等的上述功能限制條件,則單個透明蓋層或超過兩個透明蓋層在本揭露的考慮範圍內。
第3c圖還繪示了兩個附加的蓋層46及48。這些蓋層用於圖案化透明蓋層42及44,並且在圖案化透明蓋層之後通常不會留下,所以這些蓋層不必是透明的。因此,較廣泛的材料,如導電材料及介電材料可用於蓋層46及48。在一實施例中,蓋層46是四乙氧基矽烷(TEOS)氧化物且蓋層48是非晶矽,然而,可以以相反的順序使用這些層。
第3d圖繪示出圖案化透明蓋層42及44以形成延伸穿過透明蓋層42及44的開口49的結果。舉例而言,這可以透過以下方式實現:使用習知的微影技術形成並圖案化光阻層(未示出),以蝕刻圖案到蓋層46及48,然後在相同或獨立的蝕刻製程中將前述圖案蝕刻到蓋層42及44,以得到第3d圖所示的結構。蝕刻穿過所示蓋層的蝕刻製程及組成是習知的,且其細節對於理解本文揭露的發明概念不是必需的。因此,為了簡潔及清楚起見,省略了那些細節。在繪示的實施例中,透過圖案化蓋層42及44的製程來去除(蝕刻掉)蓋層46及48。在其他實施例中,可以留下部分蓋層46及48,或者甚至類似於蓋層42及44,可以留下全部的蓋層並將其圖案化。在又其他的實施例中,可以採用不同的圖案化技術來圖案化蓋層42、44、46及/或48的一個或多個,例如:電子束微影、離子研磨、及其他技術。
第3e圖繪示出以插塞50填充開口49的結果。插塞50不應對光敏層38敏感的光波長透明。因此可以將廣泛的材料用於插塞50,隨後將其移除。在一實施例中,插塞50可以由氧化物形成,例如氧化矽。雖然在第3d圖中僅繪示出單個插塞50,但顯而易見地,可以形成各種尺寸及形狀的許多不同的開口49並以許多插塞50填充,以覆蓋光敏層38的不同區域。在一些考慮的實施例中,由選擇性沉積製程來形成插塞50,其中包括插塞50的材料輕易地形成在光敏層38的露出表面上,而不易形成在蓋層46的露出表面上。在其他考慮的實施例中,包括插塞50的材料可以毯覆式沉積在整個裝置上,然後由微影製程、化學機械研磨、或其他平坦化製程、或類似製程將其圖案化。與以上關於第1a至1f圖所述的實施例不同,開口49(一個或多個)對準在隨後的製程後留下的光敏層38的區域(而在以上的實施例中,為了形成導電部件26(一個或多個),開口19(一個或多個)對準隨後被去除的光敏層8的區域)。這在以下段落中解釋。
在形成插塞50後,執行曝光步驟,在第3f圖中示意性地由箭頭52表示。光能52在預選的波長光譜中,例如極紫外光。換言之,光能52的波長使光敏層38在暴露於其中時改變導電性能。插塞50覆蓋的光敏層38的區域被保護免於(不暴露於)光能52。相比之下,因為透明的蓋層42及44對光能52的波長實質上是透明的,透明蓋層42及44覆蓋的光敏層38的區域暴露於光能52。對暴露於入射光52的反應,光敏層38的曝光部分經歷了至少一種材料特性的改變,且在此實施例中,那些曝光的部分從介電質轉換為導體54。
曝光區54(目前是導電的)的形式可以是接觸插塞、襯墊、長導線(elongated wire)、或其他形式的互連,而剩下未曝光的區域38的形式可以是將各種曝光的導電區54彼此隔開的圖案化絕緣層(為清楚起見,相比於光敏層38的那些曝光部分此後被稱為曝光部分54、導電部分54等等,維持未曝光的光敏層38的那些部分此後被稱為未曝光部分38、剩餘部分38等等)。若剩餘的未曝光區38是充分絕緣的以在所得電路的操作期間執行此功能,則不需要形成互連層的進一步製程。然而,可考慮的,就絕緣、介電值、結構完整性(structural integrity)、耐久性、可靠性、及/或其他性質而言,未曝光區38可能不足以形成最終產品的一部分。第4a至4f圖繪示出隨後的製程步驟(若需要),去除未曝光部分38,並以可能更適用於特定應用的介電材料替換它們。雖然保留了基板32,但為了簡潔起見,在第4a至4f圖中未繪示基板32。
從第4a圖開始,對第3f圖所示的結構進行製程,藉此去除插塞50及剩餘的未曝光部分38。用於移除插塞50及剩餘的未曝光部分38的特定製程將取決於這些部件的特定材料。在一考慮的實施例中,可以採用蝕刻、灰化、或其他製程來去除部件,產生開口53。應注意的是,在繪示的實施例中,灰化/蝕刻製程還可以導致去除一些或全部的透明蓋層44。這是考慮的去除製程的附帶產生的結果,但去除透明蓋層44不是必要的。相反地,繪示出透明蓋層42在灰化/蝕刻製程之後留下,但是這也僅是設計選擇,且透明蓋層42可同樣在灰化/蝕刻製程期間去除(或不去除)。還應注意的是,在所示的實施例中,開口53延伸穿過蝕刻停止層34及36,這是與用於去除插塞50及/或剩餘部分38的相同或附加的蝕刻步驟的結果。然而,去除開口53中的蝕刻停止層34及36不是必需的,在一些實施例(未繪示)中,這些層留在開口53的底部。
接下來,如第4b圖所繪示,可將金屬隔離襯層56可選地形成,以襯於開口53的側壁及底部。金屬隔離襯層可以由介電質形成,例如:氧化矽、氮化矽、或其他介電質,或在一些實施例中,金屬隔離襯層可由金屬阻障形成,例如:鈦、氮化鈦、鉭、氮化鉭、或其他金屬阻障。在金屬隔離襯層56是金屬阻障的實施例中,將襯層從開口53的底部去除,如第4c圖所示。
然後,如第4c圖所示,以介電材料58填充或過度填充有內襯的開口53。介電材料及沉積技術是和設計選擇相關,但是可以考慮的是,由於良好的間隙填充能力及低介電常數性質的有利特性,因此採用流動的超低介電常數(Extra Low K,ELK)介電材料。若需要,可以由化學機械平坦化製程、回蝕刻製程、或其他平坦化製程去除多餘的介電材料58,由此形成介電部件60,其電性隔離導電的曝光區54,如第4d圖所繪示。結果是當去除光敏層38的未曝光部分,由曝光(目前為導電的)區域54形成的一層級的導電部件從光敏層38形成,且被形成在間隙中留下的介電部件60電性隔離且物理上分開。雖然不是必需的,可以重複第3a至4d圖所示的製程步驟,在第4d圖所示的中間結構上堆疊可選地(optionally)附加的互連層。舉例而言,如第4e圖所示,可以在導電的曝光部分54上形成可選的蓋層62,以保護它們免於後續製程,並且如第4f圖所示,可以形成下一層級(next level)的蝕刻停止層34’及36’於蓋層62及介電部件60上。在考慮的實施例中,蓋層62可包括鈷,然而其他材料同樣地是可考慮的。
在第5a至5j圖中繪示出將光敏層轉換成具有導電區的層的另一實施例製程。從第5a圖開始,繪示出製造的中間狀態,其中在基板72上已形成了蝕刻停止層74及76。基板72可以與上述的基板2相同或相似。換言之,基板72可以是塊體半導體晶圓、多層晶圓(例如絕緣體上覆矽基板),可以包括矽或其他半導體材料,可以為摻雜或未摻雜的等等。此外,如以上參考基板2所描述的,可以考慮到基板72涵蓋在晶圓中及晶圓上形成的各種膜層/部件/結構,包括但不限於:電晶體、電容器、電阻器、互連、絕緣層、介電層、金屬化層等等。
蝕刻停止層74及76沉積在基板72上。這些蝕刻停止層可以相同或相似於以上討論的蝕刻停止層34及36,但這樣的相似性對此實施例不是必要的。另外,可以使用單個蝕刻停止層或超過兩個蝕刻停止層,只要此蝕刻停止層或此些蝕刻停止層在以下段落中描述的後續製程步驟期間為下方的膜層/部件/結構提供足夠的保護。在此實施例中,舉例而言,蝕刻停止層74是氮化鋁層,舉例而言,蝕刻停止層76是摻雜氧的碳化物(ODC)層。其他材料也在本揭露的考慮範圍內,只要這些材料在以下段落中描述的後續製程步驟期間為下方的基板72的膜層/部件/結構提供足夠的保護。
第5b圖繪示出光敏層78沉積在蝕刻停止層76上。在此實施例中,光敏層78是單體(monomer),其導電率在充分暴露於某些波長的光能時顯著地改變,以此方式,以上關於光敏層38的教示可適用於光敏層78。為了簡潔及清楚起見,透過引用,將以上關於光敏層38的材料、性質、及製程的討論在此併入關於光敏層78的說明。
然而,在此實施例中,優選地使用旋塗沉積技術來沉積光敏層78(例如噻吩)。噻吩只是寡聚物(oligomer)(即低分子量聚合物)的一個示例。
如第5c圖所示,在光敏層78上形成蓋層。在此實施例中,僅繪示出兩個透明蓋層82及84。取決於所選擇的材料,可能可以不使用附加的蓋層,直接圖案化透明蓋層82及84。替代地,在需要更精確地圖案化透明蓋層82及84的那些應用中,可將透明蓋層82及84與附加蓋層一起使用,例如與第3c圖的蓋層46及48一起使用。這些附加蓋層可以相同於參考第3c圖所述的材料,也可以是其他材料,只要它們相容於透明蓋層82和84以及本實施例中所述的製程。
第5d圖繪示出圖案化透明蓋層82及84以在其中形成開口、以插塞86填充開口、然後將裝置暴露於示意性地由箭頭88所示的具有光能的光能量源的結果。假設光敏層78是噻吩,光能88應具有約200 nm至約400 nm的波長,以活化光敏層78的光敏性質。插塞86在這些波長應為不透明的(opaque(not transparent)),以保護插塞下方的光敏材料。對這些波長的光是透明的材料(例如:SiO2 、SiOC、及其他材料)可以用於插塞86。透明蓋層82及84對這些波長應該是透明的或至少實質上透明的,以允許足夠的光能通過它們並曝光(活化)下方的光敏層78的區域。作為透明蓋層82及84的說明性示例,如SiO2 、SiOC等等的材料厚度為約5 nm至約70 nm。
在曝光製程期間,插塞86覆蓋的光敏層78的區域被保護免於(不暴露於)光能88。相比之下,因為透明的蓋層82及84對光能88的波長實質上是透明的,所以透明蓋層82及84覆蓋的光敏層78的區域暴露於光能88。對暴露於約10 mJ/cm2 至約100 mJ/cm2 的說明性範圍內的入射光88的反應,光敏層78的曝光部分經歷了至少一種材料特性的改變,且在此實施例中,那些曝光的部分從介電質轉換為導電區90(為清楚起見,相比於光敏層78的那些曝光部分此後被稱為曝光區90、導電區90等等,維持未曝光的光敏層78的那些部分此後被稱為未曝光部分78、剩餘部分78等等)。
曝光區90(目前是導電的)的形式可以是接觸插塞、襯墊、長導線、或其他形式的互連,而剩下未曝光的區域78的形式可以是將各種曝光的導電區90彼此隔開的圖案化絕緣層。若剩餘的未曝光區78是充分絕緣的以在所得電路的操作期間執行此功能,則不需要形成互連層的進一步製程。然而,可考慮的,就絕緣、介電值、結構完整性、耐久性、可靠性、及/或其他性質而言,未曝光區78可能不足以形成最終產品的一部分。第5e至5j圖繪示出隨後的製程步驟(若需要),去除未曝光部分78,並以可能更適用於特定應用的介電材料替換它們。
從第5e圖開始,對第5d圖所示的結構進行製程,藉此去除插塞86及剩餘的未曝光部分78。用於移除插塞86及剩餘的未曝光部分78的特定製程將取決於這些部件的特定材料。在一考慮的實施例中,可以採用蝕刻、灰化、或其他製程來去除部件,在第5e圖中以箭頭89示意性地表示。結果產生開口91。應注意的是,在繪示的實施例中,灰化/蝕刻製程89還可以導致去除一些或全部的透明蓋層84。這是考慮的去除製程的附帶產生的結果,但去除透明蓋層84不是必要的。相反地,繪示出透明蓋層82在灰化/蝕刻製程之後留下,但是這也僅是設計選擇,且透明蓋層82可同樣在灰化/蝕刻製程期間去除(或不去除)。還應注意的是,在所示的實施例中,開口91延伸穿過蝕刻停止層74及76,這是與用於去除插塞86及/或剩餘部分78的相同或附加的蝕刻步驟的結果。然而,去除在開口91中的蝕刻停止層74及76不是必需的,在一些實施例(未繪示)中,這些層留在開口91的底部。
接下來,如第5f圖所繪示,可將金屬隔離襯層92可選地形成,以襯於開口91的側壁及底部。金屬隔離襯層可以由介電質形成,例如:氧化矽、氮化矽、或其他介電質,或在一些實施例中,金屬隔離襯層可由金屬阻障形成,例如:鈦、氮化鈦、鉭、氮化鉭、或其他金屬阻障。在第5g圖繪示的實施例中,將隔離襯層92從開口91的底部去除。然而,在一些實施例中,金屬隔離襯層92可以留在開口91的底部。
然後,如第5g圖所示,以介電材料96填充或過度填充有內襯的開口91。介電材料及沉積技術是和設計選擇相關,但是可以考慮的是,由於良好的間隙填充能力及低介電常數性質的有利特性,因此採用流動的超低介電常數(ELK)介電材料。若需要,可以由化學機械平坦化製程、回蝕刻製程、或其他平坦化製程去除多餘的介電材料96,由此形成介電部件97,其電性隔離導電的曝光區90,如第5g圖所繪示。結果是當去除光敏層78的未曝光部分,由曝光(目前為導電的)區域90形成的一層級的導電部件從光敏層78形成,且被形成在間隙中留下的介電部件97電性隔離且物理上分開。雖然不是必需的,可以重複第5a至5d圖所示的製程步驟,在第5i及5j圖所示的中間結構上堆疊可選地(optionally)附加的互連層。舉例而言,如第5i圖所示,可以在導電的曝光部分90上形成可選的蓋層98,以保護它們免於後續製程,並且如第5j圖所示,可以形成下一層級的蝕刻停止層74’及76’於蓋層98及介電部件97上。在考慮的實施例中,蓋層98可包括鈷,然而其他材料同樣地是可考慮的。
第6及7圖提供關於在本文所述的實施例中使用的光敏層的化學組成之附加資訊。
本文揭露的實施例的一般考量面包括:形成光敏層於基板上。方法還包括:形成蓋層於光敏材料上方。方法還包括:圖案化蓋層。方法還包括:使用圖案化的蓋層,選擇性地將光敏材料的第一部分暴露於預選的光波長,以改變光敏材料的第一部分的至少一個材料特性,同時防止光敏材料的第二部分暴露於預選的光波長。方法還包括:執行以下兩個步驟之一,但不是兩個步驟都執行:去除光敏材料的第一部分,且在其位置上形成導電元件,導電元件至少部分地被光敏材料的第二部分圍繞。方法還包括:或者去除光敏材料的第二部分,且從光敏材料的第一部分形成導電元件,導電元件電性連接電路的兩個或更多部分。
一些實施例中,形成光敏材料於基板上方的步驟包括:沉積選自由苯基、苯硫基(thiophenyl)、噻吩(thiophene)及前述組合所組成之群組的光敏材料。一些實施例中,使用圖案化的蓋層,選擇性地將光敏材料的第一部分暴露於預選的光波長,以改變光敏材料的第一部分的至少一個材料特性的步驟係增加第一部分對蝕刻製程的敏感性(susceptibility)。一些實施例中,形成光敏材料於基板上方的步驟包括:沉積選自由錪鎓-R化合物及磷-R化合物所組成之群組的一光敏材料,其中R代表CxHy基或COOH基。一些實施例中,使用圖案化的蓋層,選擇性地將光敏材料的第一部分暴露於預選的光波長,以改變光敏材料的第一部分的至少一個材料特性的步驟係增加第一部分對一蝕刻製程的敏感度(sensitivity)。一些實施例中,相對於在所述選擇性曝光步驟之前,光敏材料的第一部分的導電率在所述選擇性曝光步驟之後增加。一些實施例中,形成蓋層於光敏材料上方的步驟包括:沉積多個蓋層,其中蓋層中的至少第一蓋層對預選的光波長是透明的;以及使用在多個蓋層中的所述至少第一蓋層上形成的蓋層中的第二蓋層,圖案化蓋層中的所述至少第一蓋層。一些實施例中,更包括:形成對預選的光波長不透明的插塞於圖案化的蓋層的開口內。一些實施例中,選擇性地將光敏材料的第一部分暴露於預選的光波長的步驟包括:將光敏材料的第一部分暴露於波長約200nm至約400nm的光,以達到約10 mJ/cm2 至約100 mJ/cm2 的曝光能量。
本文揭露的實施例的另一個一般考量面包括:沉積光敏材料於基板上。方法還包括:選擇性地將光敏材料的多個第一部分暴露於預選的光波長,以改變光敏材料的第一部分的至少一個材料特性,而不將光敏材料的多個第二部分暴露於預選的光波長。方法還包括:將光敏材料併入積體電路的電性互連。
一些實施例中,選擇性地將光敏材料的第一部分暴露於預選的光波長,以改變光敏材料的第一部分的至少一個材料特性包括:增加光敏材料的第一部分的導電率。一些實施例中,更包括:在光敏材料的第一部分上形成對預選的光波長實質上透明的蓋層;以及在光敏材料的第二部分上形成對預選的光波長實質上不透明的插塞。一些實施例中,更包括:去除光敏材料的第二部分,且形成介電材料取代第二部分,以電性絕緣光敏材料的第一部分。一些實施例中,將光敏材料併入積體電路的電性互連的步驟包括:將光敏材料的第一部分電性連接至積體電路的其他電性元件。一些實施例中,選擇性地將光敏材料的第一部分暴露於預選的光波長,以改變光敏材料的第一部分的至少一個材料特性包括:相對於第二部分對一蝕刻製程的敏感性,增加第一部分對此蝕刻製程的敏感性。一些實施例中,更包括:蝕刻移除光敏材料的第一部分,而留下完整的光敏材料的第二部分,以形成多個孔洞於第二部分中;以及形成電性互連的多個導電部件於所述孔洞中,其中光敏材料的第二部分至少部分地圍繞並電性絕緣導電部件。一些實施例中,沉積光敏材料於基板上的步驟包括:使用化學氣相沉積或使用旋塗技術沉積材料。一些實施例中,沉積光敏材料於基板上的步驟包括:沉積選自由苯基、苯硫基、噻吩及前述組合所組成之群組的一材料。一些實施例中,選擇性地將光敏材料的第一部分暴露於預選的光波長的步驟包括:將以化學氣相沉積製程沉積的材料暴露於極紫外光、或將以旋塗製程沉積的材料暴露於固定波長的光。
本文揭露的實施例的又一個一般考量面包括:基板。裝置還包括:電性互連電路,形成於基板上方,電性互連電路具有至少兩個層級,此兩個層級中的每一個包括:光敏材料層,光敏材料層具有多個導電光敏材料區,且光敏材料層中更具有多個溝槽。裝置還包括:絕緣材料,形成於溝槽內且電性絕緣導電光敏材料區。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可更易理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能以本發明實施例為基礎,設計或修改其他製程及結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解到,此類等效的製程及結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神及範圍之下,做各式各樣的改變、取代及替換。
2:基板 4,6:蝕刻停止層 8:光敏層 10:結構 12,14,16,18:蓋層 19:開口 20:入射光 22:曝光部分 23:開口 24:導電材料 26:導電部件 32:基板 34, 34’,36, 36’:蝕刻停止層 38:光敏層 42,44,46,48:蓋層 49;53:開口 50:插塞 52:光能 54:曝光區 56:金屬隔離襯層 58:介電材料 60:介電部件 62:蓋層 72:基板 74, 74’,76,76’:蝕刻停止層 78:光敏層 82,84:蓋層 86:插塞 88:光能 89:製程 90:曝光區 91:開口 92:金屬隔離襯層 96:介電材料 97:介電部件 98:蓋層
由以下的詳細敘述配合所附圖式,可最好地理解本發明實施例。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製。事實上,可任意地放大或縮小各種元件的尺寸,以清楚地表現出本發明實施例之特徵。 第1a至1f圖繪示出形成圖案化光敏層之步驟,及由其所得的結構。 第2a至2d圖繪示出在第1e圖的圖案化光敏層中形成導電部件之步驟,及由其所得的結構。 第3a至3f圖繪示出形成具有導電區及非導電區的圖案化光敏層之步驟,及由其所得的結構。 第4a至4f圖繪示出在第3f圖的圖案化光敏層中形成絕緣部件之步驟,及由其所得的結構。 第5a至5j圖繪示出從圖案化光敏層形成電性互連的步驟,及由其所得的結構。 第6及7圖繪示出說明性的光敏材料的特性。
4,6:蝕刻停止層
8:光敏層
24:導電材料

Claims (20)

  1. 一種互連部件的形成方法,包括: 形成一光敏(photo-sensitive)材料於一基板上方; 形成一蓋層於該光敏材料上方; 圖案化該蓋層; 使用該圖案化的蓋層,選擇性地將該光敏材料的一第一部分暴露於一預選的光波長,以改變該光敏材料的該第一部分的至少一個材料特性,同時防止該光敏材料的一第二部分暴露於該預選的光波長;以及執行以下兩個步驟之一,但不是兩個步驟都執行: 去除該光敏材料的該第一部分,且在其位置上形成一導電元件,該導電元件至少部分地被該光敏材料的該第二部分圍繞;或 去除該光敏材料的該第二部分,且從該光敏材料的該第一部分形成一導電元件,該導電元件電性連接一電路的兩個或更多部分。
  2. 如請求項1之互連部件的形成方法,其中形成該光敏材料於該基板上方的步驟包括:沉積選自由苯基、苯硫基(thiophenyl)、噻吩(thiophene)及前述組合所組成之群組的一光敏材料。
  3. 如請求項1之互連部件的形成方法,其中使用該圖案化的蓋層,選擇性地將該光敏材料的該第一部分暴露於該預選的光波長,以改變該光敏材料的該第一部分的至少一個材料特性的步驟係增加該第一部分對一蝕刻製程的敏感性(susceptibility)。
  4. 如請求項1之互連部件的形成方法,其中形成該光敏材料於該基板上方的步驟包括:沉積選自由錪鎓-R化合物及磷-R化合物所組成之群組的一光敏材料,其中R代表CxHy基或COOH基。
  5. 如請求項1之互連部件的形成方法,其中使用該圖案化的蓋層,選擇性地將該光敏材料的該第一部分暴露於該預選的光波長,以改變該光敏材料的該第一部分的至少一個材料特性的步驟係增加該第一部分對一蝕刻製程的敏感度(sensitivity)。
  6. 如請求項1之互連部件的形成方法,其中相對於在所述選擇性曝光步驟之前,該光敏材料的該第一部分的導電率(conductivity)在所述選擇性曝光步驟之後增加。
  7. 如請求項1之互連部件的形成方法,其中形成該蓋層於該光敏材料上方的步驟包括: 沉積多個蓋層,其中該些蓋層中的至少一第一蓋層對該預選的光波長是透明的(transparent);以及 使用在該些蓋層中的所述至少一第一蓋層上形成的該些蓋層中的一第二蓋層,圖案化該些蓋層中的所述至少一第一蓋層。
  8. 如請求項1之互連部件的形成方法,更包括:形成對該預選的光波長不透明的一插塞於該圖案化的蓋層的一開口內。
  9. 如請求項1之互連部件的形成方法,其中選擇性地將該光敏材料的該第一部分暴露於該預選的光波長的步驟包括:將該光敏材料的該第一部分暴露於波長約200nm至約400nm的光,以達到約10 mJ/cm2 至約100 mJ/cm2 的曝光能量。
  10. 一種互連部件的形成方法,包括: 沉積一光敏材料於一基板上; 選擇性地將該光敏材料的多個第一部分暴露於一預選的光波長,以改變該光敏材料的該些第一部分的至少一個材料特性,而不將該光敏材料的多個第二部分暴露於該預選的光波長;以及 將該光敏材料併入一積體電路的一電性互連。
  11. 如請求項10之互連部件的形成方法,其中選擇性地將該光敏材料的該些第一部分暴露於該預選的光波長,以改變該光敏材料的該些第一部分的至少一個材料特性包括:增加該光敏材料的該些第一部分的導電率。
  12. 如請求項11之互連部件的形成方法,更包括: 在該光敏材料的該些第一部分上形成對該預選的光波長實質上透明的一蓋層;以及 在該光敏材料的該些第二部分上形成對該預選的光波長實質上不透明的一插塞。
  13. 如請求項12之互連部件的形成方法,更包括: 去除該光敏材料的該些第二部分,且形成介電材料取代該些第二部分,以電性絕緣該光敏材料的該些第一部分。
  14. 如請求項11之互連部件的形成方法,其中將該光敏材料併入該積體電路的該電性互連的步驟包括:將該光敏材料的該些第一部分電性連接至該積體電路的其他電性元件。
  15. 如請求項10之互連部件的形成方法,其中選擇性地將該光敏材料的該些第一部分暴露於該預選的光波長,以改變該光敏材料的該些第一部分的至少一個材料特性包括:相對於該些第二部分對一蝕刻製程的敏感性,增加該些第一部分對該蝕刻製程的敏感性。
  16. 如請求項14之互連部件的形成方法,更包括: 蝕刻移除該光敏材料的該些第一部分,而留下完整的該光敏材料的該些第二部分,以形成多個孔洞於該些第二部分中;以及 形成該電性互連的多個導電部件於該些孔洞中,其中該光敏材料的該些第二部分至少部分地圍繞並電性絕緣該些導電部件。
  17. 如請求項10之互連部件的形成方法,其中沉積該光敏材料於該基板上的步驟包括:使用化學氣相沉積或使用旋塗技術沉積材料。
  18. 如請求項10之互連部件的形成方法,其中沉積該光敏材料於該基板上的步驟包括:沉積選自由苯基、苯硫基、噻吩及前述組合所組成之群組的一材料。
  19. 如請求項10之互連部件的形成方法,其中選擇性地將該光敏材料的該些第一部分暴露於該預選的光波長的步驟包括:將以化學氣相沉積製程沉積的一材料暴露於極紫外光、或將以旋塗製程沉積的一材料暴露於一固定波長的光。
  20. 一種互連部件,包括: 一基板; 一電性互連電路,形成於該基板上方,該電性互連電路具有 一光敏材料層,該光敏材料層具有多個導電光敏材料區,且該光敏材料層中更具有多個溝槽;以及 一絕緣材料,形成於該些溝槽內且電性絕緣該些導電光敏材料區。
TW110105922A 2020-02-26 2021-02-20 互連部件及其形成方法 TWI762201B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062981862P 2020-02-26 2020-02-26
US62/981,862 2020-02-26
US17/094,700 2020-11-10
US17/094,700 US11676855B2 (en) 2020-02-26 2020-11-10 Patterning interconnects and other structures by photo-sensitizing method

Publications (2)

Publication Number Publication Date
TW202135182A true TW202135182A (zh) 2021-09-16
TWI762201B TWI762201B (zh) 2022-04-21

Family

ID=77366960

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110105922A TWI762201B (zh) 2020-02-26 2021-02-20 互連部件及其形成方法

Country Status (3)

Country Link
US (1) US11676855B2 (zh)
KR (1) KR102558608B1 (zh)
TW (1) TWI762201B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102020130905A1 (de) * 2020-02-26 2021-08-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strukturieren von Interconnects und anderer Aufbauten durch Photosensibilisierungsverfahren
US11799001B2 (en) * 2021-03-09 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Back-end-of-line devices

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4608129A (en) 1984-05-22 1986-08-26 Nippon Telegraphy And Telephone Polymer film with conductive pattern and method of manufacturing the same
NZ230045A (en) * 1988-08-05 1990-11-27 Janssen Pharmaceutica Nv 3-piperazinylbenzazole derivatives and pharmaceutical compositions
DE19754784B4 (de) 1997-12-10 2004-02-12 Robert Bosch Gmbh Verfahren zur Herstellung einer Matrix aus Dünnschichttransistoren mit Speicherkapazitäten
US6624473B1 (en) 1999-03-10 2003-09-23 Matsushita Electric Industrial Co., Ltd. Thin-film transistor, panel, and methods for producing them
JP2004061629A (ja) 2002-07-25 2004-02-26 Dainippon Printing Co Ltd 機能性素子の製造方法
JP4663206B2 (ja) 2002-08-28 2011-04-06 大日本印刷株式会社 導電性パターン形成体の製造方法
US7060637B2 (en) * 2003-05-12 2006-06-13 Micron Technology, Inc. Methods of forming intermediate semiconductor device structures using spin-on, photopatternable, interlayer dielectric materials
JP4383095B2 (ja) 2003-06-10 2009-12-16 大日本印刷株式会社 パターン形成体の製造方法
JP5589019B2 (ja) 2011-06-14 2014-09-10 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、これを用いた感活性光線性又は感放射線性膜、及び、パターン形成方法
WO2018051860A1 (ja) 2016-09-16 2018-03-22 東レ株式会社 電界効果トランジスタの製造方法および無線通信装置の製造方法
US10861710B2 (en) 2018-06-29 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices

Also Published As

Publication number Publication date
US20210265204A1 (en) 2021-08-26
US11676855B2 (en) 2023-06-13
TWI762201B (zh) 2022-04-21
KR102558608B1 (ko) 2023-07-21
KR20210109441A (ko) 2021-09-06

Similar Documents

Publication Publication Date Title
US6730573B1 (en) MIM and metal resistor formation at CU beol using only one extra mask
US9723716B2 (en) Contact pad structure, an electronic component, and a method for manufacturing a contact pad structure
US11984355B2 (en) Method for manufacturing an interconnection structure having a bottom via spacer
CN110556335B (zh) 采用选择性金属沉积的完全对准的通孔
TW202125756A (zh) 半導體結構
JPH1174356A (ja) 改良二重ダマスク構造体
TW202010158A (zh) 記憶體裝置及其形成方法
TWI762201B (zh) 互連部件及其形成方法
TW202038383A (zh) 半導體裝置及其形成方法
TW202205280A (zh) 記憶體裝置和其製造方法
US7251799B2 (en) Metal interconnect structure for integrated circuits and a design rule therefor
US6638849B2 (en) Method for manufacturing semiconductor devices having copper interconnect and low-K dielectric layer
TW202211332A (zh) 互連結構及其形成方法
US11817389B2 (en) Multi-metal interconnects for semiconductor device structures
US9136164B2 (en) Semiconductor device and fabrication method
US12033890B2 (en) Patterning interconnects and other structures by photo-sensitizing method
CN114446928A (zh) Mim电容器结构
US10679892B1 (en) Multi-buried ULK field in BEOL structure
TWI636543B (zh) 內連線結構及其製造方法
US11942424B2 (en) Via patterning for integrated circuits
US20240071904A1 (en) Skip via with localized spacer
TWI766665B (zh) 半導體結構及其製造方法
TWI847305B (zh) 半導體裝置及其製造方法
KR20000072897A (ko) 반도체 장치의 제조 방법
TWI819796B (zh) 半導體結構的形成方法