TW202134457A - 選擇性形成含金屬膜之方法 - Google Patents

選擇性形成含金屬膜之方法 Download PDF

Info

Publication number
TW202134457A
TW202134457A TW110103652A TW110103652A TW202134457A TW 202134457 A TW202134457 A TW 202134457A TW 110103652 A TW110103652 A TW 110103652A TW 110103652 A TW110103652 A TW 110103652A TW 202134457 A TW202134457 A TW 202134457A
Authority
TW
Taiwan
Prior art keywords
substrate
metal
deposition process
formula
compound
Prior art date
Application number
TW110103652A
Other languages
English (en)
Inventor
喬比 愛朵
傑可布 伍德洛夫
洪聖恩
拉文朵拉 坎婕莉亞
查理斯 那納亞卡拉
察爾斯 得茲拉
Original Assignee
德商馬克專利公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 德商馬克專利公司 filed Critical 德商馬克專利公司
Publication of TW202134457A publication Critical patent/TW202134457A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Compounds Of Alkaline-Earth Elements, Aluminum Or Rare-Earth Metals (AREA)

Abstract

本發明提供形成含金屬膜之方法。該方法包括藉由第一沉積製程例如在第一基板表面上形成阻擋層及藉由第二沉積製程例如在第二基板表面上形成該含金屬膜。

Description

選擇性形成含金屬膜之方法
本技術大體上係關於沉積方法,特別是用於在基板表面上選擇性含金屬膜生長之方法。
薄膜,且特別是含金屬薄膜,具有各種重要應用,諸如於奈米技術及半導體裝置之製造中。此類應用之實例包括高折射率光學塗層、腐蝕保護塗層、光催化自清潔玻璃塗層、生物相容性塗層、場效電晶體(FET)中之介電電容器層及閘極介電絕緣膜、電容器電極、閘極電極、黏著劑擴散障壁及積體電路。金屬薄膜及介電薄膜亦用於微電子應用,諸如用於動態隨機存取記憶體(DRAM)應用之高κ介電氧化物及用於紅外檢測器及非易失性鐵電隨機存取記憶體(NV-FeRAM)之鐵電鈣鈦礦。
各種前驅物可用於形成含金屬薄膜且可採用多種沉積技術。此類技術包括反應性濺鍍、離子輔助式沉積、溶膠-凝膠沉積、化學氣相沉積(CVD) (亦稱為金屬有機CVD或MOCVD)及原子層沉積(ALD) (亦稱為原子層磊晶)。由於CVD及ALD製程具有增強之組成控制、高的膜均勻性及有效控制摻雜之優點,故CVD及ALD製程正被越來越多地使用。
CVD係其中使用前驅物以在基板表面上形成薄膜之化學製程。在典型CVD製程中,使前驅物在低壓或環境壓力反應腔室中於基板(例如晶圓)之表面上方通過。前驅物在基板表面上反應及/或分解,從而產生經沉積材料之薄膜。藉由氣體流過反應腔室來移除揮發性副產物。所沉積之膜厚度可難以控制,因為其取決於許多參數之協調,該等參數諸如溫度、壓力、氣流體積及均勻性、化學品耗盡效應及時間。
ALD亦係一種用於沉積薄膜之方法。其為一種基於表面反應之自限性連續獨特膜生長技術,其可提供精確厚度控制且將由前驅物提供之材料之保形薄膜沉積在具有各種組成之基板表面上。在ALD中,在反應期間分離前驅物。使第一前驅物於基板表面上方通過,從而在基板表面上產生單層。將任何過量未反應前驅物自反應腔室泵出。然後使第二前驅物於基板表面上方通過且與第一前驅物反應,於基板表面上在第一形成之膜單層上方形成第二膜單層。重複此循環以產生所需厚度之膜。
然而,隨著微電子組件諸如半導體裝置之尺寸之不斷減小,仍舊存在若干技術挑戰,由此增加對改良之薄膜技術之需求。特別地,微電子組件可包括圖案化,例如以形成導電路徑或形成互連。通常,圖案化經由蝕刻及微影技術來達成,但隨著對圖案化複雜性之需求增加,此類技術可具有挑戰性。因此,對薄膜沉積方法之開發非常感興趣,該等方法可選擇性生長膜於一或多個基板上且在基板上達成改良之圖案化。
根據一個態樣,提供一種形成含金屬膜之方法。該方法包括藉由第一氣相沉積製程或第一液相沉積製程在第一基板表面上形成阻擋層。該第一氣相沉積製程包括蒸發結構對應於式(I)之化合物:
Figure 02_image002
(I) 其中X1 為R1 或R2 R3 ,其中R1 為視需要經一或多個三氯矽基取代之C1 –C20 -烷基,R2 為視需要經一或多個鹵素取代之C1 –C20 -伸烷基,及R3 係選自由腈基、乙烯基、鹵素、三氟甲基、乙醯氧基、甲氧基乙氧基及苯氧基組成之群。該第一液相沉積製程包括使第一基板表面與包含該結構對應於式(I)之化合物之溶液接觸。該方法進一步包括藉由第二沉積製程在第二基板表面上形成含金屬膜。該第二沉積製程包括蒸發至少一種金屬錯合物。該第一基板表面可包含介電材料或金屬氧化物及該第二基板表面可包含金屬材料。
根據另一個態樣,提供形成含金屬膜之另一方法。該方法包括藉由第一氣相沉積製程或第一液相沉積製程在基板之第一部分上形成阻擋層。該第一氣相沉積製程包括蒸發結構對應於式(I)之化合物:
Figure 02_image002
(I) 其中X1 為R1 或R2 R3 ,其中R1 為視需要經一或多個三氯矽基取代之C1 –C20 -烷基,R2 為視需要經一或多個鹵素取代之C1 –C20 -伸烷基,及R3 係選自由腈基、乙烯基、鹵素、三氟甲基、乙醯氧基、甲氧基乙氧基及苯氧基組成之群。該第一液相沉積製程包括使該基板之該第一部分與包含該結構對應於式(I)之化合物之溶液接觸。該方法進一步包括藉由第二沉積製程在該基板之第二部分上形成含金屬膜。該第二沉積製程包括蒸發至少一種金屬錯合物。該基板之該第一部分可包含介電材料或金屬氧化物及該基板之該第二部分可包含金屬材料。
其他實施例,包括以上所概述之實施例之特定態樣,將自自隨後的詳細描述顯而易見。
在描述本技術之若干示例性實施例之前,應明瞭,該技術不限於闡述於以下描述中之構造或製程步驟之詳細內容。本技術能夠具有其他實施例且能夠以各種方式實踐或實施。亦應明瞭,可在本文中使用具有特定立體化學之結構式來說明金屬錯合物及其他化學化合物。此等說明僅意欲作為實例且不應解釋為將所揭示之結構限制為任何特定立體化學。而是,所說明之結構意欲涵蓋具有指定化學式之所有此類金屬錯合物及化學化合物。
申請人已發現進行沉積之方法,該方法可選擇性形成含金屬膜。特別地,本文描述的方法可藉由第一沉積製程(例如第一氣相沉積或第一液相沉積)在第一基板表面或表面之第一部分上形成阻擋層,及藉由第二沉積製程在第二基板表面或表面之第二部分上形成含金屬膜。已發現可在含金屬基板上沉積阻擋層,且此阻擋層可實質上阻擋或抑制含金屬膜在阻擋層上之生長同時允許含金屬膜沉積於含介電材料基板及/或含金屬氧化物基板上。有利地,本文描述的方法可允許選擇性沉積電介質在介電質上(dielectric-on-dielectric)。另外,本文描述的方法可允許經由氣相方法來遞送阻擋層,該氣相方法可使用遞送金屬錯合物所利用之相同設備。I. 定義
出於本發明及其申請專利範圍之目的,週期表族之編號方案係根據IUPAC元素週期表。
術語「及/或」如在本文中之片語諸如「A及/或B」中所用意欲包括「A或B」、「A或B」、「A」及「B」。
術語「取代基」、「基團(radical)」、「基團(group)」及「部分」可互換使用。
如本文所用,術語「含金屬錯合物」(或更簡單地,「錯合物」)及「前驅物」可互換使用且係指可用於例如藉由氣相沉積製程(諸如例如ALD或CVD)來製備含金屬膜之含金屬分子或化合物。可將含金屬錯合物沉積於基板或其表面上,吸附至基板或其表面,於基板或其表面上分解,遞送至基板或其表面,及/或通過基板或其表面上方,以便形成含金屬膜。
如本文所用,術語「含金屬膜」不僅包括如以下更充分定義的元素金屬膜而且包括包含金屬以及一或多種元素之膜,例如金屬氧化物膜、金屬氮化物膜、金屬矽化物膜、金屬碳化物膜及類似者。如本文所用,術語「元素金屬膜」及「純金屬膜」可互換使用且係指由純金屬組成或基本上由純金屬組成之膜。例如,元素金屬膜可包含100%純金屬或元素金屬膜可包含至少約70%、至少約80%、至少約90%、至少約95%、至少約96%、至少約97%、至少約98%、至少約99%、至少約99.9%或至少約99.99%純金屬以及一或多種雜質。除非上下文另有指示,否則術語「金屬膜」應解釋為意指元素金屬膜。
如本文所用,術語「氣相沉積製程」用於指任何類型之氣相沉積技術,包括但不限於CVD及ALD。在各種實施例中,CVD可採取習知(亦即,連續流) CVD、液體注入CVD或光輔助CVD之形式。CVD亦可採取脈衝技術之形式,亦即脈衝CVD。ALD用於藉由蒸發及/或使至少一種本文所揭示之金屬錯合物通過基板表面上方來形成含金屬膜。關於習知ALD製程,參見,例如George S. M.等人J. Phys. Chem. 1996,100 ,13121至13131。在其他實施例中,ALD可採取習知(亦即,脈衝注入) ALD、液體注入ALD、光輔助ALD、電漿輔助ALD或電漿增強ALD之形式。術語「氣相沉積製程」進一步包括描述於Chemical Vapour Deposition:  Precursors Processes, and Applications ;Jones, A. C.;Hitchman, M. L.編,The Royal Society of Chemistry: Cambridge,2009;第1章,第1至36頁中之各種氣相沉積技術。
如本文所用,術語「液相沉積製程」係指任何類型之液相沉積技術,其中該材料及/或化合物係經由液相沉積在基板上,其中該液體為溶液或分散液。示例性液相沉積製程包括旋塗、刮塗、噴塗、輥塗、擠出塗佈、棒塗、浸塗及類似者。
如本文所用,術語「選擇性生長(selective growth)」、「經選擇性生長(selectively grown)」及「選擇性地生長(selectively grows)」可同義地使用且係指在第二基板表面(或基板之第二部分)之至少一部分 上生長膜及在第一基板表面(或基板之第一部分)上及/或在阻擋層上實質上無膜生長以及與在第一基板表面(或基板之第一部分)上及/或在阻擋層上生長膜相比在第二基板表面(或基板之第二部分)之至少一部分上生長更多膜。關於多於一個基板,術語「選擇性生長(selective growth)」、「經選擇性生長(selectively grown)」及「選擇性地生長(selectively grows)」亦包括在第一基板上生長膜及在第二基板(或第三基板、或第四基板或第五基板等)上實質上無膜生長以及與在第二基板(或第三基板、或第四基板或第五基板等)相比在第一基板上生長更多膜。
術語「烷基」(單獨地或與另一術語組合)係指長度為1至約25個碳原子之飽和烴鏈,諸如但不限於甲基、乙基、丙基、丁基、戊基、己基、庚基、辛基、癸基等等。烷基可為直鏈或分支鏈。「烷基」意欲包括烷基之所有結構異構形式。例如,如本文所用,丙基包括正丙基及異丙基;丁基包括正丁基、第二丁基、異丁基及第三丁基;戊基包括正戊基、第三戊基、新戊基、異戊基、第二戊基及3-戊基。此外,如本文所用,「Me」係指甲基,「Et」係指乙基,「Pr」係指丙基,「i- Pr」係指異丙基,「Bu」係指丁基,「t -Bu」係指第三丁基,及「Np」係指新戊基。在一些實施例中,烷基為C1 –C5 -或C1 –C4 -烷基。
術語「伸烷基」係指長度上包含1至20個碳原子之二價烷基部分(亦即C1 –C20 伸烷基)且意指伸烷基部分在烷基單元的兩端處連接至分子之其餘部分。例如,伸烷基包括但不限於–CH2 –、–CH2 CH2 –、–CH(CH3 )CH2 –、–CH2 CH2 CH2 –等。伸烷基可為直鏈或分支鏈。
術語「烷氧基」係指包含1至約8個碳原子之–O–烷基。烷氧基可為直鏈或分支鏈。非限制性實例包括甲氧基、乙氧基、丙氧基、丁氧基、異丁氧基、第三丁氧基、戊氧基及己氧基。II. 形成含金屬膜之方法
本文提供形成含金屬膜之方法,例如其中該含金屬膜係選擇性生長。在各個態樣中,如圖1A中所說明,該方法可包括藉由第一沉積製程在第一基板表面15上形成阻擋層20。該方法可進一步包括藉由第二沉積製程在第二基板表面17上形成含金屬膜23。如圖1A中所顯示,第一基板表面15及第二基板表面17可存在於單一基板19 (亦即相同基板)上。例如,當使用單一基板19時,第一基板表面15可被視為基板19之第一部分15及第二基板表面17可被視為基板19之第二部分17。替代地,如圖1B中所說明,第一基板表面15及第二基板表面17可存在於不同基板上,例如分別在第一基板25及第二基板30上。
第一基板表面15 (或第一部分15)可包含介電材料、金屬氧化物材料或其組合。介電材料可為低κ介電質或高κ介電質。適宜介電材料之實例包括但不限於SiO2 、SiN及其組合。適宜金屬氧化物材料之實例包括但不限於HfO2 、ZrO2 、SiO2 、Al2 O3 及其組合。第二基板表面17 (或第二部分17)可包含金屬材料。適宜金屬材料之實例包括但不限於鎢(W)、鈷(Co)、銅(Cu)及其組合。在一些實施例中,金屬材料可包含Co、Cu或其組合。在一個特定實施例中,金屬材料可包含Cu。
在任何實施例中,第一沉積製程可包括第一氣相沉積製程、第一液相沉積製程或其組合。該第一氣相沉積製程可包括蒸發結構對應於式I之化合物:
Figure 02_image002
(I) 其中X1 可為R1 或R2 R3 。R1 可為視需要經一或多個
Figure 02_image005
(三氯矽基)取代之C1 –C20 -烷基。R2 可為視需要經一或多個鹵素(例如,F、Cl、Br等)取代之C1 –C20 -伸烷基。R3 可選自由
Figure 02_image007
(腈基)、
Figure 02_image009
(乙烯基)、鹵素(例如F、Cl、Br等)、
Figure 02_image011
(三氟甲基)、
Figure 02_image013
(乙醯氧基)、
Figure 02_image015
(甲氧基乙氧基)及
Figure 02_image017
(苯氧基)組成之群。
結構對應於式I之化合物可在基板(例如第一基板表面15、第二基板表面17、基板19、第一基板25、第二基板30)存在下進行蒸發及/或可將結構對應於式I之蒸發化合物暴露於基板(例如第一基板表面15、第二基板表面17、基板19、第一基板25、第二基板30)。在任何實施例中,第一液相沉積製程可包括使基板表面(例如第 基板表面15、第二基板表面17、基板19、第一基板25、第二基板30)與包含結構對應於式(I)之化合物之溶液接觸。
在一些實施例中,X1 可為R1 ,其中R1 可為視需要經一或多個三氯矽基,例如1至12個三氯矽基、1至8個三氯矽基、1至4個三氯矽基或1至2個三氯矽基取代之C1 –C20 -烷基。在一些實施例中,R1 可為C1 –C15 -烷基、C1 –C12 -烷基、C1 –C10 -烷基、C1 –C8 -烷基、C1 –C4 -烷基或C1 –C2 -烷基,各視需要經一或多個三氯矽基取代。烷基可為直鏈或分支鏈。特別地,烷基為直鏈。
在一些實施例中,X1 可為R2 R3 ,其中R2 可為C1 –C20 -伸烷基、C1 –C15 -伸烷基、C1 –C12 -伸烷基、C1 –C10 -伸烷基、C1 –C8 -伸烷基或C1 –C4 -伸烷基,各視需要經一或多個鹵素(例如F、Cl、Br等)取代。
在一些實施例中,X1 可為R2 R3 ,其中R2 可為視需要經1至10個鹵素(例如F、Cl、Br等)取代之C1 –C12 -伸烷基及R3 可選自由腈基、乙烯基、鹵素、三氟甲基、乙醯氧基、甲氧基乙氧基及苯氧基組成之群。
在任何實施例中,結構對應於式(I)之化合物顯示於下表1中。 1
名稱 結構
1 正辛基三氯矽烷
Figure 02_image019
2 十二烷基三氯矽烷
Figure 02_image021
3 11-氰基十一烷基三氯矽烷
Figure 02_image023
4 11-乙醯氧基十一烷基三氯矽烷
Figure 02_image025
5 (十三氟-1,1,2,2-四氫辛基(tetrahydrooctyl))三氯矽烷
Figure 02_image027
6 10-十一烯基三氯矽烷
Figure 02_image029
7 11-溴十一烷基三氯矽烷
Figure 02_image031
8 11-(2-甲氧基乙氧基)十一烷基三氯矽烷
Figure 02_image033
9 11-苯氧基十一烷基三氯矽烷
Figure 02_image035
10 1,2-雙(三氯矽基)癸烷
Figure 02_image037
在任何實施例中,可在較低溫度下將結構對應於式(I)之化合物遞送或暴露於基板(例如第一基板表面15、第二基板表面17、基板19、第一基板25、第二基板30)。例如,此溫度可為小於或等於約185℃、小於或等於約175℃、小於或等於約150℃、小於或等於約140℃、小於或等於約130℃、小於或等於約120℃、小於或等於約110℃、或約100℃;或約100℃至約185℃、約100℃至約175℃、約100℃至約150℃、或約100℃至約130℃。
在任何實施例中,第二沉積製程可包括將基板(例如第一基板表面15、第二基板表面17、基板19、第一基板25、第二基板30)暴露於至少一種金屬錯合物。
金屬錯合物可包括具有一或多個適宜配位體之適宜金屬中心。適宜金屬中心之實例包括但不限於鈦(Ti)、鋯(Zr)及鉿(Hf)。適宜配位體之實例包括但不限於C1 –C10 -烷基、C1 –C10 -烷氧基、視需要經一或多個C1 –C10 -烷基取代之環戊二烯基(Cp)及其組合。例如,各配位體可獨立地為甲基、乙基、丙基、丁基、甲氧基、乙氧基、丙氧基、丁氧基、Cp基團、經甲基取代之Cp (MeCp)基團、經乙基取代之Cp (EtCp)基團及其組合。
在一些實施例中,金屬錯合物可在結構上對應於式II:
Figure 02_image039
(II) 其中M可為Ti、Zr或Hf,特別是Hf;及L1 、L2 、L3 及L4 可各獨立地選自由C1 –C8 -烷基、C1 –C8 -烷氧基及視需要經至少一個C1 –C8 -烷基取代之Cp基團組成之群。在一些實施例中,L1 、L2 、L3 及L4 可全部相同。
在一些實施例中,M可為Hf及L1 、L2 、L3 及L4 可各獨立地選自由C1 –C4 -烷基、C1 –C4 -烷氧基及視需要經至少一個C1 –C4 -烷基取代之Cp基團組成之群。
在一些實施例中,M可為Hf及L1 、L2 、L3 及L4 可各獨立地選自由C1 –C2 -烷基、C1 –C2 -烷氧基及視需要經至少一個C1 –C2 -烷基取代之Cp基團組成之群。
在一些實施例中,金屬錯合物可為(MeCp)2 Hf(OMe)(Me)。
有利地,含金屬膜之金屬可以實質上少量存在於阻擋層上或實質上不存在於阻擋層上。例如,含金屬膜之金屬可以小於或等於約25原子%、小於或等於約20原子%、小於或等於約15原子%、小於或等於約10原子%、小於或等於約5原子%、小於或等於約1原子%、小於或等於約0.5原子%或約0原子%;或約0原子%至約25原子%、約0.5原子%至約25原子%、約0.5原子%至約20原子%、約0.5原子%至約15原子%、約0.5原子%至約10原子%或約1原子%至約5原子%之量存在於阻擋層上。
另外或替代地,阻擋層可以少量存在或實質上不存在於第二基板表面(或基板之第二部分,以100:1選擇性)上。
如以上所論述,可藉由任何適宜沉積技術將基板暴露於結構對應於式I之化合物、如本文所述的金屬錯合物或其組合。例如,第一氣相沉積製程可包括蒸發結構對應於式I之化合物。另外或替代地,第二沉積製程可包括蒸發至少一種如本文所述的金屬錯合物。
例如,此可包括(1)蒸發結構對應於式I之化合物及/或蒸發至少一種金屬錯合物及(2)將結構對應於式I之化合物遞送及/或將至少一種金屬錯合物遞送至基板表面(例如第一基板表面15、第二基板表面17、基板19、第一基板25、第二基板30),或使結構對應於式I之化合物及/或使至少一種金屬錯合物通過基板上方(及/或在基板表面上分解結構對應於式I之化合物及/或分解至少一種錯合物)。
或者,第一液相沉積製程可包括使基板表面(例如第 基板表面15、第二基板表面17、基板19、第一基板25、第二基板30)與包含結構對應於式(I)之化合物之溶液接觸。該溶液可包含任何適宜溶劑,諸如烴或胺溶劑。適宜烴溶劑包括但不限於脂族烴,諸如己烷、庚烷及壬烷;芳族烴,諸如甲苯及二甲苯;及脂族及環狀醚,諸如二甘醇二甲醚、三甘醇二甲醚及四甘醇二甲醚。適宜胺溶劑之實例包括但不限於辛胺及N,N -二甲基十二烷基胺。例如,可將結構對應於式I之化合物溶解於甲苯中以得到濃度為約0.01M至約1M之溶液。在任一實施例中,第一液相沉積可包括將基板(例如第一基板表面15、第二基板表面17、基板19、第一基板25、第二基板30)浸漬或浸沒於該溶液中至少一次持續適宜時間長,例如約1小時至約36小時、約6小時至約30小時、或約12小時至約24小時。在與溶液接觸後,可然後乾燥經塗佈之基板。
在任一實施例中,第一氣相沉積製程及第二沉積製程可獨立地為化學氣相沉積(CVD)或原子層沉積(ALD)。
ALD及CVD方法包括各種類型之ALD及CVD製程,諸如但不限於連續或脈衝注入製程、液體注入製程、光輔助製程、電漿輔助製程及電漿增強製程。為清晰起見,具體而言,本技術之方法包括直接液體注入製程。例如,在直接液體注入CVD (「DLI-CVD」)中,可將結構對應於式I之固體或液體化合物及/或金屬錯合物溶解於適宜溶劑中且將由此形成的溶液注入蒸發腔室中,該蒸發腔室作為蒸發結構對應於式I之化合物及/或金屬錯合物之裝置。然後將結構對應於式I之蒸發化合物及/或金屬錯合物傳送/遞送至基板表面。一般而言,DLI-CVD在金屬錯合物展現相對低的揮發性或另外難以蒸發之其等情況下可能特別有用。
在一個實施例中,習知或脈衝CVD用於藉由蒸發及/或使至少一種金屬錯合物於基板表面上方通過來形成含金屬膜。另外或替代地,習知或脈衝CVD用於藉由蒸發及/或使結構對應於式I之化合物於基板表面上方通過來遞送結構對應於式I之化合物。關於習知CVD製程,參見,例如Smith, Donald (1995).Thin-Film Deposition: Principles and Practice .  McGraw-Hill。
在一個實施例中,結構對應於式I之化合物及/或本文所揭示的金屬錯合物之CVD生長條件包括但不限於: a) 基板溫度:50至600℃ b) 蒸發器溫度(金屬前驅物溫度):0至200℃ c) 反應器壓力:0至100托 d) 氬氣或氮氣載氣流速:0至500 sccm e) 氧氣流速:0至500 sccm f) 氫氣流速:0至500 sccm g) 運行時間:將根據所需膜厚度而變化。
在另一個實施例中,光輔助CVD用於藉由蒸發及/或使至少一種本文所揭示的金屬錯合物於基板表面上方通過來形成含金屬膜。另外或替代地,光輔助CVD用於藉由蒸發及/或使結構對應於式I之化合物於基板表面上方通過來遞送結構對應於式I之化合物。
在另一個實施例中,習知(亦即脈衝注入) ALD用於藉由蒸發及/或使至少一種本文所揭示的金屬錯合物於基板表面上方通過來形成含金屬膜。另外或替代地,習知(亦即脈衝注入) ALD用於藉由蒸發及/或使結構對應於式I之化合物於基板表面上方通過來遞送結構對應於式I之化合物。關於習知ALD製程,參見,例如George S. M.等人J. Phys. Chem. 1996,100 ,13121至13131。
在另一個實施例中,液體注入ALD用於藉由蒸發及/或使至少一種本文所揭示的金屬錯合物於基板表面上方通過來形成含金屬膜,其中至少一種金屬錯合物係藉由直接液體注入遞送至反應腔室,與藉由鼓泡器抽取之蒸氣相反。另外或替代地,液體注入ALD用於藉由蒸發及/或使結構對應於式I之化合物於基板表面上方通過來遞送結構對應於式I之化合物,其中該結構對應於式I之化合物係藉由直接液體注入遞送至反應腔室,與藉由鼓泡器抽取之蒸氣相反。關於液體注入ALD製程,參見,例如Potter R. J.等人,Chem. Vap. Deposition ,2005,11 (3),159-169。
本文所揭示之金屬錯合物之ALD生長條件之實例包括但不限於: a) 基板溫度:0至400℃ b) 蒸發器溫度(金屬前驅物溫度):0至200℃ c) 反應器壓力:0至100托 d) 氬氣或氮氣載氣流速:0至500 sccm e) 反應氣體流速:0至500 sccm f) 脈衝順序(金屬錯合物/淨化/反應氣體/淨化):將根據最佳化製程條件及腔室大小而變化 g) 循環數:將根據所需的膜厚而變化。
在另一個實施例中,光輔助ALD用於藉由蒸發及/或使至少一種本文所揭示的金屬錯合物於基板表面上方通過來形成含金屬膜。另外或替代地,光輔助ALD用於藉由蒸發及/或使結構對應於式I之化合物於基板表面上方通過來遞送結構對應於式I之化合物。關於光輔助ALD製程,參見,例如美國專利第4,581,249號。
在另一個實施例中,電漿輔助或電漿增強ALD用於藉由蒸發及/或使至少一種本文所揭示的金屬錯合物於基板表面上方通過來形成含金屬膜。另外或替代地,電漿輔助或電漿增強ALD用於藉由蒸發及/或使結構對應於式I之化合物於基板表面上方通過來遞送結構對應於式I之化合物。
在另一個實施例中,一種在基板表面上形成含金屬膜之方法包括:在ALD製程期間,將基板暴露於根據一或多個本文所述實施例之氣相金屬錯合物,使得於包含經金屬中心(例如鉿)結合至表面之金屬錯合物之表面上形成層;在ALD製程期間,使具有所結合的金屬錯合物之基板暴露共反應物使得所結合的金屬錯合物與共反應物之間發生交換反應,由此解離所結合的金屬錯合物且產生第一元素金屬層於基板之表面上;及依次重複ALD製程及處理。
選擇反應時間、溫度及壓力以產生金屬-表面相互作用且在基板之表面上達成層。ALD反應之反應條件將基於金屬錯合物之性質來選擇。沉積可在大氣壓下進行但更通常在減壓下進行。金屬錯合物之蒸氣壓應足夠低以在此類應用中實用。基板溫度應足夠高以保持表面處的金屬原子之間的鍵完整且防止氣態反應物熱分解。然而,基板溫度亦應足夠高以將源材料(亦即反應物)保持在氣相中且為表面反應提供足夠的活化能。適宜溫度取決於各種參數,包括所使用的特定金屬錯合物及壓力。可使用此項技術中已知的方法來評估用於本文所揭示的ALD沉積方法中之特定金屬錯合物之性質,從而允許選擇反應之適宜反應溫度及壓力。一般而言,較低分子量及增加配位體球之旋轉熵之官能基之存在會導致熔點,該熔點在典型遞送溫度且增加之蒸氣壓下產生液體。
用於沉積方法中之金屬錯合物將具有在選定基板溫度下之足夠蒸氣壓、足夠熱穩定性及足夠反應性以在基板之表面上產生反應而薄膜中無非所欲雜質之所有要求。足夠蒸氣壓可確保源化合物之分子以足夠濃度存在於基板表面處以實現完全自飽和反應。足夠熱穩定性確保源化合物不會經受熱分解,該熱分解會在薄膜中產生雜質。
因此,用於此等方法中之本文所揭示之金屬錯合物可為液體、固體或氣體。通常,金屬錯合物在環境溫度下為液體或固體,其中蒸氣壓足以允許蒸氣一致地傳送至處理腔室。
在某些實施例中,可將含金屬錯合物及/或結構對應於式I之化合物溶解於適宜溶劑(諸如烴或胺溶劑)中以促進氣相沉積製程。適宜烴溶劑包括但不限於脂族烴,諸如己烷、庚烷及壬烷;芳族烴,諸如甲苯及二甲苯;及脂族及環狀醚,諸如二甘醇二甲醚、三甘醇二甲醚及四甘醇二甲醚。適宜胺溶劑之實例包括但不限於辛胺及N,N -二甲基十二烷基胺。例如,可將含金屬錯合物溶解於甲苯中以產生濃度為約0.05M至約1M之溶液。
在另一個實施例中,至少一種金屬錯合物及/或結構對應於式I之化合物可「淨」(未經載氣稀釋)遞送至基板表面。
在另一個實施例中,可藉由本文所述的方法來形成混合金屬膜,該方法以與第二金屬錯合物(及/或與第三金屬錯合物及/或與第四金屬錯合物等)組合但不必同時地蒸發至少一種如本文所揭示的第一金屬錯合物,該第二金屬錯合物包含除本文所揭示的第一金屬錯合物之金屬外的金屬。例如,第一金屬錯合物可包含Hf及第二含金屬錯合物可包含Zr以形成混合金屬Hf-Zr膜。在一些實施例中,混合金屬膜可為混合金屬氧化物、混合金屬氮化物或混合金屬氧氮化物。
在一個實施例中,元素金屬、金屬氮化物、金屬氧化物或金屬矽化物膜可藉由獨立地或以與共反應物組合遞送至少一種如本文所揭示的金屬錯合物用於沉積來形成。就此而言,共反應物可獨立地或以與至少一種金屬錯合物組合地沉積或遞送至基板表面或於基板表面上方通過。如可輕易理解,所使用的特定共反應物將決定所獲得的含金屬膜之類型。此類共反應物之實例包括但不限於氫氣、氫氣電漿、氧氣、空氣、水、醇、H2 O2 、N2 O、氨、肼、硼烷、矽烷、臭氧或其任何兩者或更多者之組合。適宜醇之實例包括但不限於甲醇、乙醇、丙醇、異丙醇、第三丁醇及類似者。適宜硼烷之實例包括但不限於氫化(hydridic) (亦即還原)硼烷,諸如硼烷、二硼烷、三硼烷及類似者。適宜矽烷之實例包括但不限於氫化矽烷,諸如矽烷、二矽烷、三矽烷及類似者。適宜肼之實例包括但不限於肼(N2 H4 )、視需要經一或多個烷基取代之肼(亦即經烷基取代之肼),諸如甲基肼、第三丁基肼、N,N -或N,N' -二甲基肼、視需要經一或多個芳基取代之肼(亦即經芳基取代之肼),諸如苯基肼及類似者。
在一個實施例中,本文所揭示的金屬錯合物係以與含氧共反應物之脈衝交替之脈衝遞送至基板表面以提供金屬氧化物膜。此類含氧共反應物之實例包括但不限於H2 O、H2 O2 、O2 、臭氧、空氣、i -PrOH、t -BuOH或N2 O。
在其他實施例中,共反應物包含還原劑,諸如氫氣。在此種實施例中,獲得元素金屬膜。在特定實施例中,元素金屬膜由純金屬組成或基本上由純金屬組成。此種純金屬膜可包含大於約80%、85%、90%、95%或98%金屬。在甚至更特定實施例中,元素金屬膜為鉿膜。
在其他實施例中,共反應物用於藉由獨立地或以與共反應物(諸如但不限於氨、肼及/或其他含氮化合物(例如胺))組合遞送至少一種如本文所揭示的金屬錯合物至反應腔室用於沉積來形成金屬氮化物膜。可使用複數種此共反應物。在進一步實施例中,金屬氮化物膜為氮化鉿膜。
在一個特定實施例中,本技術之方法用於在基板諸如矽晶片上之應用,諸如用於記憶體及邏輯應用之動態隨機存取記憶體(DRAM)及互補金屬氧化物半導體(CMOS)。
本文所揭示的任何金屬錯合物均可用於製備元素金屬、金屬氧化物、金屬氮化物及/或金屬矽化物之薄膜。此種膜可於氧化觸媒、陽極材料(例如SOFC或LIB陽極);導電層、感測器、擴散障壁/塗層、超導及非超導材料/塗層、摩擦塗層及/或保護性塗層。熟習此項技術者應明瞭,膜性質(例如電導率)將取決於多種因素,諸如用於沉積之金屬、存在或不存在共反應物及/或共錯合物、所產生的膜之厚度、生長及後續加工期間所使用的參數及基板。
本說明書全篇中提及「一個實施例」、「某些實施例」、「一或多個實施例」或「一實施例」時意指結合該實施例描述之特定特徵、結構、材料或特性包括在本技術之至少一個實施例中。因此,在本說明書全篇中的各個地方出現片語諸如「在一或多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」時不一定係指本技術之相同實施例。此外,在一或多個實施例中,特定特徵、結構、材料或特性可以任何適宜方式組合。
儘管本文已參考特定實施例描述本技術,但應明瞭,此等實施例僅例示本技術之原理及應用。熟習此項技術者當明瞭,可在不脫離本技術之精神及範疇下對本技術之方法及設備做出各種修改及變化。因此,本技術意欲包括在隨附申請專利範圍及其等效物之範疇內的修改及變化。藉由參考以下實例,將更容易地理解如此一般描述的本技術,以下實例係以例示方式提供且不意在限制性。 實例實例 1 :藉由液相沉積形成阻擋層及阻擋層抑制含鉿膜
將式(I)化合物與甲苯混合以形成溶液1至10,如下表2中示。 2
溶液 (10 mM) 組分
1 正-辛基三氯矽烷 + 甲苯
2 十二烷基三氯矽烷 + 甲苯
3 11-氰基十一烷基三氯矽烷 + 甲苯
4 11-乙醯氧基十一烷基三氯矽烷 + 甲苯
5 (十三氟-1,1,2,2-四氫辛基)三氯矽烷 + 甲苯
6 10-十一烯基三氯矽烷 + 甲苯
7 11-溴十一烷基三氯矽烷 + 甲苯
8 11-(2-甲氧基乙氧基)十一烷基三氯矽烷 + 甲苯
9 11-苯氧基十一烷基三氯矽烷 + 甲苯
10 1,2-雙(三氯矽基)癸烷 + 甲苯
藉由液相遞送方法,亦即浸漬方法,該方法涉及在手套箱內部將Si試片分別浸漬於溶液1至10中24小時以形成經塗佈之試片1至10,來製備阻擋層。24小時後,在手套箱內部將經塗佈之試片1至10以甲苯沖洗且在化學通風櫥內部以丙酮及二氯甲烷沖洗。使用N2 乾燥經塗佈之試片1至10且利用橢圓偏振測量法(ellipsometry)及水接觸角測量值表徵。
然後測試形成於經塗佈之試片1至10上之阻擋層抑制含Hf膜之生長之能力。將經塗佈之試片1至10中之各者加載於ALD腔室中且在分開試驗中測試(MeCp)2 Hf(OMe)(Me)及H2 O之50、100、200及300個循環。關於對照,Si試片僅暴露於(MeCp)2 Hf(OMe)(Me)。HfO ALD製程條件如下:2秒脈衝(MeCp)2 Hf(OMe)(Me),10秒脈衝N2 ,2秒脈衝H2 O,及10秒脈衝N2 ,在350℃下。對於200個循環,含11-氰基十一烷基三氯矽烷之溶液3顯示最高阻擋。經溶液3處理之經塗佈之試片3在暴露於200個循環後顯示最低HfO2 厚度。實例 2 :藉由氣相沉積形成阻擋層及阻擋層抑制含鉿膜
化合物1 (正辛基三氯矽烷)、化合物2 (十二烷基三氯矽烷)及化合物3 (11-氰基十一烷基三氯矽烷)經由氣相抽取分別遞送至矽基板以形成經塗佈之基板1至3。化合物1之安瓿溫度為120℃,化合物2為160℃,及化合物3為185℃。
然後測試形成於經塗佈之基板1至3上之阻擋層抑制含Hf膜之生長之能力。將經塗佈之基板1至3中之各者加載於ALD腔室中且在分開試驗中測試(MeCp)2 Hf(OMe)(Me)及H2 O之50、100、200、300及400個循環。關於對照,Si試片僅暴露於(MeCp)2 Hf(OMe)(Me)。HfO ALD製程條件如下:2秒脈衝(MeCp)2 Hf(OMe)(Me),10秒脈衝N2 ,2秒脈衝H2 O,及10秒脈衝N2 ,在350℃下。
本說明書中提及的所有公開案、專利申請案、已頒布之專利及其他文件均以引用之方式併入本文中,就如同各個別公開案、專利申請案、已頒布之專利或其他文件均被明確且單獨地指出以全文引用之方式併入。在其與本發明中之定義相衝突之程度上排除包含在以引用之方式併入的文本中之定義。
詞語「包含(comprise/comprises/comprising)」應解釋為包含但非排他地。
15:第一基板表面 17:第二基板表面 19:基板 20:阻擋層 23:含金屬膜 25:第一基板 30:第二基板
圖1A說明根據本發明之某些態樣之阻擋層及含金屬膜之詳細內容。
圖1B說明根據本發明之某些替代態樣之阻擋層及含金屬膜之詳細內容。
Figure 110103652-A0101-11-0002-1
15:第一基板表面
17:第二基板表面
19:基板
20:阻擋層
23:含金屬膜

Claims (21)

  1. 一種形成含金屬膜之方法,該方法包括: 藉由第一氣相沉積製程或第一液相沉積製程在第一基板表面上形成阻擋層, 其中該第一氣相沉積製程包括蒸發結構對應於式(I)之化合物:
    Figure 03_image002
    (I) 其中 X1 為R1 或R2 R3 ;其中R1 為視需要經一或多個三氯矽基取代之C1 –C20 -烷基;R2 為視需要經一或多個鹵素取代之C1 –C20 -伸烷基;及R3 係選自由腈基、乙烯基、鹵素、三氟甲基、乙醯氧基、甲氧基乙氧基及苯氧基組成之群;及 其中該第一液相沉積製程包括使該第一基板表面與包含該結構對應於式(I)之化合物之溶液接觸;及 藉由第二沉積製程在第二基板表面上形成該含金屬膜,該第二沉積製程包括蒸發至少一種金屬錯合物; 其中該第一基板表面包含介電材料或金屬氧化物材料及該第二基板表面包含金屬材料。
  2. 一種形成含金屬膜之方法,該方法包括: 藉由第一氣相沉積製程或第一液相沉積製程在基板之第一部分上形成阻擋層, 其中該第一氣相沉積製程包括蒸發結構對應於式(I)之化合物:
    Figure 03_image002
    (I) 其中 X1 為R1 或R2 R3 ;其中R1 為視需要經一或多個三氯矽基取代之C1 –C20 -烷基;R2 為視需要經一或多個鹵素取代之C1 –C20 -伸烷基;及R3 係選自由腈基、乙烯基、鹵素、三氟甲基、乙醯氧基、甲氧基乙氧基及苯氧基;及 其中該第一液相沉積製程包括使該基板之該第一部分與該包含結構對應於式(I)之化合物之溶液接觸;及 藉由第二沉積製程在該基板之第二部分上形成含金屬膜,該第二沉積製程包括蒸發至少一種金屬錯合物; 其中該基板之該第一部分包含介電材料或金屬氧化物材料及該基板之該第二部分包含金屬材料。
  3. 如請求項1或請求項2之方法,其中R1 為視需要經一或多個三氯矽基取代之C1 –C15 -烷基。
  4. 如請求項1或請求項2之方法,其中R1 為C1 –C12 -烷基,各視需要經一或多個三氯矽基取代。
  5. 如請求項1或請求項2之方法,其中R2 為C1 –C15 -伸烷基。
  6. 如請求項1或請求項2之方法,其中R2 為C1 –C12 -伸烷基。
  7. 如請求項1或請求項2之方法,其中該結構對應於式(I)之化合物係選自由以下組成之群: 正辛基三氯矽烷; 十二烷基三氯矽烷; 11-氰基十一烷基三氯矽烷; 11-乙醯氧基十一烷基三氯矽烷; (十三氟-1,1,2,2-四氫辛基)三氯矽烷; 10-十一烯基三氯矽烷; 11-溴十一烷基三氯矽烷; 11-(2-甲氧基乙氧基)十一烷基三氯矽烷; 11-苯氧基十一烷基三氯矽烷;及 1,2-雙(三氯矽基)癸烷。
  8. 如請求項1或請求項2之方法,其中該金屬錯合物之結構對應於式II:
    Figure 03_image039
    (II) 其中M為Hf;及 L1 、L2 、L3 及L4 各獨立地選自由C1 –C8 -烷基、C1 –C8 -烷氧基及視需要經至少一個C1 –C8 -烷基取代之Cp基團組成之群。
  9. 如請求項1或請求項2之方法,其中該金屬錯合物為(MeCp)2 Hf(OMe)(Me)。
  10. 如請求項1或請求項2之方法,其中該含金屬膜之該金屬係以小於約15原子%之量存在於該阻擋層上。
  11. 如請求項1之方法,其中該第一基板表面及該第二基板表面係存在於相同基板上或不同基板上。
  12. 如請求項1或請求項2之方法,其中該金屬材料包括W、Co、Cu或其組合。
  13. 如請求項1或請求項2之方法,其中該介電材料包括SiO2 、SiN或其組合,或該金屬氧化物材料包括HfO2 、ZrO2 、SiO2 、Al2 O3 或其組合。
  14. 如請求項1或請求項2之方法,其中該第一氣相沉積製程及該第二沉積製程獨立地為化學氣相沉積或原子層沉積。
  15. 如請求項14之方法,其中該化學氣相沉積為脈衝化學氣相沉積、連續流化學氣相沉積或液體注入化學氣相沉積。
  16. 如請求項14之方法,其中該原子層沉積為液體注入原子層沉積或電漿增強原子層沉積。
  17. 如請求項1或請求項2之方法,其中該第一液相沉積製程包括將該第一基板表面或該基板表面之該第一部分浸於包含該式(I)化合物之溶液中一或多次。
  18. 如請求項1或請求項2之方法,其中該金屬錯合物係以與氧源之脈衝交替之脈衝遞送至基板。
  19. 如請求項18之方法,其中該氧源係選自由H2 O、H2 O2 、O2 、臭氧、空氣、i -PrOH t -BuOH及N2 O組成之群。
  20. 如請求項1或請求項2之方法,該方法進一步包括蒸發至少一種共反應物,該共反應物選自由氫氣、氫氣電漿、氧氣、空氣、水、氨、肼、硼烷、矽烷、臭氧及其中任何兩者或更多者之組合組成之群。
  21. 如請求項1或請求項2之方法,其中該方法係用於DRAM或CMOS應用。
TW110103652A 2020-02-04 2021-02-01 選擇性形成含金屬膜之方法 TW202134457A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062970014P 2020-02-04 2020-02-04
US62/970,014 2020-02-04

Publications (1)

Publication Number Publication Date
TW202134457A true TW202134457A (zh) 2021-09-16

Family

ID=74591953

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110103652A TW202134457A (zh) 2020-02-04 2021-02-01 選擇性形成含金屬膜之方法

Country Status (7)

Country Link
US (1) US20230108732A1 (zh)
EP (1) EP4100557A1 (zh)
JP (1) JP2023513500A (zh)
KR (1) KR20220137707A (zh)
CN (1) CN115003853A (zh)
TW (1) TW202134457A (zh)
WO (1) WO2021156177A1 (zh)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07107190B2 (ja) 1984-03-30 1995-11-15 キヤノン株式会社 光化学気相成長方法
US6809026B2 (en) * 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
KR20060007325A (ko) * 2004-07-19 2006-01-24 삼성전자주식회사 플라즈마 유도 원자층 증착 기술을 이용한 유전막 형성 방법
US20080274615A1 (en) * 2007-05-02 2008-11-06 Vaartstra Brian A Atomic Layer Deposition Methods, Methods of Forming Dielectric Materials, Methods of Forming Capacitors, And Methods of Forming DRAM Unit Cells
TWI425110B (zh) * 2007-07-24 2014-02-01 Sigma Aldrich Co 以化學相沉積法製造含金屬薄膜之方法
CN101959897A (zh) * 2008-02-27 2011-01-26 乔治洛德方法研究和开发液化空气有限公司 使用原子层沉积(ald)法在基底上形成含钛层的方法
US8076243B2 (en) * 2009-01-26 2011-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Metal precursors for deposition of metal-containing films
JP2011029256A (ja) * 2009-07-22 2011-02-10 Tokyo Electron Ltd 成膜方法
US8293658B2 (en) * 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US10068764B2 (en) * 2016-09-13 2018-09-04 Tokyo Electron Limited Selective metal oxide deposition using a self-assembled monolayer surface pretreatment
KR20240024372A (ko) * 2016-11-08 2024-02-23 메르크 파텐트 게엠베하 사이클로펜타디에닐 리간드를 포함하는 금속 착화합물
US20180308685A1 (en) * 2017-04-21 2018-10-25 Applied Materials, Inc. Low temperature selective epitaxial silicon deposition
TWI729285B (zh) * 2017-06-14 2021-06-01 荷蘭商Asm Ip控股公司 金屬薄膜的選擇性沈積
CN111602228B (zh) * 2017-12-17 2023-12-12 应用材料公司 通过选择性沉积的硅化物膜

Also Published As

Publication number Publication date
WO2021156177A1 (en) 2021-08-12
US20230108732A1 (en) 2023-04-06
JP2023513500A (ja) 2023-03-31
KR20220137707A (ko) 2022-10-12
CN115003853A (zh) 2022-09-02
EP4100557A1 (en) 2022-12-14

Similar Documents

Publication Publication Date Title
KR102219147B1 (ko) 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
KR102470237B1 (ko) 사이클로펜타디에닐 리간드를 포함하는 금속 착화합물
TW202030352A (zh) 使用無氧共反應物之釕的氣相沉積方法
TWI727091B (zh) 含有烯丙基配位體之金屬錯合物
TW202134457A (zh) 選擇性形成含金屬膜之方法
TWI794671B (zh) 用於選擇性形成含金屬膜之化合物及方法
US20220220132A1 (en) Organosilane precursors for ald/cvd/sod of silicon-containing film applications
TWI846016B (zh) 含有環戊二烯配位基之金屬錯合物以及形成含金屬之膜之方法
JP2023536697A (ja) ヘテロアルキルシクロペンタジエニルインジウム含有前駆体及びインジウム含有層の堆積のためのその使用方法
WO2018086730A1 (en) Metal complexes containing cyclopentadienyl ligands
KR20160062675A (ko) 신규 니켈-비스베타케토이미네이트 전구체 및 이를 이용한 니켈 함유 필름 증착방법