TW202129844A - 形成用於半導體裝置之互連的方法 - Google Patents

形成用於半導體裝置之互連的方法 Download PDF

Info

Publication number
TW202129844A
TW202129844A TW109134738A TW109134738A TW202129844A TW 202129844 A TW202129844 A TW 202129844A TW 109134738 A TW109134738 A TW 109134738A TW 109134738 A TW109134738 A TW 109134738A TW 202129844 A TW202129844 A TW 202129844A
Authority
TW
Taiwan
Prior art keywords
layer
etch stop
etching
stop layer
following
Prior art date
Application number
TW109134738A
Other languages
English (en)
Inventor
姜浩
呂騏
任河
紀一 郎
和湧 黃
梅伍爾 奈克
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202129844A publication Critical patent/TW202129844A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

說明一種形成用於半導體裝置的互連結構之方法。方法包含蝕刻圖案化互連堆疊用於形成第一導電線且暴露第一蝕刻停止層的頂部表面;蝕刻第一蝕刻停止層以形成第二導電線且暴露屏蔽層的頂部表面;及形成自我對齊的貫孔。

Description

形成用於半導體裝置之互連的方法
本揭露案的實施例關於互連結構及互連結構製造的領域。更特定而言,本揭露案的實施例提供使用減除處理以對齊的貫孔及/或接觸建立互連結構之方法。
互連結構,例如個人電腦、工作站、電腦伺服器、主機及其他電腦相關的設備,例如印表機、掃描機及硬碟驅動,使用提供實質資料儲存能力及容量的邏輯及記憶體裝置,同時導致低的功率消耗。特徵的縮放已為不斷成長的半導體工業後面的驅動力。縮放至越來越小的特徵能夠在半導體晶片的受限的地產上增加功能單元的密度。舉例而言,縮小電晶體尺寸允許用於在晶片上併入更多數量的記憶體或邏輯裝置,導致製作具有增加容量的產品。然而,對更加多的容量的驅動並非沒有問題。對各個裝置的性能優化之必要性變得更加重要。
晶片上電子互連先前已使用「雙大馬士革」製作技術生產,其中通過裝置結構的各種層建立孔洞,且孔洞以導電材料填充,以形成層之間及定位在個別層上的裝置特徵之間的互連。雙大馬士革可允許形成與上方金屬線(Mx)自我對齊的貫孔(Vx)。然而,對於基於10nm節點及更小特徵尺寸的晶片而言,存在間隙填充及阻抗限制,而使其難以使用先前依賴的「雙大馬士革」製作技術。
因此,需要不會使用大馬士革方案同時仍允許貫孔與上方或下層金屬線對齊的處理方法。
本揭露案的一或更多實施例導向形成互連結構之方法。在一或更多實施例中,方法包含:蝕刻圖案化互連堆疊以形成第一導電線且暴露第一蝕刻停止層的頂部表面;蝕刻第一蝕刻停止層以形成第二導電線且暴露屏蔽層的頂部表面;及形成自我對齊的貫孔。
本揭露案的額外實施例導向形成互連結構之方法,方法包含:在基板上的屏蔽層上形成保形第一金屬層;在第一金屬層上形成第一蝕刻停止層;在第一蝕刻停止層上形成第二金屬層;在第二金屬層上形成第二蝕刻停止層;在第二蝕刻停止層的頂部表面上形成光刻圖案化結構;蝕刻光刻圖案化結構以形成第一導電線且暴露第一蝕刻停止層的頂部表面;蝕刻第一蝕刻停止層以形成第二導電線且暴露屏蔽層的頂部表面;在第一導電線及第二導電線的頂部表面上形成第二光刻圖案化結構;蝕刻第二光刻圖案化結構以暴露第一導電線的上部表面;蝕刻第二光刻圖案化結構以暴露第一蝕刻停止層的上部表面;移除第二光刻圖案化結構以形成自我對齊的貫孔;及蝕刻第一蝕刻停止層及屏蔽層。
本揭露案的進一步實施例導向形成互連結構之方法。在一或更多實施例中,方法包含:圖案化互連堆疊,互連堆疊包含具有屏蔽層於其上的基板,在屏蔽層上的釕層,在釕層上的氮化鈦(TiN)層,在氮化鈦(TiN)層上的第二釕層,在第二釕層上的第二蝕刻停止層,在第二蝕刻停止層上的氧化矽層,在氧化矽層上的旋塗介電層,及在旋塗介電層上的圖案化光阻;蝕刻圖案化的互連堆疊以形成第一導電線且暴露氮化鈦(TiN)層的頂部表面;蝕刻氮化鈦(TiN)層以形成第二導電線且暴露屏蔽層的頂部表面;及形成自我對齊的貫孔。
在說明本揭露案的數個範例實施例之前,應理解本揭露案並非限制於在以下說明書中提及的構造的細節或處理步驟。本揭露案包含其他實施例且能夠以各種方式實施或執行。
如本說明書及隨附請求項中所使用,「前驅物」、「反應物」、「反應氣體」及類似者的詞彙可交替使用代表可與基板表面反應的任何氣體物種。
如此處所使用的「基板」代表任何基板或形成於基板上的材料表面,於製作處理期間在其上實行膜處理。舉例而言,可在其上實行處理的基板表面取決於應用,包括諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜的氧化矽、非晶矽、摻雜的矽、鍺、砷化鎵、玻璃、藍寶石的材料,及任何其他材料,例如金屬、金屬氮化物、金屬合金,及其他導電材料。基板包括但非限於半導體晶圓。基板可暴露至預處置處理,以拋光、蝕刻、還原、氧化、羥化、退火及/或烘烤基板表面。除了直接在基板本身的表面上的膜處理之外,在本揭露案中,所揭露的任何膜處理步驟亦可在如以下更詳細揭露的形成於基板下層上實行,且「基板表面」一詞意圖包括如上下文指示的此下層。因此,舉例而言,當膜/層或部分膜/層已沉積至基板表面上時,新的沉積的膜/層的暴露的表面變成基板表面。
所揭露的一或更多實施例有利地提供基於減除方案使得貫孔能夠對齊(相對於底部線)的方法。自我對齊的減除方案的實施例允許用於形成具有或不具金屬屏蔽層/襯墊的互連結構。某些實施例有利地提供貫孔的自我對齊。
本方法提供與半導體裝置結合使用的自我對齊的互連結構,而不使用以接觸材料填充的貫孔。取而代之地,所有接觸材料佈置於保形層中,使得不需要具有導電材料的任何微導管的填充。因而,通過包括接觸材料層的層的堆疊乾式蝕刻圖案,使得接觸材料的支柱向上延伸,以提供含有接觸從下層基礎層延伸的互連而與半導體裝置上的表面配合。接觸材料的支柱通常為金屬,但可摻雜陶瓷成分或導電聚合材料,而能夠傳送電流。亦應理解產生的互連結構無須為垂直支柱的形式,但可為其他形狀,而可使用減除技術由保形層的堆疊處理。
以下說明參照金屬支柱的建立,因為此可能為自我對齊的互連結構的經常使用的形式;然而,並非意圖限制實施例為導電路徑的一種形式,而包含金屬、摻雜的陶瓷成分或可為摻雜或未摻雜的導電聚合材料,以提供互連路徑。在以下結構的說明中當參考「金屬」時,意圖理解可以保形層的形式施加的其他導電材料亦意圖包括於其中。
本揭露案的實施例建立互連結構,而包括材料的多層的沉積,其次使用減除技術,例如乾式蝕刻技術或原子層蝕刻(ALE)。在一或更多實施例中,形成的導電互連結構藉由必須的介電材料環繞。
根據一或更多實施例,已使用於閘極結構的蝕刻且可用於互連結構的乾式蝕刻的乾式蝕刻處理之範例,其中可能需要某些精細調節以達成蝕刻精確度至5nm節點,包括加州聖克拉拉市應用材料公司的SiCoNi乾式蝕刻,而特別實用於蝕刻含矽或氮材料,例如氧化矽或氮化矽或氮氧化矽,舉例而言且並非限制之方式,或加州聖克拉拉市應用材料公司的SYM3®蝕刻腔室,而特別實用於蝕刻導體材料,包括但非限於氮化鈦(TiN)、氮化鉭(TaN)、釕(Ru)、鉬(MO)及類似者。
藉由使用包括線金屬的變化層的堆疊;具有相對高導電成分的蝕刻停止材料層(為金屬或摻雜的陶瓷或聚合材料的形式);及作成光刻堆疊的圖案化傳送層(通常包括硬遮罩材料);及藉由施加減除技術以在堆疊之中形成所欲結構,有可能例如以支柱的形式形成互連導管,而無須以流體導電材料填充細小毛細管。以上所述的形成互連結構之方法使其能夠進步至10nm節點及以下的裝置。
一或更多實施例有利地提供形成互連結構之方法,其中使用至少一個減除處理以形成互連結構,使得通常為支柱的形式的電子接觸相對於下層線接觸自我對齊。在一或更多實施例中,接觸支柱為實心的,且不存在空洞。
一或更多實施例有利地提供整合的蝕刻處理。在一或更多實施例中,使用蝕刻至蝕刻頂部金屬層的高蝕刻率金屬,以最小化硬遮罩(HM)濺射。在一或更多實施例中,蝕刻停止層(ESL)藉由脈衝乾式蝕刻開啟,以允許殘留物/副產物流出。在一或更多實施例中,至底部(或第一)金屬層的鈍化金屬蝕刻處理在兩個金屬層中達成直的輪廓。在一或更多實施例中,鈍化金屬蝕刻處理藉由濺射大量的硬遮罩材料至金屬側壁作為鈍化層而達成。
第1圖顯示待使用以形成互連結構的層的範例開始「堆疊」101的等距三維視圖100。第1A圖圖示第1圖中圖示的裝置的剖面視圖100A。第1B圖為第1圖中圖示的互連結構的頂部視圖100B。技藝人士將認知範例「堆疊」101僅為一個可能的配置,且不應視為限制本揭露案的範疇。
如此處所述基板可為任何適合的材料。僅為了說明之目的,基板102將討論為矽基板。在一或更多實施例中,基板102代表與下層半導體結構連接的結構,以將互連結構與下層半導體裝置連接。舉例而言,若連接至FEOL(線的前端)裝置,則基板102可為鎢插頭,例如電晶體、電容器或電阻器;或若互連為必須的,則基板102可為銅或其他導電插頭材料。
圖示的實施例包括可選的屏蔽層106。在一或更多實施例中,屏蔽層106包含襯墊。在一或更多實施例中,屏蔽層106亦可從堆疊省略。舉例而言,若第一金屬層108具有對基板102良好的黏著,則可選的屏蔽層106可為多餘的。可選的屏蔽層106可為可增加第一金屬層108對基板102的黏著的任何適合的材料。在一或更多實施例中,屏蔽層106包含以下一或更多者:鉭(Ta)、鈦(Ti)、氮化鉭(TaN)、氮化鈦(TiN)或鉭/氮化鉭(Ta / TaN)。可選的屏蔽層106可藉由技藝人士已知的任何適合的技術沉積,包括但非限於原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、揮發或電鍍。
在一或更多實施例中,第一金屬(導電)層108在可選的屏蔽層106上;或若省略可選的屏蔽層106則在基板102上。在一或更多實施例中,屏蔽層106包含襯墊。第一金屬層108可為任何適合的層,藉由技藝人士已知的任何適合的技術沉積。在一或更多實施例中,第一金屬層108使用選自以下之技術沉積:CVD、PVD、ALD、從金屬的揮發的源沉積、或金屬電鍍。在一或更多實施例中,第一金屬層108為選自金屬的材料的保形層,例如,舉例而言且非限制,鎢(W)、鈷(Co)、釕(Ru)、鉬(Mo)、鋁(Al)、銅(Cu)、矽化物、石墨烯或其結合。在一或更多實施例中,第一金屬層包含釕(Ru)。
在一或更多實施例中,亦為導體層的第一蝕刻停止層110覆蓋第一金屬層108。在某些實施例中,缺少第一蝕刻停止層110。第一蝕刻停止層110可為任何適合的材料,包括但非限於鉭(Ta)、氮化鉭(TaN)、鈦(Ti)、氮化鈦(TiN)、W、Co、Ru、鈮(Nb)、氮化鈮(NbN)及其結合的保形層,而使用由以下選擇的技術沉積:CVD、PVD、ALD、由金屬的揮發的源沉積、金屬電鍍或可為Ti的氧化物,而以諸如矽化物的摻雜物摻雜。
第二金屬層112形成於第一蝕刻停止層110上。第二金屬層112可(但無須)與以上所述的第一金屬層108相同。在一或更多實施例中,第二金屬層112包含支柱形成的金屬。在某些實施例中,舉例而言且非限制,支柱形成的金屬選自以下一或更多者:鎢(W)、鈷(Co)、釕(Ru)、鉬(Mo)、鋁(Al)、銅(Cu)、矽化物、石墨烯或其結合。在一或更多實施例中,第二金屬層112包含釕(Ru)。
在一或更多實施例中,第一蝕刻停止層110用於第二金屬層112的蝕刻停止。在一或更多實施例中,第二金屬層112包含釕(Ru),且第一蝕刻停止層110包含以下一或更多者:鈦、鉭、氮化鈦(TiN)、氮化鉭(TaN)、鎢(W)、鉬(Mo)或類似者。在一或更多實施例中,當第一金屬層108及第二金屬層112為不同材料且彼此具有高的蝕刻選擇性時,省略第一蝕刻停止層110。
在一或更多實施例中,第二蝕刻停止層114覆蓋第二金屬層112。在一或更多實施例中,第二蝕刻停止層114成分可(但非必須)與第一蝕刻停止層110相同。在一或更多實施例中,第一蝕刻停止層110及第二蝕刻停止層114具有相同的材料而簡化處理。在一或更多實施例中,第二蝕刻停止層114可為任何適合的材料,包括但非限於鉭(Ta)、氮化鉭(TaN)、鈦(Ti)、氮化鈦(TiN)、W、Co、Ru、鈮(Nb)、氮化鈮(NbN)及其結合的保形層,而使用由以下選擇的技術沉積:CVD、PVD、ALD、由金屬的揮發的源沉積、金屬電鍍或可為鈦(Ti)的氧化物,而以諸如矽化物的摻雜物摻雜。
在一或更多實施例中,第二蝕刻停止層114用於硬遮罩層116的蝕刻停止。在一或更多實施例中,硬遮罩層116包含氧化矽,且第二蝕刻停止層114包含以下一或更多者:鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)、氮化矽(SiN)、碳氮化矽(SiCN)、氧氮化矽(SiON)或類似者。在一或更多實施例中,省略、不存在第二蝕刻停止層114。在某些實施例中,當用於硬遮罩層116的蝕刻化學物不會影響第二金屬層112時,舉例而言,當硬遮罩層116包含氧化矽(SiO)且第二金屬層112包含釕時,不存在第二蝕刻停止層114。
在一或更多實施例中,硬遮罩層116覆蓋第二蝕刻停止層114。在一或更多實施例中,硬遮罩層與覆蓋的「光刻堆疊」結合,以傳送裝置圖案通過以上所述的下層114、112、110及108。在一或更多實施例中,硬遮罩層116為單一層。在其他實施例中,硬遮罩層116為層的結合。硬遮罩層116並未在此處說明,但在一或更多實施例中,使用本領域中已知的材料及圖案化製作,而能夠以10nm節點(16nm HPCD)或更低的圖案提供。在某些實施例中,硬遮罩層116包含金屬或介電遮罩材料。適合的介電材料包括但非限於氧化矽(SiO)、氮化矽(SiN)、碳化矽(SiC)、氧化鋁(AlOx)、氮化鋁(AlN)及其結合。技藝人士將認知使用類似SiO的化學式代表氧化矽並非暗示元素之間的任何特定化學計量關係。化學式僅識別膜的主要元素。
在一或更多實施例中,底部抗反射塗佈(BARC)118及光阻120形成於其上。圖示的光阻120具有溝道122而圖案化;然而,圖案可為任何適合的形狀或形狀的結合。
在一或更多實施例中,硬遮罩層116、底部抗反射塗佈(BARC)118及光阻120之結合此處稱為第一「光刻圖案化結構」。
第2圖圖示從第1圖中圖示的初始堆疊101形成的部分圖案化結構的等距視圖200。第2A圖圖示第2圖中圖示的結構的剖面視圖200A。第2B圖為第2圖中圖示的結構的頂部視圖200B。在一或更多實施例中,第二金屬層112以第一方向蝕刻至第一蝕刻停止層110的頂部表面,以在第一蝕刻停止層110上方建立向上延伸的第一導電線202。在一或更多實施例中,形成第一導電線202包含將圖案化的互連堆疊101暴露至蝕刻氣體,且以高蝕刻率蝕刻圖案化的互連堆疊。在一或更多實施例中,第二金屬層112以約0.5nm/s至約5nm/s之範圍中的高速率,包括約1nm/s、約1.5nm/s、約2nm/s、約2.5nm/s、約3 nm/s、約3.5nm/s、約4nm/s、約4.5nm/s或約5nm/s,達在約10秒至約100秒之範圍中的時間段,包括約10秒至約80秒、約20秒至約90秒或約10秒至約60秒來蝕刻。不受理論束縛,考量高蝕刻率達短的時間段的結合最小化硬遮罩層116的濺射。在一或更多實施例中,第二金屬層112包含釕(Ru),且在加州聖克拉拉市的應用材料公司的SYM3®蝕刻腔室中、SCLA等等中蝕刻。在一或更多實施例中,源功率在約500瓦(W)至約1800W之範圍中,偏壓功率在約50W至約300W之範圍中,偏壓功率脈衝佔空比在約15%至約90%的範圍中,壓力在約5mTorr至約50mTorr之範圍中,靜電夾盤溫度在約30 ̊C至約90 ̊C之範圍中,氧的氣體流動在約100sccm至約700sccm之範圍中,且氯的氣體流動在約20sccm至100sccm之範圍中。
在一或更多實施例中,由第二金屬層112形成的覆蓋的導電線202為第二蝕刻停止層114的線204,及硬遮罩層116的線206。在一或更多實施例中,導電線202由金屬形成,且此金屬為提供藉由半導體結構的節點尺寸主宰的有效阻抗的一者。在一或更多實施例中,溝道208將第二金屬層112的行分開,而將進一步處理以成為導電互連接觸。
第3圖圖示在移除圖案化堆疊之後第2圖中所顯示的部分圖案化結構的等距三維視圖300。第3A圖圖示第3圖中圖示的結構的剖面視圖300A。第3B圖為第3圖中圖示的結構的頂部視圖300B。在一或更多實施例中,第一蝕刻停止層110蝕刻至第一金屬層108的頂部表面,且第一金屬層108接著從基板102上可選的屏蔽層106上方的第二導電線212蝕刻。在一或更多實施例中,屏蔽層106包含襯墊。在一或更多實施例中,第一蝕刻停止層110為氮化鈦(TiN),且在加州聖克拉拉市的應用材料公司的SYM3®蝕刻腔室中蝕刻。在一或更多實施例中,源功率在約300W至約1000W的範圍中,偏壓功率在約50W至約300W的範圍中,壓力在約4至約15mTorr的範圍中,靜電夾盤溫度在約30 ̊C至約70 ̊C的範圍中,氯的氣體流動在約30sccm至約250sccm之範圍中,甲烷的氣體流動在約10sccm至約100sccm之範圍中,且氮的氣體流動在約30至約500sccm之範圍中。在一或更多實施例中,氮化鈦(TiN)蝕刻處理藉由以約1000 Hz至約10000 Hz之範圍的頻率及約15%至約90%之範圍的佔空比的偏壓及源功率的脈衝來蝕刻。
第4圖圖示根據一或更多實施例之結構的剖面視圖。參照第4圖,在一或更多實施例中,於第一金屬層108的蝕刻處理中鈍化第一導電線202的第二金屬層112。以鈍化蝕刻藉由避免反應物種(例如,基團或能量化離子)與第一導電線202反應而減少第一導電線202側壁的侵蝕/縮頸。在一或更多實施例中,第一導電線202的側壁203藉由利用硬遮罩濺射效應以濺射硬遮罩材料214至第一導電線202的側壁203而鈍化。在一或更多實施例中,濺射的硬遮罩材料214為氧化物,例如氧化矽,且第一金屬層108為釕(Ru)。在一或更多實施例中,硬遮罩濺射效應藉由將稀釋氣體(例如,Ar、H2 、He、N2 )與蝕刻氣體混合來達成。在一或更多實施例中,硬遮罩濺射效應藉由將稀釋氣體(例如,Ar、H2 、He、N2 )與用於釕(Ru)蝕刻的蝕刻氣體混合來達成。在一或更多實施例中,釕(Ru)蝕刻在加州聖克拉拉市的應用材料公司的SYM3®蝕刻腔室中實行。在一或更多實施例中,源功率在約300W至約1800W之範圍中,偏壓功率在約50W至約300W之範圍中,偏壓功率脈衝佔空比在約15%至約90%之範圍中,壓力在約4mTorr至約30mTorr之範圍中,靜電夾盤溫度在約30 ̊C至約90 ̊C之範圍中,氧的氣體流動在約100至約700sccm之範圍中,且氯的氣體流動在約20至約100sccm之範圍中。在一或更多實施例中,稀釋氣體為氮(N2 ),且以10至100sccm的流動提供。
第5圖圖示根據一或更多實施例的結構的剖面視圖。參照第5圖,在一或更多實施例中,於第一導電線202的側壁203上的濺射的硬遮罩214接著藉由濕式或乾式蝕刻處理移除。在某些實施例中,濺射的硬遮罩214包含金屬或介電遮罩材料。適合的介電材料包括但非限於氧化矽(SiO)、氮化矽(SiN)、碳化矽(SiC)、氧化鋁(AlOx)、氮化鋁(AlN)及其結合。在一或更多實施例中,濺射的硬遮罩214包含氧化矽,且藉由稀釋氫氟酸(DHF)清潔移除。在一或更多實施例中,DHF清潔在約100:1至約2000:1之稀釋範圍中實行達約10秒至約10分鐘之範圍的時間。在一或更多實施例中,濺射濺射的硬遮罩214包含添加稀釋氣體至蝕刻氣體。在一或更多具體實施例中,濺射的硬遮罩214包含氧化矽,第二導電線212包含釕(Ru),且稀釋氣體包含氮(N2 )。
在一或更多實施例中,由第一金屬層108形成的第二導電線212為第一蝕刻停止層110的線210、第一導電線202、第二蝕刻停止層114的線204、硬遮罩層116的線206。在一或更多實施例中,第二導電線212由金屬形成,且此金屬為提供藉由半導體結構的節點尺寸主宰的有效阻抗的一者。在一或更多實施例中,溝道208將向上延伸的導電線202、212的行分開,而將進一步處理以成為導電互連接觸。
第6圖圖示在第3圖中顯示的部分圖案化結構的等距三維視圖400,以第二BARC或旋塗介電材料124填充先前蝕刻的溝道208之後,而用以支撐後續施加的光阻126。在一或更多實施例中,BARC(底部抗反射塗佈)或旋塗介電材料124由以下至少一者形成:聚合物成分、交聯成分及酸產生劑。在一或更多實施例中,BARC或旋塗介電材料124於施加至基板表面之後固化,使得前驅物材料能夠穿透至具有最小關鍵尺寸的空間中。第6A圖圖示第6圖中顯示的結構的剖面視圖600A。第6B圖圖示第6圖中圖示的結構的頂部視圖600B,包括BARC或旋塗介電124填充的溝道將待進一步處理以成為導電互連的材料的行分開。
在一或更多實施例中,底部抗反射塗佈(BARC)或旋塗介電材料124及光阻126的結合在此處稱為第二「光刻圖案化結構」。
第7圖圖示第6圖中顯示的部分圖案化結構的等距三維視圖700,在以角度蝕刻一連串空間(溝道)130至先前蝕刻的溝道128(未顯示,因其以BARC或旋塗介電124填充)之後。在一或更多實施例中,BARC或旋塗介電層124及硬遮罩層116已向下蝕刻至第一導電線202的上部表面。第7A圖圖示第7圖中顯示的結構的剖面視圖700A。第7B圖圖示第7圖中顯示的結構的頂部視圖700B。
第8圖圖示第7圖中顯示的部分圖案化結構的等距三維視圖800,在以角度蝕刻一連串空間(溝道)130至先前蝕刻的溝道128(未顯示,因其以BARC或旋塗介電124填充)之後。在一或更多實施例中,BARC或旋塗介電層124及導電線202已蝕刻至第一蝕刻停止層210的線的上部表面。第8A圖圖示第8圖中顯示的結構的剖面視圖800A。第8B圖圖示第8圖中顯示的結構的頂部視圖800B。
第9圖圖示第8圖中顯示的部分圖案化結構的等距三維視圖900,接續著通常藉由使用蝕刻劑電漿的乾式蝕刻處理而不會影響層206、202、210、212、106及102,移除BARC或旋塗介電層124。在一或更多實施例中,電漿蝕刻處理利用H2 /N2 或H2 /O2 的混合物,且具有或不具任何稀釋氣體(例如,Ar、He)。第9A圖圖示顯示第9圖中顯示的結構的剖面視圖900A。第9B圖顯示第9圖中顯示的結構的頂部視圖900B。
第10圖圖示第9圖中顯示的部分圖案化結構的等距三維視圖100,接續著通常藉由使用蝕刻劑電漿的乾式蝕刻處理而不會影響層202、210、212、106及102,移除第一蝕刻停止層210及屏蔽層106。在一或更多實施例中,電漿蝕刻處理利用H2 /N2 或H2 /O2 的混合物,且具有或不具任何稀釋氣體(例如,Ar、He)。不受理論束縛,考量因為第一蝕刻停止層210及屏蔽層106為導電層,其必須與第一金屬層108相同的圖案蝕刻,以避免任何線短路。在一或更多實施例中,屏蔽層106包含必須蝕刻的襯墊。第10A圖圖示顯示在第10圖中顯示的結構的剖面視圖1000A。第10B圖顯示第10圖中顯示的結構的頂部視圖1000B。
第11圖根據本揭露案的一或更多實施例,圖示方法1100的處理流程圖。在操作1110處,形成互連堆疊。在操作1120處,形成第一導電線(例如,藉由減除蝕刻處理)。在操作1130處,形成第二導電線(例如,藉由減除蝕刻處理)。在操作1140處,沉積介電材料(例如,BARC或旋塗介電)。在操作1150處,蝕刻互連裝置以形成自我對齊的貫孔。在操作1160處,移除介電材料。在操作1170處,蝕刻第一蝕刻停止層210及屏蔽層106。在一或更多實施例中,屏蔽層106包含蝕刻的襯墊。
在某些實施例中,第一蝕刻停止層110及第二金屬層112的沉積在整合的系統中實行。在一或更多實施例中,整合的系統避免第一蝕刻停止層110的氧化,而可增加第一蝕刻停止層110的阻抗。在某些實施例中,屏蔽層106及第一金屬層108的沉積在整合的系統中實行。在一或更多實施例中,整合的系統避免屏蔽層106的氧化,而可增加屏蔽層106的阻抗。在一或更多實施例中,屏蔽層106包含襯墊,且整合的系統避免襯墊的氧化,而可增加襯墊的阻抗。
因此,在一或更多實施例中,基板從第一腔室移動至分開的第二腔室用於進一步處理。基板可直接從第一腔室移動至分開的處理腔室,或其可從第一腔室移動至一或更多傳送腔室,且接著移動至分開的處理腔室。因此,處理設備可包含與傳送站台連通的多個腔室。此類的設備可稱為「叢集工具」或「叢集的系統」及類似者。
一般而言,叢集工具為模組化系統,包含多個腔室而實行各種功能,包括基板尋找中心及定向、除氣、退火、沉積及/或蝕刻。根據一或更多實施例,叢集工具包括至少第一腔室及中心傳送腔室。中心傳送腔室可裝載機械手臂,而可在處理腔室及負載鎖定腔室之間及之中穿梭。傳送腔室通常維持在真空條件下,且提供中間站台用於將基板從一個腔室穿梭至另一者及/或至定位於叢集工具的前端處的負載鎖定腔室。可適以用於本發明的兩個已知叢集工具為Centura®及Endura®,兩者均由加州聖克拉拉市的應用材料公司可取得。然而,腔室的實際安排及結合可變化用於實行此處所述的處理之具體步驟之目的。可使用的其他處理腔室包括但非限於週期性層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、化學清潔、諸如RTP的熱處置、電漿氮化、除氣、定向、羥化及其他基板處理。藉由在叢集工具上的腔室中執行處理,可避免大氣雜質的基板的表面污染,而不會在沉積後續膜之前氧化。
根據一或更多實施例,基板持續在真空或「負載鎖定」條件下,且當從一個腔室移動至下一者時並未暴露至周遭空氣。傳送腔室因此在真空下,且「抽取」於真空壓力下。鈍氣可存在於處理腔室或傳送腔室中。在某些實施例中,鈍氣使用作為清洗氣體,以移除某些或所有的反應物。根據一或更多實施例,清洗氣體注入沉積腔室的出口處,以避免反應物從沉積腔室移動至傳送腔室及/或額外的處理腔室。因此,鈍氣的流動在腔室的出口處形成簾幕。
基板可在單一基板沉積腔室中處理,其中在處理另一基板之前,裝載、處理且卸載單一基板。基板亦可以連續方式處理,類似於傳輸系統,其中多個基板個別裝載至腔室的第一部分中,移動通過腔室,且從腔室的第二部分卸載。腔室及相關聯傳輸系統的形狀可形成直的路徑或彎曲的路徑。此外,處理腔室可為轉盤,其中多個基板在中心軸的四周移動,且於整個轉盤路徑暴露至沉積、蝕刻、退火、清潔等等的處理。
在處理期間,可加熱或冷卻基板。此加熱或冷卻可藉由任何適合的手段完成,包括但非限於改變基板支撐件的溫度且流動加熱的或冷卻的氣體至基板表面。在某些實施例中,基板支撐件包括加熱器/冷卻器,而可經控制以導通地改變基板溫度。在一或更多實施例中,利用的氣體(反應氣體或鈍氣任一者)加熱或冷卻以局部改變基板溫度。在某些實施例中,加熱器/冷卻器定位在腔室之中鄰接基板表面,以導通地改變基板溫度。
基板亦可為靜態或在處理期間旋轉。旋轉的基板可為連續旋轉或在分散的步驟中旋轉。舉例而言,基板可在整個處理始終旋轉,或基板可在暴露之間小量旋轉至不同反應或清潔氣體。在處理期間旋轉基板(連續或在步驟中任一者)可藉由最小化例如在氣體流動幾何中的局部變化性之效應,而幫助產生更均勻的沉積或蝕刻。
在此處所討論說明材料及方法的上下文中「一」及「一者」及「該」及類似指代之詞彙的使用(特別在以下請求項的上下文中)意圖考量為覆蓋單數及複數兩者,除非此處另外指示或與上下文清楚抵觸。此處記載的值的範圍僅意圖供以作為個別代表落入範圍之中的各個分開的值的速記方法,除非此處另外指示,且各個分開的值併入說明書中如其在此處個別記載。此處所述的所有方法可以任何適合的順序實行,除非此處另外指示或與上下文清楚抵觸。此處提供的任何及所有範例的使用或範例語詞(例如,「諸如」)意圖僅較佳敘明材料及方法,且並非用以限制範疇,除非另外主張。在說明書中並無任何語詞應考慮為將任何非主張的元件指示為對所揭露的材料及方法的實施是重要的。
此說明書中全篇參考的「一個實施例」、「某些實施例」、「一或更多實施例」或「一實施例」代表與實施例連結所述的特定特徵、結構、材料或特性包括在本揭露案的至少一個實施例中。因此,此說明書全篇各處諸如「在一或更多實施例中」、「在某些實施例中」、「在一個實施例中」或「在一實施例中」的語句的存在並非必須代表本揭露案的相同實施例。在一或更多實施例中,特定特徵、結構、材料或特性以任何適合的方式結合。
儘管此處參照特定實施例說明本揭露案,應理解此等實施例僅為原理的圖示及本揭露案的應用。本領域中技藝人士將理解可對本揭露案的方法及裝置作成各種修改及變化而不會悖離本揭露案的精神及範疇。因此,本揭露案意圖包括在隨附請求項之範疇之中的修改及改變及其均等。
100:視圖 100A:剖面視圖 100C:頂部視圖 101:堆疊 102:基板 106:屏蔽層 108:第一金屬層 110:第一蝕刻停止層 112:第二金屬層 114:第二蝕刻停止層 116:硬遮罩層 118:底部抗反射塗佈 120:光阻 122:溝道 124:旋塗介電材料 126:光阻 128:溝道 130:空間 200:視圖 200A:剖面視圖 200C:頂部視圖 202:第一導電線 203:側壁 204:線 206:線 208:溝道 210:線 212:第二導電線 214:硬遮罩材料 300:視圖 300A:剖面視圖 300B:頂部視圖 600:視圖 600A:剖面視圖 600B:頂部視圖 700:視圖 700A:剖面視圖 700B:頂部視圖 800:視圖 800A:剖面視圖 800B:頂部視圖 900:視圖 900A:剖面視圖 900B:頂部視圖 1000:視圖 1000A:剖面視圖 1000B:頂部視圖 1200:方法 1110:操作 1120:操作 1130:操作 1140:操作 1150:操作 1160:操作 1170:操作
以此方式可詳細理解本揭露案以上所載的特徵,以上簡要概述的本揭露案的更特定說明可藉由參考實施例而獲得,某些實施例圖示於隨附圖式中。然而,應理解隨附圖式僅圖示本揭露案的通常實施例,且因此不應考量為其範疇之限制,因為本揭露案可認可其他均等效果的實施例。
第1圖根據本揭露案的一或更多實施例,圖示互連結構的等距視圖;
第1A圖根據一或更多實施例,圖示第1圖的互連結構的剖面視圖;
第1B圖根據一或更多實施例,圖示第1圖的互連結構的頂部視圖;
第2圖根據本揭露案的一或更多實施例,圖示互連結構的等距視圖;
第2A圖根據一或更多實施例,圖示第2圖的互連結構的剖面視圖;
第2B圖根據一或更多實施例,圖示第2圖的互連結構的頂部視圖;
第3圖根據本揭露案的一或更多實施例,圖示互連結構的等距視圖;
第3A圖根據一或更多實施例,圖示第3圖的互連結構的剖面視圖;
第3B圖根據一或更多實施例,圖示第3圖的互連結構的頂部視圖;
第4圖根據一或更多實施例,圖示互連結構的剖面視圖;
第5圖根據一或更多實施例,圖示互連結構的剖面視圖;
第6圖根據本揭露案的一或更多實施例,圖示互連結構的等距視圖;
第6A圖根據一或更多實施例,圖示第6圖的互連結構的剖面視圖;
第6B圖根據一或更多實施例,圖示第6圖的互連結構的頂部視圖;
第7圖根據本揭露案的一或更多實施例,圖示互連結構的等距視圖;
第7A圖根據一或更多實施例,圖示第7圖的互連結構的剖面視圖;
第7B圖根據一或更多實施例,圖示第7圖的互連結構的頂部視圖;
第8圖根據本揭露案的一或更多實施例,圖示互連結構的等距視圖;
第8A圖根據一或更多實施例,圖示第8圖的互連結構的剖面視圖;
第8B圖根據一或更多實施例,圖示第8圖的互連結構的頂部視圖;
第9圖根據本揭露案的一或更多實施例,圖示互連結構的等距視圖;
第9A圖根據一或更多實施例,圖示第9圖的互連結構的剖面視圖;
第9B圖根據一或更多實施例,圖示第9圖的互連結構的頂部視圖;
第10圖根據本揭露案的一或更多實施例,圖示互連結構的等距視圖;
第10A圖根據一或更多實施例,圖示第10圖的互連結構的剖面視圖;
第10B圖根據一或更多實施例,圖示第10圖的互連結構的頂部視圖;
第11圖根據本揭露案的一或更多實施例,描繪方法之流程圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
102:基板
106:屏蔽層
130:空間
202:第一導電線
204:線
206:線
210:線
212:第二導電線
1000:視圖

Claims (20)

  1. 一種形成一互連結構之方法,該方法包含以下步驟: 蝕刻一圖案化互連堆疊以形成第一導電線且暴露一第一蝕刻停止層的一頂部表面; 蝕刻該第一蝕刻停止層以形成第二導電線且暴露一屏蔽層的一頂部表面;及 形成一自我對齊的貫孔。
  2. 如請求項1所述之方法,其中該圖案化互連堆疊包含具有一屏蔽層於其上的一基板,在該屏蔽層上的一第一金屬層,在該第一金屬層上的一第一蝕刻停止層,在該第一蝕刻停止層上的一第二金屬層,在該第二金屬層上的一第二蝕刻停止層,在該第二蝕刻停止層上的一硬遮罩層,在該硬遮罩層上的一介電層,及在該介電層上的一圖案化光阻。
  3. 如請求項2所述之方法,其中該介電層包含以下一或更多者:一底部抗反射塗佈(BARC)或一旋塗介電材料。
  4. 如請求項2所述之方法,其中蝕刻該圖案化互連堆疊之步驟包含以下步驟:以一第一方向蝕刻該第二金屬層至該第一蝕刻停止層的該頂部表面。
  5. 如請求項4所述之方法,其中形成該等第一導電線之步驟包含以下步驟:將該圖案化互連堆疊暴露至一蝕刻氣體,且以一高蝕刻率蝕刻該圖案化互連堆疊。
  6. 如請求項5所述之方法,其中形成該等第二導電線之步驟包含以下步驟:在蝕刻該第一金屬層期間於該等第一導電線的至少一個側壁上濺射一濺射的硬遮罩。
  7. 如請求項6所述之方法,其中濺射該濺射的硬遮罩之步驟包含以下步驟:將一稀釋氣體添加至該蝕刻氣體。
  8. 如請求項7所述之方法,其中該濺射的硬遮罩包含氧化矽,該等第二導電線包含釕(Ru),且該稀釋氣體包含氮(N2 )。
  9. 如請求項2所述之方法,其中該第一金屬層及該第二金屬層獨立地包含以下一或更多者:鎢(W)、鈷(Co)、釕(Ru)、鉬(Mo)、鋁(Al)、銅(Cu)、矽化物或石墨烯。
  10. 如請求項2所述之方法,其中該第一蝕刻停止層及該第二蝕刻停止層獨立地包含以下一或更多者:鉭(Ta)、氮化鉭(TaN)、鈦(Ti)、氮化鈦(TiN)、鎢(W)、鈷(Co)、釕(Ru)、鈮(Nb)或氮化鈮(NbN)。
  11. 如請求項2所述之方法,其中該硬遮罩層包含以下一或更多者:氧化矽(SiO)、氮化矽(SiN)、碳化矽(SiC)、氧化鋁(AlOx)或氮化鋁(AlN)。
  12. 如請求項1所述之方法,其中形成該自我對齊的貫孔之步驟包含以下步驟: 在該等第一導電線及第二導電線上沉積一第二介電材料; 蝕刻該第二介電材料以暴露該等第一導電線的一上部表面; 蝕刻該第二介電材料以暴露該第一蝕刻停止層的一上部表面;及 移除該第二介電材料。
  13. 如請求項1所述之方法,其中該屏蔽層為一金屬襯墊。
  14. 一種形成一互連結構之方法,該方法包含以下步驟: 在一基板上的一屏蔽層上形成一保形第一金屬層; 在該第一金屬層上形成一第一蝕刻停止層; 在該第一蝕刻停止層上形成一第二金屬層; 在該第二金屬層上形成一第二蝕刻停止層; 在該第二蝕刻停止層的一頂部表面上形成一光刻圖案化結構; 蝕刻該光刻圖案化結構以形成第一導電線且暴露一第一蝕刻停止層的一頂部表面; 蝕刻該第一蝕刻停止層以形成第二導電線且暴露該屏蔽層的一頂部表面; 在該等第一導電線及第二導電線的一頂部表面上形成一第二光刻圖案化結構; 蝕刻該第二光刻圖案化結構以暴露該等第一導電線的一上部表面; 蝕刻該第二光刻圖案化結構以暴露該第一蝕刻停止層的一上部表面; 移除該第二光刻圖案化結構以形成一自我對齊的貫孔;及 蝕刻該第一蝕刻停止層及該屏蔽層。
  15. 如請求項14所述之方法,其中該第一金屬層及該第二金屬層獨立地包含以下一或更多者:鎢(W)、鈷(Co)、釕(Ru)、鉬(Mo)、鋁(Al)、銅(Cu)、矽化物或石墨烯。
  16. 如請求項14所述之方法,其中該第一蝕刻停止層及該第二蝕刻停止層獨立地包含以下一或更多者:鉭(Ta)、氮化鉭(TaN)、鈦(Ti)、氮化鈦(TiN)、鎢(W)、鈷(Co)、釕(Ru)、鈮(Nb)或氮化鈮(NbN)。
  17. 如請求項14所述之方法,其中該光刻圖案化結構包含以下一或更多者:一硬遮罩層、一介電層或一圖案化光阻。
  18. 如請求項17所述之方法,其中該硬遮罩層包含以下一或更多者:氧化矽(SiO)、氮化矽(SiN)、碳化矽(SiC)、氧化鋁(AlOx)或氮化鋁(AlN)。
  19. 如請求項18所述之方法,其中該介電層包含以下一或更多者:一底部抗反射塗佈(BARC)或一旋塗介電材料。
  20. 一種形成一互連結構之方法,該方法包含以下步驟: 圖案化一互連堆疊,該互連堆疊包含具有一屏蔽層於其上的一基板,在該屏蔽層上的一釕層,在該釕層上的一氮化鈦(TiN)層,在該氮化鈦(TiN)層上的一第二釕層,在該第二釕層上的一第二蝕刻停止層,在該第二蝕刻停止層上的一氧化矽層,在該氧化矽層上的一旋塗介電層,及在該旋塗介電層上的一圖案化光阻; 蝕刻圖案化的該互連堆疊以形成第一導電線且暴露該氮化鈦(TiN)層的一頂部表面; 蝕刻該氮化鈦(TiN)層以形成第二導電線且暴露該屏蔽層的一頂部表面;及 形成一自我對齊的貫孔。
TW109134738A 2019-10-24 2020-10-07 形成用於半導體裝置之互連的方法 TW202129844A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/662,200 US11508617B2 (en) 2019-10-24 2019-10-24 Method of forming interconnect for semiconductor device
US16/662,200 2019-10-24

Publications (1)

Publication Number Publication Date
TW202129844A true TW202129844A (zh) 2021-08-01

Family

ID=75586371

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109134738A TW202129844A (zh) 2019-10-24 2020-10-07 形成用於半導體裝置之互連的方法

Country Status (3)

Country Link
US (2) US11508617B2 (zh)
TW (1) TW202129844A (zh)
WO (1) WO2021081379A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11328954B2 (en) * 2020-03-13 2022-05-10 International Business Machines Corporation Bi metal subtractive etch for trench and via formation

Family Cites Families (59)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8316476D0 (en) * 1983-06-16 1983-07-20 Plessey Co Plc Producing layered structure
US4954423A (en) * 1985-08-06 1990-09-04 Texas Instruments Incorporated Planar metal interconnection for a VLSI device
US5025303A (en) * 1988-02-26 1991-06-18 Texas Instruments Incorporated Product of pillar alignment and formation process
EP0373258B1 (de) * 1988-12-16 1993-03-10 Siemens Aktiengesellschaft Verfahren zur selbstjustierten Herstellung von Kontakten zwischen in übereinander angeordneten Verdrahtungsebenen einer integrierten Schaltung enthaltenen Leiterbahnen
JP3122579B2 (ja) * 1994-07-27 2001-01-09 シャープ株式会社 Pt膜のエッチング方法
JPH1098162A (ja) * 1996-09-20 1998-04-14 Hitachi Ltd 半導体集積回路装置の製造方法
US6281585B1 (en) * 1997-06-30 2001-08-28 Philips Electronics North America Corporation Air gap dielectric in self-aligned via structures
US6133635A (en) * 1997-06-30 2000-10-17 Philips Electronics North America Corp. Process for making self-aligned conductive via structures
US6027860A (en) * 1997-08-13 2000-02-22 Micron Technology, Inc. Method for forming a structure using redeposition of etchable layer
JP2000114245A (ja) * 1998-10-05 2000-04-21 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6355571B1 (en) 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US20010049181A1 (en) 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
US6399508B1 (en) * 1999-01-12 2002-06-04 Applied Materials, Inc. Method for metal etch using a dielectric hard mask
US6433436B1 (en) * 1999-05-26 2002-08-13 International Business Machines Corporation Dual-RIE structure for via/line interconnections
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6436267B1 (en) 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6692903B2 (en) * 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6800554B2 (en) 2000-12-18 2004-10-05 Intel Corporation Copper alloys for interconnections having improved electromigration characteristics and methods of making same
US6696358B2 (en) 2001-01-23 2004-02-24 Honeywell International Inc. Viscous protective overlayers for planarization of integrated circuits
US7186648B1 (en) 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US20020155693A1 (en) * 2001-04-23 2002-10-24 Chartered Semiconductor Manufacturing Ltd. Method to form self-aligned anti-via interconnects
US20040124438A1 (en) 2001-05-01 2004-07-01 Shyama Mukherjee Planarizers for spin etch planarization of electronic components and methods of use thereof
JP2003045964A (ja) * 2001-07-30 2003-02-14 Nec Corp 半導体装置及びその製造方法
US20030027427A1 (en) 2001-08-06 2003-02-06 Applied Materials, Inc. Integrated system for oxide etching and metal liner deposition
US6893893B2 (en) * 2002-03-19 2005-05-17 Applied Materials Inc Method of preventing short circuits in magnetic film stacks
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7320942B2 (en) * 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US6984585B2 (en) * 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US7294241B2 (en) 2003-01-03 2007-11-13 Chartered Semiconductor Manufacturing Ltd. Method to form alpha phase Ta and its application to IC manufacturing
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US20050176237A1 (en) * 2004-02-05 2005-08-11 Standaert Theodorus E. In-situ liner formation during reactive ion etch
KR100699865B1 (ko) 2005-09-28 2007-03-28 삼성전자주식회사 화학기계적 연마를 이용한 자기 정렬 콘택 패드 형성 방법
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US8329585B2 (en) * 2009-11-17 2012-12-11 Lam Research Corporation Method for reducing line width roughness with plasma pre-etch treatment on photoresist
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8435419B2 (en) * 2010-06-14 2013-05-07 Applied Materials, Inc. Methods of processing substrates having metal materials
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US9583538B2 (en) 2013-02-28 2017-02-28 Kabushiki Kaisha Toshiba Semiconductor memory device having crossing interconnects separated by stacked films
US9184093B2 (en) * 2013-03-15 2015-11-10 Applied Materials, Inc. Integrated cluster to enable next generation interconnect
US9761489B2 (en) * 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
WO2015047318A1 (en) 2013-09-27 2015-04-02 Intel Corporation Subtractive self-aligned via and plug patterning for back end of line (beol) interconnects
US9236342B2 (en) 2013-12-18 2016-01-12 Intel Corporation Self-aligned via and plug patterning with photobuckets for back end of line (BEOL) interconnects
US9431297B2 (en) * 2014-10-01 2016-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure for a semiconductor device
CN108012562B (zh) 2015-06-26 2022-03-01 英特尔公司 用于自对准互连件、插塞和过孔的织物式图案化
KR102420087B1 (ko) 2015-07-31 2022-07-12 삼성전자주식회사 반도체 소자의 제조 방법
US9613909B2 (en) * 2015-08-12 2017-04-04 Globalfoundries Inc. Methods and devices for metal filling processes
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US11088020B2 (en) * 2017-08-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
JP2019169627A (ja) * 2018-03-23 2019-10-03 東京エレクトロン株式会社 エッチング方法
US10692759B2 (en) * 2018-07-17 2020-06-23 Applied Materials, Inc. Methods for manufacturing an interconnect structure for semiconductor devices
KR102528076B1 (ko) * 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
CN109920758A (zh) * 2019-03-20 2019-06-21 上海华虹宏力半导体制造有限公司 金属线的制造方法
TWI833425B (zh) * 2019-05-01 2024-02-21 美商應用材料股份有限公司 完全對準消去處理及來自此處理的電子裝置
US11251118B2 (en) * 2019-09-17 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned via structures with barrier layers
US11177171B2 (en) * 2019-10-01 2021-11-16 International Business Machines Corporation Encapsulated top via interconnects

Also Published As

Publication number Publication date
US11508617B2 (en) 2022-11-22
US20210125864A1 (en) 2021-04-29
WO2021081379A1 (en) 2021-04-29
US20230045689A1 (en) 2023-02-09

Similar Documents

Publication Publication Date Title
US10403542B2 (en) Methods of forming self-aligned vias and air gaps
US10867921B2 (en) Semiconductor structure with tapered conductor
JP4679193B2 (ja) 半導体装置の製造方法及び半導体装置
TWI785326B (zh) 完全對準消去處理及來自此處理的電子裝置
TWI827553B (zh) 用於內連線的釕金屬特徵部填補
US11309217B2 (en) Contact plug and method of formation
US10930556B2 (en) Contact over active gate structure
US20230187276A1 (en) Method of dielectric material fill and treatment
US11908696B2 (en) Methods and devices for subtractive self-alignment
US10840186B2 (en) Methods of forming self-aligned vias and air gaps
US20230045689A1 (en) Method of forming interconnect for semiconductor device
US10930555B2 (en) Contact over active gate structure
US9685374B1 (en) Contact process flow
TW202111870A (zh) 用於混成特徵金屬化之方法與設備
US11139205B2 (en) Self-aligned subtractive interconnect patterning
KR100639458B1 (ko) TaSIN막을 사용한 확산 방지막 형성 방법 및 이를이용한 금속 배선 형성 방법
US20040155348A1 (en) Barrier structure for copper metallization and method for the manufacture thereof