TW202121057A - 圖案化金屬氧化物光阻劑的劑量減量 - Google Patents

圖案化金屬氧化物光阻劑的劑量減量 Download PDF

Info

Publication number
TW202121057A
TW202121057A TW109121432A TW109121432A TW202121057A TW 202121057 A TW202121057 A TW 202121057A TW 109121432 A TW109121432 A TW 109121432A TW 109121432 A TW109121432 A TW 109121432A TW 202121057 A TW202121057 A TW 202121057A
Authority
TW
Taiwan
Prior art keywords
layer
carbon
metal
oxide
multilayer stack
Prior art date
Application number
TW109121432A
Other languages
English (en)
Inventor
特金德 辛格
閰俐凡
亞伯希吉特B 馬禮克
丹尼爾李 迪爾
和湧 黃
喬瑟林簡 拉瑪林簡
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202121057A publication Critical patent/TW202121057A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • G03F7/0043Chalcogenides; Silicon, germanium, arsenic or derivatives thereof; Metals, oxides or alloys thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/115Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having supports or layers with means for obtaining a screen effect or for obtaining better contact in vacuum printing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Physical Vapour Deposition (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本揭示案的實施例一般關於用作極紫外(EUV)光微影中的遮罩的多層堆疊以及用於形成多層堆疊的方法。在一個實施例中,方法包括以下步驟:在膜堆疊上形成碳層,藉由物理氣相沉積(PVD)製程在碳層上形成富金屬氧化物層,在富金屬氧化物層上形成金屬氧化物光阻劑層,及圖案化金屬氧化物光阻劑層。金屬氧化物光阻劑層不同於富金屬氧化物層,且藉由與PVD製程不同的製程形成金屬氧化物光阻劑層。藉由PVD製程形成的富金屬氧化物層改善了金屬氧化物光阻劑層的黏附力,並在EUV光微影期間增加次級電子,從而導致EUV劑量能量減少。

Description

圖案化金屬氧化物光阻劑的劑量減量
本揭示案的實施例一般關於一種設備和方法,以及更具體地,關於一種多層堆疊和用於形成多層堆疊的方法。
可靠地生產次微米和更小的特徵是半導體元件的超大型積體電路(VLSI)和極大型積體電路(ULSI)的關鍵要求之一。然而,隨著電路技術的持續小型化,電路特徵(如互連件)的尺寸和間距的尺度對處理能力有了額外的要求。這項技術的核心是多級(multilevel)互連件,其需要對高深寬比特徵有精確的成像和放置。需要可靠地形成這些互連件,以進一步增加元件和互連件的密度。
用於形成各種互連件和其他半導體特徵的一個製程是使用極紫外(EUV)光微影技術。傳統的EUV圖案化使用多層堆疊,其中在硬遮罩的頂部上圖案化光阻劑。常見的硬遮罩材料是旋塗式(spin-on)矽抗反射塗層(SiARC)和沉積的氮氧化矽(SiON)。SiARC將有機成分結合到矽構架(silicon backbone)中,而維持對光阻劑和下面堆疊的足夠蝕刻選擇性。比例縮放SiARC構架的厚度可能具有挑戰性,且旋轉塗佈限制在沒有太多缺陷的情況下可以實現的最小厚度。SiON硬遮罩使用有機黏合層(OAL)來改善抗蝕劑(resist)的黏合性。OAL可以防止氮中毒,且能夠被再製(reworked)。
已經測試了幾種金屬氧化物材料作為EUV硬遮罩(HM)。包括具有高EUV吸收元素的膜之金屬氧化物膜是化學計量的(stoichiometric)且不導電。此外,EUV光微影製程通常花費相當大量的暴露時間且需要大量的能量。
因此,在本領域中需要新的多層堆疊作為遮罩,其允許減少劑量時間和/或降低劑量能量。
本揭示案的實施例一般關於用作極紫外(EUV)光微影中的遮罩的多層堆疊以及用於形成多層堆疊的方法。
在一個實施例中,提供一種形成多層堆疊的方法。方法包括以下步驟:在膜堆疊上形成第一層,藉由物理氣相沉積製程在第一層上形成第二層,以及在第二層上形成金屬氧化物光阻劑層,第一層包含含碳層,第二層包含富金屬氧化物層,金屬氧化物光阻劑層包含與第二層不同的材料。
在另一個實施例中,提供了多層堆疊。多層堆疊包括設置在膜堆疊上的第一層、設置在第一層上的第二層、及設置在第二層上的金屬氧化物光阻劑層,第一層包含含碳層,第二層包含富金屬氧化物層,金屬氧化物光阻劑層包括與第二層不同的材料。
在又一個實施例中,提供了一種非暫態電腦可讀取儲存媒體。非暫態電腦可讀取儲存媒體包括複數個指令,此些指令包括用於控制處理系統的部件以施行以下製程的指令:在膜堆疊上形成第一層,藉由物理氣相沉積製程在第一層上形成第二層,以及在第二層上形成光阻劑層,第一層包含含碳層,第二層包含富金屬氧化物層,光阻劑層包含具有金屬氧化物塗層的聚合物。
本揭示案的實施例一般關於用作EUV光微影中的遮罩的多層堆疊以及用於形成多層堆疊的方法。在一個實施例中,方法包括以下步驟:在膜堆疊上形成碳層,藉由物理氣相沉積(PVD)製程在碳層上形成富金屬氧化物層,在富金屬氧化物層上形成金屬氧化物光阻劑層,及圖案化金屬氧化物光阻劑層。金屬氧化物光阻劑層不同於富金屬氧化物層,且藉由與PVD製程不同的製程形成金屬氧化物光阻劑層。藉由PVD製程形成的富金屬氧化物層改善了金屬氧化物光阻劑層的黏附力,並在EUV光微影期間增加次級電子,從而導致EUV劑量能量降低。
圖1根據一個實施例是用於圖案化製程的方法100的流程圖。圖2根據一個實施例繪示結構200。可以在圖1的圖案化製程期間形成結構200。本發明所屬領域中具有通常知識者應該認識到,用於形成半導體元件和相關結構的完整製程未繪示在圖式中或未在本文中描述。儘管圖式中繪示並在本文中描述了各種操作,但是並不暗示對這些操作的順序或操作的存在或不存在作限制。除非明確指定,否則描繪或描述為順序的操作僅是出於解釋的目的,而不排除以並行(concurrent)或重疊的方式實際施行此等各個操作的可能性,若不是全部,則至少部分地以此方式施行。
方法100在操作102開始,其藉由在處理系統的處理腔室中的膜堆疊202上形成第一層204。可以利用膜堆疊202在前端或後端製程中形閘極結構、接觸結構或互連結構。膜堆疊202可以包括在記憶體結構(如NOT-AND(NAND)結構)中使用的階梯狀結構。
在一個實施例中,膜堆疊202具有多個垂直堆疊的層。膜堆疊202可以包括成對的交替層,如交替的介電層,例如交替的氧化物和氮化物層。交替的介電層可以包括氧化矽、氮化矽、氮氧化矽、碳化矽、碳氧化矽、氮化鈦、氧化物和氮化物的任何其他複合材料或以上各者的任意組合。在一些實施例中,介電層包括介電常數大於4的一種或多種高k材料。高k材料的合適實例包括二氧化鉿(HfO2 )、二氧化鋯(ZrO2 )、氧化鉿矽(HfSiO2 )、氧化鉿鋁(HfAlO)、氧化鋯矽(ZrSiO2 )、二氧化鉭(TaO2 )、氧化鋁、摻雜鋁的二氧化鉿、鈦酸鋇鍶(BST)和鈦酸鉛鋯(PZT)或以上的任何組合。
第一層204可以是含碳層,如高密度含碳層。在一個實施例中,第一層204是由摻雜的(doped)碳製成的硬遮罩,如摻雜硼的非晶碳。第一層204可以是由位於加利福尼亞州聖克拉拉市的應用材料公司生產的SaphiraTM 硬遮罩。在一個實施例中,第一層204包括由位於加利福尼亞州聖克拉拉市的應用材料公司生產的一個或多個先進圖案化膜(APF)碳硬遮罩。
在一些實施例中,第一層204是高密度的含碳層,且具有優異的膜品質,如改善的硬度和密度。這樣的硬度和密度允許第一層204作為抵抗金屬滲透的更強阻擋件,且比傳統SOC膜更大程度地減少奈米故障(nanofailure)。
在一些實施例中,第一層204具有以下特性中的一個或多個:
1)sp3 雜化碳原子的數量/百分比(即sp3 雜化(hybridized)碳原子含量)至少為沉積(as-deposited)層中的碳原子總量的約40%、45%、50%、55%、60%、65%、70%、75%、80%或85%,如約50%至約90%或約60%至約70%。
2)厚度在約5Å與約20,000Å之間,如約300Å至約5000Å,或在約2000Å至約3000Å之間,或約5Å至約200Å。
3)在約633nm下的折射率大於約2.0,例如,約2.0至約3.0,如約2.3。
4)在約633nm下的消光係數大於約0.1,例如,約0.2至約0.3,如約0.25。
5)應力小於約-300MPa,例如約-600MPa至約-300MPa,或約-600MPa至約-500MPa,如約-550MPa。
6)密度大於約1.8g/cc,例如約2.0g/cc或更高,或約2.5g/cc或更高,如約1.8g/cc至約2.5g/cc。
7)彈性係數大於約150GPa,如約200GPa至約400GPa。
可以藉由物理氣相沉積(PVD)製程或電漿增強化學氣相沉積(PECVD)製程在膜堆疊202上形成第一層204。在一個實施例中,第一層204是類鑽石碳層。可以使用含烴的氣體混合物藉由化學氣相沉積(CVD)(電漿增強和/或熱)製程形成本文所述之類鑽石碳層。此含烴的氣體混合物可以包括前驅物,此等前驅物包括但不限於乙炔、丙烯、甲烷、丁烯,1, 3-二甲基金剛烷、雙環[2.2.1]庚-2,5-二烯(2,5-降冰片二烯)、金剛烷、降冰片烯或其組合。
沉積製程可以在約-50℃至約600℃的溫度範圍內進行。沉積製程可以在處理空間中在約0.1mTorr至約10Torr的壓力下進行。含烴的氣體混合物可以進一步包括氦、氬、氙、氮(N2 )和氫(H2 )中的任何一種或它們的組合。
含烴的氣體混合物可以進一步包括蝕刻劑氣體(如氯氣)、四氟化碳和/或三氟化氮,以改善膜品質。可以從頂部和底部電極或側面電極之一者形成電漿(如電容耦合電漿)。電極可以由具有多個頻率(例如但不限於約350KHz至約100MHz)的單一供電電極、雙供電電極或更多電極形成,可替代地或同時地在具有本說明書中所列的反應氣體中的任一者或全部的CVD系統中使用這些電極,以沉積一類鑽石碳的薄層,以用作硬遮罩和/或蝕刻停止層或任何其他合適的應用。
藉由具有比電流產生膜更高的密度和係數(modulus)來實現類鑽石碳層的高蝕刻選擇性。不受理論的束縛,據信較高的密度和係數是由於層中sp3 雜化碳原子含量高,而這又可以藉由低壓和電漿功率的組合來實現。
在一些實施例中,氫自由基透過遠端電漿源(RPS)饋送,這導致對sp2 雜化碳原子的選擇性蝕刻。因此,層的sp3 雜化碳原子分數(atom fraction)進一步增加,從而進一步增加蝕刻選擇性。
在一個實施例中,類鑽石碳層沉積在腔室中,其中基板基座保持在約10℃,壓力保持在約2mTorr,其中藉由對靜電吸盤以約13.56MHz的頻率施加約2500W的偏壓而在基板水平面(level)處產生電漿。在一些實施例中,在約2MHz下的約1000W的額外射頻(RF)也被傳遞到靜電吸盤,從而在基板水平面處產生雙偏壓電漿。
在操作104,在第一層204上形成第二層206。第二層206是藉由PVD製程形成的金屬氧化物層。金屬氧化物層可以是富金屬氧化物層,當被EUV輻射激發時,此富金屬氧化物層提供充足的次級電子。完全化學計量的金屬氧化物層不產生與富金屬氧化物層一樣多的電子。考慮包括高Z金屬和低電阻的富金屬氧化物層用於EUV製程,以減少EUV劑量能量。高Z金屬是指原子序數(atomic number)大於或等於40的金屬。在一些實施例中,第二層206是富金屬氧化物層,其包括錫(Sn)、銦(In)、鎵(Ga)、鋅(Zn)、碲(Te)、銻(Sb)、鎳(Ni)、鈦(Ti)、鋁(Al)或鉭(Ta)中的一種或多種。富金屬氧化物層的實例包括氧化錫(SnOx )、銦鎵鋅氧化物(IGZO)、銦錫氧化物(ITO)、氧化鉭(TaOx )或其他合適的富金屬氧化物。藉由PVD製程形成富金屬氧化物層,PVD製程可以產生具有金屬對氧化物的非化學計量比(nonstoichiometric ratio)的金屬氧化物層,如較高的金屬含量。例如,化學計量的金屬氧化物層可以被表徵為Mx Oy ,其中M是一種或多種金屬,且化學計量的金屬對氧化物的比率是x比y。藉由PVD製程生產的富金屬氧化物層可以具有約1.5 x比y(1.5 x-to-y)或更大的金屬對氧化物比率,如約2 x比y(2 x-to-y)或更大。
在操作106,在第二層206上形成金屬氧化物光阻劑層208。金屬氧化物光阻劑層208可以包括分子金屬氧化物叢集核(cluster core),且每個核具有多個輻射敏感配體。金屬氧化物光阻劑層208由不同於第二層206的材料製成。第二層206改善金屬氧化物光阻劑層208對第二層206的黏合性。
在操作108,圖案化金屬氧化物光阻劑層208以在金屬氧化物光阻劑層208中形成圖案210。金屬氧化物光阻劑層208可以是在一旦(once)暴露於輻射時變得可溶的正抗蝕劑,或者是在一旦暴露於輻射時變得不溶的負抗蝕劑。輻射的波長可以在EUV範圍內。
在操作110,藉由一個或多個蝕刻製程將圖案210轉移到膜堆疊202。首先將圖案210轉移到第一和第二層204、206,隨後轉移到膜堆疊202。一個或多個蝕刻製程可以包括任何合適的蝕刻製程。
第一層204可以是摻雜的碳層或高密度含碳層,且第二層206可以是藉由PVD製程形成的富金屬氧化物層。在一個實施例中,第一層204是摻雜的碳層,例如摻雜硼的碳層,且第二層206是富金屬氧化物層。在另一個實施例中,第一層204是高密度的含碳層,如密度大於約1.8g/cc的類鑽石碳層。
圖3根據一個實施例繪示多腔室處理系統300的示意性頂視圖。多腔室處理系統300可以經配置以施行方法100。可以根據本文提供的教示作適當修改的處理系統的實例包括可從位於加利福尼亞州聖塔克拉拉市的應用材料公司獲得的ENDURA® 、PRODUCER® 或CENTURA® 積體處理系統或其他合適的處理系統。可以設想,其他處理系統(包括來自其他製造商的處理系統)可以適於從本說明書描述的態樣中受益。
如圖3所示,複數個處理腔室302耦接到第一移送腔室304。第一移送腔室304也耦接至第一對直通(pass-through)腔室306。第一移送腔室304具有居中設置的移送機器人(未圖示),以用於在直通腔室306和處理腔室302之間移送基板。直通腔室306耦接到第二移送腔室310,第二移送腔室310耦接到處理腔室314和處理腔室316。第二移送腔室310具有居中設置的移送機器人(未圖示),以用於在一組裝載閘腔室312與處理腔室314或處理腔室316之間移送基板。工廠介面320藉由裝載閘腔室312連接到第二移送腔室310。工廠介面320耦接到裝載閘腔室312的相對側上的一個或多個盒(pod)330。盒330通常是可從清洗室進出的前開式晶圓傳送盒(FOUP)。
在操作期間,首先將基板移送到處理腔室314或處理腔室316,其中在膜堆疊(如圖2的膜堆疊202)上形成含碳層(如第一層204)。換句話說,方法100的操作102可以在處理腔室314或316中施行。
隨後將基板移送到一個或多個處理腔室302,在其中藉由PVD製程在含碳層上形成富金屬氧化物層(如第二層206)。換句話說,方法100的操作104可以在處理腔室302中施行。處理腔室302可以是PVD腔室。因為操作102和104是在相同處理系統300內施行的,所以當基板被移送到各種腔室時真空不會被破壞,這減少污染的機會並改善沉積的磊晶膜的品質。
在一些實施例中,將基板提供到不同於處理系統300的處理系統的腔室,以形成光阻劑層(操作106)。可以在不同於處理系統300的處理系統的腔室中施行光阻劑層的圖案化(操作108)以及將圖案轉移至膜堆疊(操作110)。換句話說,可以在第一處理系統中施行操作102和104,且可以在與第一處理系統不同的第二處理系統中施行操作106、108和110。
系統控制器380耦接到處理系統300,以控制處理系統300或其部件。例如,系統控制器380使用對處理系統300的腔室302、304、306、310、312、314、316、工廠介面320和/或盒330的直接控制來控制處理系統300的操作。在另一實例中,系統控制器380控制與處理系統300的腔室302、304、306、310、312、314、316、工廠介面320和/或盒330相關聯的個別控制器。在操作中,系統控制器380使得能夠從各個腔室收集資料和反饋以協調處理系統300的效能。
系統控制器380通常包括中央處理單元(CPU)382、記憶體384和支援電路386。CPU 382可以是可在工業環境中使用的任何形式的通用處理器中的一種。記憶體384,非暫態電腦可讀取媒體或機器可讀取儲存裝置可由CPU 382存取,且可以包括隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟或硬碟,或任何其他形式的數位儲存(本地端或遠端的)。支援電路386耦接至CPU 832且包括快取、時脈電路、輸入/輸出子系統、電源供應及類似物。
系統控制器380經配置以施行儲存在記憶體384中的方法100的一個或多個操作。通常,在CPU 382的控制下,藉由執行儲存在記憶體384(或特殊處理腔室的記憶體中)的電腦指令程式碼(如電腦程式產品或軟體常規程式)來施行此揭示案中所揭露的各種實施例。即,電腦程式產品有形地體現在記憶體384(或非暫態電腦可讀取媒體或機器可讀取儲存裝置)上。當由CPU 382執行電腦指令程式碼時,CPU 382控制腔室以施行根據各種實施例的操作。
如上所述,本揭示案的實施例藉由利用富金屬氧化物層和形成在富金屬氧化物層上的金屬氧化物光阻劑來降低EUV劑量能量。金屬氧化物光阻劑對富金屬氧化物層的黏合性也得到改善。此外,本揭示案的實施例利用高密度的含碳層,高密度的含碳層作為抵抗金屬滲透的強阻擋件以及減少EUV製程中的奈米故障。
雖然前面所述是針對本揭示案的實施例,但在不背離本揭示案的實質範圍下,可設計本揭示案的其他與進一步的實施例,且本揭示案的範圍由以下專利申請範圍所界定。
100:方法 102:操作 104:操作 106:操作 108:操作 110:操作 200:結構 202:膜堆疊 204:第一層 206:第二層 208:金屬氧化物光阻劑層 210:圖案 300:處理系統 302:處理腔室 304:移送腔室 306:直通腔室 310:移送腔室 312:裝載閘腔室 314:處理腔室 316:處理腔室 320:工廠介面 330:盒 380:系統控制器 382:中央處理單元(CPU) 384:記憶體 386:支援電路
本揭示案之特徵已簡要概述於前,並在以下有更詳盡之論述,可以藉由參考所附圖式中繪示之本案實施例以作瞭解。然而,值得注意的是,所附圖式只繪示了示範實施例且不會視為其範圍之限制,本揭示案可允許其他等效之實施例。
圖1根據一個實施例是用於圖案化製程的方法的流程圖。
圖2根據一個實施例繪示一種結構。
圖3根據一個實施例繪示多腔室處理系統的示意性頂視圖。
為便於理解,在可能的情況下,使用相同的元件符號代表圖示中相同的元件。可以預期的是,一個實施例中的元件與特徵可有利地用於其他實施例中而無需贅述。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:結構
202:膜堆疊
204:第一層
206:第二層
208:金屬氧化物光阻劑層
210:圖案

Claims (20)

  1. 一種用於形成一多層堆疊的方法,包括以下步驟: 在一膜堆疊上形成一第一層,該第一層包含一含碳層; 藉由一物理氣相沉積製程在該第一層上形成一第二層,該第二層包含一富金屬氧化物層(metal rich oxide layer);及 在該第二層上形成一金屬氧化物光阻劑層,該金屬氧化物光阻劑層包含與該第二層不同的一材料。
  2. 如請求項1所述之方法,其中該第一層進一步包括一摻雜的含碳層。
  3. 如請求項2所述之方法,其中該第一層進一步包括一摻雜硼的碳層。
  4. 如請求項1所述之方法,其中該第一層進一步包括一密度大於約1.8g/cc的一含碳層。
  5. 如請求項4所述之方法,其中該含碳層是一類鑽石碳層。
  6. 如請求項1所述之方法,其中該第二層進一步包括一高Z(high Z)金屬。
  7. 如請求項1所述之方法,其中該第二層進一步包括以下各者中的一個或多個:錫、銦、鎵、鋅、碲、銻、鎳、鈦、鋁或鉭。
  8. 如請求項7所述之方法,其中該第二層是一氧化錫層、一銦鎵鋅氧化物層、一銦錫氧化物層或一鉭氧化物層。
  9. 一種在極紫外光微影中用作一遮罩的多層堆疊,包括: 一第一層,該第一層設置在一膜堆疊上,該第一層包含一含碳層; 一第二層,該第二層設置在該第一層上,該第二層包含一富金屬氧化物層;及 一金屬氧化物光阻劑層,該金屬氧化物光阻劑層設置在該第二層上,該金屬氧化物光阻劑層包含與該第二層不同的一材料。
  10. 如請求項9所述之多層堆疊,其中該第一層進一步包括一摻雜的含碳層。
  11. 如請求項10所述之多層堆疊,其中該第一層進一步包括一摻雜硼的碳層。
  12. 如請求項9所述之多層堆疊,其中該第一層進一步包括一密度大於約1.8g/cc的一含碳層。
  13. 如請求項12所述之多層堆疊,其中該含碳層是一類鑽石碳層。
  14. 如請求項9所述之多層堆疊,其中該第二層進一步包括一高Z金屬。
  15. 如請求項9所述之多層堆疊,其中該第二層進一步包括以下各者中的一個或多個:錫、銦、鎵、鋅、碲、銻、鎳、鈦、鋁或鉭。
  16. 如請求項15所述之多層堆疊,其中該第二層是氧化錫層、銦鎵鋅氧化物層、銦錫氧化物層或鉭氧化物層。
  17. 如請求項12所述之多層堆疊,其中該第二層是氧化錫層、銦鎵鋅氧化物層、銦錫氧化物層或鉭氧化物層。
  18. 一種非暫態電腦可讀取儲存媒體,該非暫態電腦可讀取儲存媒體具有儲存在其上的複數個指令,該複數個指令包括用於控制一處理系統的部件以施行以下製程的指令: 在一膜堆疊上形成一第一層,該第一層包含一含碳層,該含碳層具有大於約1.8g/cc的一密度;及 藉由一物理氣相沉積製程在該第一層上形成一第二層,該第二層包含以下各者中的一個或多個:錫、銦、鎵、鋅、碲、銻、鎳、鈦、鋁或鉭。
  19. 如請求項18所述之非暫態電腦可讀取儲存媒體,其中該第一層是一類鑽石碳層。
  20. 如請求項18所述之非暫態電腦可讀取儲存媒體,其中該第二層是氧化錫層、銦鎵鋅氧化物層、銦錫氧化物層或鉭氧化物層。
TW109121432A 2019-08-01 2020-06-24 圖案化金屬氧化物光阻劑的劑量減量 TW202121057A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962881452P 2019-08-01 2019-08-01
US62/881,452 2019-08-01

Publications (1)

Publication Number Publication Date
TW202121057A true TW202121057A (zh) 2021-06-01

Family

ID=74229355

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109121432A TW202121057A (zh) 2019-08-01 2020-06-24 圖案化金屬氧化物光阻劑的劑量減量

Country Status (6)

Country Link
US (1) US11550222B2 (zh)
JP (1) JP2022542170A (zh)
KR (1) KR20220037506A (zh)
CN (1) CN114223050A (zh)
TW (1) TW202121057A (zh)
WO (1) WO2021021279A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11776811B2 (en) 2020-05-12 2023-10-03 Applied Materials, Inc. Selective deposition of carbon on photoresist layer for lithography applications

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4996155B2 (ja) 2006-07-18 2012-08-08 株式会社東芝 半導体装置及びその製造方法
JP4697093B2 (ja) * 2006-08-18 2011-06-08 ソニー株式会社 無機レジスト・パターン、無機レジスト・パターンの形成方法、光ディスク原盤、光ディスク原盤の製造方法、光ディスク・スタンパの製造方法及び光ディスク基板の製造方法
KR101435520B1 (ko) * 2008-08-11 2014-09-01 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
US8071485B2 (en) 2009-06-29 2011-12-06 Globalfoundries Inc. Method of semiconductor manufacturing for small features
TW201216331A (en) * 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
TW201224190A (en) * 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR102061919B1 (ko) 2011-11-21 2020-01-02 브레우어 사이언스 인코포레이션 Euv 리소그래피용 보조층
JP2014086500A (ja) 2012-10-22 2014-05-12 Tokyo Electron Ltd 銅層をエッチングする方法、及びマスク
JP5756134B2 (ja) * 2013-01-08 2015-07-29 信越化学工業株式会社 金属酸化物含有膜形成用組成物及びパターン形成方法
US8986921B2 (en) * 2013-01-15 2015-03-24 International Business Machines Corporation Lithographic material stack including a metal-compound hard mask
US9996004B2 (en) * 2015-11-20 2018-06-12 Lam Research Corporation EUV photopatterning of vapor-deposited metal oxide-containing hardmasks
US10825684B2 (en) * 2016-03-18 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Material composition and methods thereof
US9929012B1 (en) * 2016-12-14 2018-03-27 International Business Machines Corporation Resist having tuned interface hardmask layer for EUV exposure
US10082736B2 (en) 2017-01-13 2018-09-25 International Business Machines Corporation Approach to lowering extreme ultraviolet exposure dose for inorganic hardmasks for extreme ultraviolet patterning
US10546748B2 (en) * 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
CN117524848A (zh) 2017-06-08 2024-02-06 应用材料公司 用于硬掩模及其他图案化应用的高密度低温碳膜
US10545408B2 (en) * 2017-08-18 2020-01-28 Varian Semiconductor Equipment Associates, Inc. Performance improvement of EUV photoresist by ion implantation
US10395925B2 (en) * 2017-12-28 2019-08-27 International Business Machines Corporation Patterning material film stack comprising hard mask layer having high metal content interface to resist layer
US11437238B2 (en) * 2018-07-09 2022-09-06 Applied Materials, Inc. Patterning scheme to improve EUV resist and hard mask selectivity

Also Published As

Publication number Publication date
US20230115004A1 (en) 2023-04-13
US11550222B2 (en) 2023-01-10
JP2022542170A (ja) 2022-09-29
WO2021021279A1 (en) 2021-02-04
KR20220037506A (ko) 2022-03-24
US20210033974A1 (en) 2021-02-04
CN114223050A (zh) 2022-03-22

Similar Documents

Publication Publication Date Title
JP6964964B2 (ja) チェンバ内で基板を処理する方法およびその装置
KR101160102B1 (ko) 가스 화학물 및 탄화 수소 첨가의 주기적 조절을 이용하는 플라즈마 스트리핑 방법
US11062897B2 (en) Metal doped carbon based hard mask removal in semiconductor fabrication
JP2021511673A (ja) パターニングにおける酸化スズマンドレル
JP2020523786A (ja) ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
KR20170124087A (ko) Ale 및 선택적인 증착을 사용하여 기판들 에칭
TWI832964B (zh) 用於微影蝕刻應用之膜堆疊
TWI671795B (zh) 利用共形碳薄膜減低臨界尺寸之方法
JP2001244439A (ja) メタル電極の形成方法
US20240087894A1 (en) High density carbon films for patterning applications
JP2022545720A (ja) 低圧において高密度、高弾性率、および高硬度のアモルファスカーボン膜
TW202121057A (zh) 圖案化金屬氧化物光阻劑的劑量減量
US10361112B2 (en) High aspect ratio gap fill
US11994800B2 (en) Dose reduction of patterned metal oxide photoresists
US11404263B2 (en) Deposition of low-stress carbon-containing layers
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
EP4325550A1 (en) Substrate processing method
KR20230048108A (ko) 저응력 붕소 함유 층들의 증착
TW202415796A (zh) 用於圖案化應用的高密度碳膜
WO2024006088A1 (en) Integrated high aspect ratio etching
JP2024061697A (ja) ルテニウム含有層の形成方法及び積層体
CN115885366A (zh) 用于产生用于硬掩模及其他图案化应用的高密度碳膜的方法
TW202027225A (zh) 清潔膜堆疊中之氧化物層以消除下游處理期間之電弧放電之方法