TW202113135A - 用於高溫腐蝕環境之基板支撐件蓋 - Google Patents

用於高溫腐蝕環境之基板支撐件蓋 Download PDF

Info

Publication number
TW202113135A
TW202113135A TW109112160A TW109112160A TW202113135A TW 202113135 A TW202113135 A TW 202113135A TW 109112160 A TW109112160 A TW 109112160A TW 109112160 A TW109112160 A TW 109112160A TW 202113135 A TW202113135 A TW 202113135A
Authority
TW
Taiwan
Prior art keywords
substrate support
processing chamber
fluoride
support cover
cover
Prior art date
Application number
TW109112160A
Other languages
English (en)
Other versions
TWI788654B (zh
Inventor
殊然 盛
林 張
黃際勇
喬瑟夫C 華納
蔚 吳
馬赫許亞迪納斯 卡納瓦德
陳益凱
一莘 林
瑩 馬
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202113135A publication Critical patent/TW202113135A/zh
Application granted granted Critical
Publication of TWI788654B publication Critical patent/TWI788654B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01FCOMPOUNDS OF THE METALS BERYLLIUM, MAGNESIUM, ALUMINIUM, CALCIUM, STRONTIUM, BARIUM, RADIUM, THORIUM, OR OF THE RARE-EARTH METALS
    • C01F17/00Compounds of rare earth metals
    • C01F17/20Compounds containing only rare earth metals as the metal element
    • C01F17/253Halides
    • C01F17/265Fluorides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01FCOMPOUNDS OF THE METALS BERYLLIUM, MAGNESIUM, ALUMINIUM, CALCIUM, STRONTIUM, BARIUM, RADIUM, THORIUM, OR OF THE RARE-EARTH METALS
    • C01F17/00Compounds of rare earth metals
    • C01F17/20Compounds containing only rare earth metals as the metal element
    • C01F17/206Compounds containing only rare earth metals as the metal element oxide or hydroxide being the only anion
    • C01F17/218Yttrium oxides or hydroxides
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01FCOMPOUNDS OF THE METALS BERYLLIUM, MAGNESIUM, ALUMINIUM, CALCIUM, STRONTIUM, BARIUM, RADIUM, THORIUM, OR OF THE RARE-EARTH METALS
    • C01F17/00Compounds of rare earth metals
    • C01F17/20Compounds containing only rare earth metals as the metal element
    • C01F17/206Compounds containing only rare earth metals as the metal element oxide or hydroxide being the only anion
    • C01F17/224Oxides or hydroxides of lanthanides
    • C01F17/229Lanthanum oxides or hydroxides

Landscapes

  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geology (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Laminated Bodies (AREA)
  • Preventing Corrosion Or Incrustation Of Metals (AREA)

Abstract

本揭露案的實施例大致關於用於清潔處理腔室之裝置及方法。在一個實施例中,基板支撐件蓋包括以氟化物塗層塗佈的塊狀構件。在清潔處理期間,基板支撐件蓋放置於佈置於處理腔室中的基板支撐件上。氟化物塗層不會與清潔物種反應。基板支撐件蓋保護基板支撐件防止與清潔物種反應,導致降低在腔室部件上形成的凝結,進而導致降低在後續處理中基板的污染。

Description

用於高溫腐蝕環境之基板支撐件蓋
本揭露案的實施例大致關於用於清潔處理腔室之裝置及方法。
在化學氣相沉積(CVD)處理期間,反應氣體可建立沉積在腔室的內側表面上的成分。隨著此等沉積物的積累,殘留物可能剝落且污染進一步的處理步驟。此等殘留沉積物亦可不利地影響其他處理條件,例如沉積均勻性、沉積率、膜應力、粒子效能及類似者。
因此,處理腔室通常週期性地清潔,以移除殘留材料。清潔處理通常牽涉電漿強化的乾式清潔技術。通常為含鹵素或氧的氣體的蝕刻劑,例如含氟氣體或氧氣,可與基板支撐件的表面反應,以形成氟化物或氧化物。在某些應用中,基板支撐件維持在提升的溫度下,例如大於攝氏500度。在提升的溫度下,氟化物或氧化物昇華,且在比基板支撐件更低的溫度下的基板部件上凝結,例如噴淋頭。凝結物在CVD處理期間可能造成基板的污染,且可導致CVD處理條件的改變,例如沉積率及均勻漂移。
傳統上,基板支撐件以薄塗層塗佈,例如基於釔的塗層,而耐清潔氣體。然而,隨著基板放置於或從基板支撐件移除,塗層可能被刮除。再者,由於附接至基板支撐件的尺寸及部件,塗佈基板支撐件為昂貴且困難的。
因此,需要強化的裝置。
本揭露案的實施例大致關於用於清潔處理腔室之裝置及方法。在一個實施例中,一種處理腔室,包括腔室主體;及基板支撐件,佈置於腔室主體中。基板支撐件包括表面及連接至表面的側表面。處理腔室進一步包括基板支撐件蓋,可移除地佈置於基板支撐件上。基板支撐件蓋包括氟化物材料,且暴露至處理腔室中的處理區域。
在另一實施例中,一種方法,包括從處理腔室移除基板,及在佈置於處理腔室中的基板支撐件上放置基板支撐件蓋。基板支撐件蓋包括氟化物材料。方法進一步包括當基板支撐件蓋在基板支撐件上的同時,在處理腔室中實行清潔處理,基板支撐件蓋的氟化物材料於清潔處理期間暴露至清潔氣體或清潔物種。
在另一實施例中,一種基板支撐件蓋,包括板,包括氟化物材料。基板支撐件蓋進一步包括側蓋,可移除地耦合至板,且側蓋延伸通過板。
本揭露案的實施例大致關於用於清潔處理腔室之裝置及方法。在一個實施例中,基板支撐件蓋包括以氟化物塗層塗佈的塊狀構件。在清潔處理期間,基板支撐件蓋放置於佈置於處理腔室中的基板支撐件上。氟化物塗層不會與清潔物種反應。基板支撐件蓋保護基板支撐件防止與清潔物種反應,導致降低在腔室部件上形成的凝結,進而導致降低在後續處理中基板的污染,且避免處理條件的改變或漂移。
第1圖根據此處所述的一個實施例,為處理腔室100的概要剖面視圖。處理腔室100可為電漿強化的CVD(PECVD)腔室或其他電漿強化的處理腔室。可從此處所述的實施例獲益的範例處理腔室為從美國加州聖克拉拉市的應用材料公司可取得的PECVD可用腔室的PRODUCER® 系列。考慮來自其他製造商的其他類似裝備的處理腔室亦可從此處所述的實施例獲益。處理腔室100包括腔室主體102,佈置於腔室主體102內側的基板支撐件104,及耦合至腔室主體102且在處理區域120中包覆基板支撐件104的蓋組件106。蓋組件106包括氣體分配器,例如噴淋頭112。基板154藉由在腔室主體102中形成的開口126提供至處理區域120。
例如氧化鋁及/或氮化鋁,可為諸如陶瓷或金屬氧化物的介電材料的隔絕器110將噴淋頭112與腔室主體102分隔開。噴淋頭112包括開口118用於收取處理氣體或清潔氣體至處理區域120中。氣體可透過導管114供應至處理腔室100,且氣體可在流動通過開口118之前進入氣體混合區域116。排氣152形成於腔室主體102中,在低於基板支撐件104的地點處。排氣152可連接至真空幫浦(未顯示),以從處理腔室100移除未反應的物種及副產物。
噴淋頭112可耦合至電功率源141,例如RF產生器或DC功率源。DC功率源可供應連續及/或脈衝的DC功率至噴淋頭112。RF產生器可供應連續及/或脈衝的RF功率至噴淋頭112。電功率源141在操作期間開啟,以供應電功率至功率源112,而促進在處理區域120中電漿的形成。
基板支撐件104包括用於支撐基板154的表面142及側表面144。側表面144與表面142非共面。在一個實施例中,側表面144實質上垂直於表面142。基板154具有尺寸D1 ,例如直徑,且基板支撐件104具有比尺寸D1 更大的尺寸D2 ,例如直徑。基板支撐件104可由陶瓷材料形成,例如金屬氧化物或氮化物或氧化/氮化混合物,例如鋁、氧化鋁、氮化鋁或氧化/氮化鋁混合物。基板支撐件104藉由桿143支撐。基板支撐件104可接地。加熱元件128安裝在基板支撐件104中。加熱元件128可為板、穿孔的板、網格、絲網或任何其他分配的安排。加熱元件128透過連接器130耦合至功率源132。加熱元件128可加熱基板支撐件以提升溫度,例如大於攝氏500度。
第1圖中所顯示的基板支撐件104在降低位置,且基板154藉由延伸通過基板支撐件104的複數個舉升銷140支撐。基板154可藉由通過開口126的機械手臂(未顯示)放置在舉升銷140上或從舉升銷140移除。在操作期間,基板支撐件104抬升至更高位置,且基板154佈置於表面142上。環160可藉由基板154或基板支撐件104舉升,且環160在操作期間可佈置於基板支撐件104上環繞基板154。環160可為遮影環,而在操作期間覆蓋基板154的邊緣部分。如第1圖中所顯示,當基板支撐件104在降低的位置處時,環160藉由佈置於腔室主體102上的壁架150支撐。在某些實施例中,取代使用環160,側蓋161佈置於基板支撐件104上且於沉積及清潔處理兩者期間保留在基板支撐件104上。
第2A-2E圖為具有基板支撐件蓋202佈置於其上的基板支撐件104的概要側視圖。基板支撐件蓋202並非塗佈於基板支撐件104上。反而,基板支撐件蓋202可移除地佈置於基板支撐件104上。換句話說,基板支撐件蓋202可放置於基板支撐件104上且從基板支撐件104提起。如第2A圖中所顯示,基板支撐件蓋202具有與基板支撐件104的尺寸D2 相同的尺寸D3 ,例如直徑。換句話說,基板支撐件蓋202覆蓋基板支撐件104的整個表面142。
在清潔處理期間,例如含氟氣體或含氧氣體的清潔氣體可與基板支撐件104反應,以在基板支撐件104上形成氟化物或氧化物。在某些應用中,基板支撐件104維持在大於攝氏500度的溫度下。在此提升的溫度下,氟化物或氧化物昇華,且在例如噴淋頭112(顯示於第1圖中)的較冷的腔室部件上凝結。在噴淋頭112上凝結的材料可造成後續處理期間基板的污染。因此,利用基板支撐件蓋202。使用基板支撐件蓋202之方法在第7圖中說明。
基板支撐件蓋202可由氟化物材料製成,例如氟化鎂(MgF2 )或氟化稀土製成,例如氟化釔(YF3 )或氟化鑭(LaF3 )。基板支撐件蓋202的氟化物材料暴露至處理區域120。在某些實施例中,氟化物以摻雜物摻雜,例如硼及/或碳。摻雜物等級範圍從約百分之0至約百分之50,例如從約百分之10至約百分之30。在一個實施例中,氟化物為硼及碳摻雜的LaF3 (LaF3 (B,C))。氟化物不會與清潔氣體反應,且氟化物不會在例如大於攝氏500度或大於攝氏1000度的提升的溫度下昇華。在一個實施例中,基板支撐件蓋202為單層的MgF2 或氟化稀土,例如YF3 、LaF3 或LaF3 (B,C),具有從約100微米至約3000微米的範圍的厚度,例如從約500微米至約1500微米。基板支撐件蓋202可使用任何適合的方法製成,例如CVD、結晶成長或燒結。
在某些實施例中,如第2B圖中所顯示,環160於清潔處理期間佈置於基板支撐蓋202上。環160在清潔處理期間被清潔。在某些實施例中,取代佈置於基板支撐件蓋202上,環160於清潔處理期間佈置於壁架150上。
在某些實施例中,如第2C圖中所顯示,基板支撐件蓋202具有小於基板支撐件104的尺寸D2 的尺寸,例如直徑,或與基板154(顯示於第1圖中)的尺寸D1 相同。在清潔處理期間可暴露基板支撐件104的表面142之部分。可利用環160以保護表面142的暴露的部分。如第2D圖中所顯示,環160為佈置於基板支撐件104的表面204上的遮影環。表面204與基板支撐件104的表面142非共面。環160覆蓋基板支撐件蓋202的邊緣部分。如第2E圖中所顯示,環160’可為並未覆蓋基板支撐件蓋202的邊緣部分的邊緣環。環160’覆蓋基板支撐件104的表面142的邊緣部分。類似於側蓋161(顯示於第1圖中),環160’佈置於基板支撐件104上,且在沉積及清潔處理兩者期間保留在基板支撐件104上。
第3A-3F圖根據此處所述的其他實施例,為基板支撐件蓋300的側視圖。如第3A圖中所顯示,基板支撐件蓋300包括塊狀層302及塗佈層304。塊狀層302包括與塗佈層304接觸的第一表面306,相對於第一表面306的第二表面308,及連接第一表面306及第二表面308的第三表面310。第二表面308在清潔處理期間與基板支撐件104(顯示於第2圖中)的表面142接觸。如第3A圖中所顯示,塊狀層302的第一表面306可為平順的。
塊狀層302可以矽(Si)、二氧化矽(SiO2 )、氮化鋁(AlN)、氧化鋁(AlO)、石英或其他適合的材料製成。塊狀層302可藉由任何適合的方法製成,例如燒結。塊狀層302具有從約100微米至約3000微米之範圍的厚度,例如從約500微米至約1500微米。塗佈層304可由與基板支撐件蓋202相同的材料製成。塗佈層304可以PVD、CVD、PECVD、ALD、離子輔助的沉積(IAD)、電漿噴灑、濕式塗佈、佈植或基於電漿或雷射的表面氟化、硼化及/或碳化而製成。塗佈層304具有從約1000埃至約10微米之範圍的厚度,例如從約5000埃至約1微米。塗佈層304暴露至處理區域120(顯示於第1圖中)。
如第3B圖中所顯示,基板支撐件蓋300包括塊狀層302及覆蓋塊狀層302的第一表面306及第三表面310的塗佈層304。如第3C圖中所顯示,基板支撐件蓋300包括塊狀層302及覆蓋塊狀層302的第一表面306、第二表面308及第三表面310的塗佈層304。
第3A-3C圖圖示塊狀層302具有平順的表面。在其他實施例中,塊狀層302的一或更多表面可紋路化,以避免塗佈層304從塊狀層302剝離。如第3D圖中所顯示,基板支撐件蓋300包括具有紋路化的第一表面306的塊狀層302,及佈置於第一表面306上的塗佈層304。塊狀層302進一步包括紋路化的第二表面308及紋路化的第三表面310。塗佈層304包括與塊狀層302的第一表面306接觸的第一表面314,及相對於第一表面314的第二表面312。塗佈層304的第二表面312可由於塊狀層302的紋路化的第一表面306而紋路化。
如第3E圖中所顯示,基板支撐件蓋300包括具有紋路化的第一表面306、第二表面308及紋路化的第三表面310的塊狀層302。塗佈層304佈置於第一表面306及第三表面310上。塗佈層304的第一表面314與塊狀層302的紋路化的第一表面306及紋路化的第三表面310接觸,且第二表面312相對於第一表面314。第二表面312可由於塊狀層302的紋路化的第一表面306及紋路化的第三表面310而紋路化。第一表面314亦可由於紋路化的第一表面306及紋路化的第三表面310而紋路化。
如第3F圖中所顯示,基板支撐件蓋300包括具有紋路化的第一表面306、紋路化的第二表面308及紋路化的第三表面310的塊狀層302。塗佈層304佈置於第一表面306、第二表面308及第三表面310上。塗佈層304的第一表面314與塊狀層302的紋路化的第一表面306、紋路化的第二表面308及紋路化的第三表面310接觸,且第二表面312相對於第一表面314。第二表面312可由於塊狀層302的紋路化的第一表面306、紋路化的第二表面308及紋路化的第三表面310而紋路化。在第3A-3F圖中所顯示的基板支撐件蓋300可取代基板支撐件蓋202(顯示於第2A及2C圖中)以在清潔處理中保護基板支撐件104(顯示於第2A或2D圖中)。
第4A-4C圖為具有基板支撐件蓋402佈置於其上的基板支撐件104的概要側視圖。基板支撐件蓋402並非塗佈在基板支撐件104上。反而,基板支撐件蓋402可移除地佈置於基板支撐件104上。換句話說,基板支撐件蓋402可放置於基板支撐件104上且從基板支撐件104提起。如第4A圖中所顯示,基板支撐件蓋402覆蓋基板支撐件104的表面142及側表面144。基板支撐件蓋402包括與基板支撐件104的表面142接觸的第一表面404,相對於第一表面404的第二表面406,從第一表面404延伸且面向基板支撐件104的側表面144的第三表面408,從第二表面406延伸且相對於第三表面408的第四表面410,及連接第三表面408及第四表面410的第五表面412。在某些實施例中,第三表面408及第四表面410實質上垂直於第一表面404及第二表面406。在一個實施例中,第一表面404及第二表面406為圓形的,第三表面408及第四表面410為圓柱形的,且第五表面412為環形的。基板支撐件蓋402可以與基板支撐件蓋202相同的材料製成。基板支撐件蓋402可藉由與基板支撐件蓋202相同的方法製成。基板支撐件蓋402暴露至處理區域120(顯示於第1圖中)。
在某些實施例中,如第4B圖中所顯示,環160於清潔處理期間佈置於基板支撐件蓋402上。環160在清潔處理期間被清潔。在某些實施例中,取代佈置於基板支撐件蓋202上,環160在清潔處理期間佈置於壁架150上。環160可包括與基板支撐件蓋300的塗佈層304相同的塗層。環160可為遮影環。
在某些實施例中,如第4C圖中所顯示,基板支撐件蓋402包括板403及側蓋161。板403可為基板支撐件蓋202。板403覆蓋表面142的中心部分,且側蓋161覆蓋表面142的邊緣部分及側表面144。側蓋161在基板154(顯示於第1圖中)的處理期間可保持在處理腔室中,例如處理腔室100。側蓋161可以與基板支撐件蓋202或基板支撐件蓋300相同的材料製成。
第5A-5D圖根據此處所述的其他實施例,為基板支撐件蓋500的側視圖。如第5A圖中所顯示,基板支撐件蓋500包括塊狀層502及塗佈層504。塊狀層502包括第一表面512,相對於第一表面512的第二表面506,從第一表面512延伸的第三表面514,從第二表面506延伸且相對於第三表面514的第四表面510,及連接第三表面514及第四表面510的第五表面508。在某些實施例中,第三表面514及第四表面510實質上垂直於第一表面512及第二表面506。在一個實施例中,第一表面512及第二表面506為圓形的,第三表面514及第四表面510為圓柱形的,且第五表面508為環形的。在清潔處理期間當基板支撐件蓋500放置於基板支撐件104上時,第一表面512可與表面142接觸,且第三表面514可面向基板支撐件104(第2A圖)的側表面144。塊狀層502可以與塊狀層302相同的材料製成。塗佈層504佈置於且與塊狀層502的第二表面506、第四表面510及第五表面508接觸。塗佈層504可以與塗佈層304相同的材料製成。塗佈層504可以藉由與塗佈層304相同的方法製成。塗佈層504暴露至處理區域120(顯示於第1圖中)。
如第5B圖中所顯示,基板支撐件蓋500包括塊狀層502及塗佈層504。塊狀層502的表面506、508、510、512、514藉由塗佈層504覆蓋且與塗佈層504接觸。在清潔處理期間當基板支撐件蓋500放置於基板支撐件104上時,與塊狀層502的第一表面512接觸的塗佈層504的部分可與表面142接觸,且第三表面514可面向基板支撐件104(第2A圖)的側表面144。
第5A-5B圖圖示塊狀層502具有平順表面。在其他實施例中,塊狀層502的一或更多表面可紋路化以避免塗佈層504從塊狀層502剝離。如第5C圖中所顯示,基板支撐件蓋500包括具有紋路化的第二表面506、紋路化的第四表面510及紋路化的第五表面508的塊狀層502。塗佈層504佈置於紋路化的第二表面506、紋路化的第四表面510及紋路化的第五表面508上。塗佈層504包括與塊狀層502的第二表面506、第四表面510及第五表面508接觸的第一表面520,及相對於第一表面520的第二表面522。塗佈層504的第二表面522可由於塊狀層502的紋路化的第二表面506、紋路化的第四表面510及紋路化的第五表面508而紋路化。
如第5D圖中所顯示,基板支撐件蓋500包括具有紋路化的第一表面512、紋路化的第二表面506、紋路化的第三表面514、紋路化的第四表面510及紋路化的第五表面508的塊狀層502。塗佈層504佈置於表面506、508、510、512、514上。塗佈層504的第一表面520與塊狀層502的紋路化的表面506、508、510、512、514接觸,且第二表面522相對於第一表面520。第二表面522可由於塊狀層302的紋路化的表面506、508、510、512、514而紋路化。如第5A-5D圖中所顯示的基板支撐件蓋500可取代基板支撐件蓋402(顯示於第4A圖中)在清潔處理中保護基板支撐件104(顯示於第2A圖中)。
第6A-6C圖根據另一實施例,為基板支撐件蓋600的各種視圖。如第6A圖中所顯示,在清潔處理期間於處理腔室中,例如處理腔室100(顯示於第1圖中),基板支撐件蓋600佈置於基板支撐件104上。基板支撐件蓋600包括覆蓋基板支撐件104的表面142的板602,及覆蓋基板支撐件104的側表面144的側蓋604。側蓋604耦合至板602,且相對於板602可移動。側蓋604包括頂部部分605,具有比側蓋604的其餘部分更大的尺寸。側蓋604延伸通過板602。在清潔處理期間,基板支撐件蓋600的板602佈置於基板支撐件104的表面142上,且歸因於重力側蓋604下落,以保護基板支撐件104的側表面144。頂部部分605避免側蓋604延伸通過板602。板602及側蓋604之各者可以與基板支撐件蓋202或基板支撐件蓋300相同的材料製成。
在基板支撐件蓋600的處置期間,機械手臂(未顯示)相對於頂部表面605接合側蓋604的表面607。如第6B圖中所顯示,側蓋604向上移動,使得表面607與板602的底部表面在相同的位準。第6C圖為基板支撐件蓋600的頂部視圖。如第6C圖中所顯示,側蓋604包括二或更多片段606。多個片段606使得側蓋604能夠相對於板602可移動。
第7圖為流程圖,顯示用於操作第1圖的處理腔室之方法700。方法700於操作702處開始,為在例如處理腔室100(顯示於第1圖中)的處理腔室中實行沉積處理。沉積處理包括放置例如基板154(顯示於第1圖中)的基板至處理腔室中,在基板上沉積例如介電層的層,且從處理腔室移除基板。在操作704處,在處理腔室中於基板支撐件上放置基板支撐件蓋。基板支撐件蓋可為基板支撐件蓋202、300、402、500或600,且基板支撐件可為基板支撐件104。基板支撐件蓋藉由機械手臂傳送至處理腔室中,且放置於例如舉升銷140(顯示於第1圖中)的舉升銷。基板支撐件接著抬升與基板支撐件蓋接觸,且抬升基板支撐件蓋至清潔位置。在某些實施例中,隨著基板支撐件抬升至清潔位置,例如環160的環可藉由基板支撐件或基板支撐件蓋舉升。
基板支撐件可維持在與操作702處所實行的沉積處理期間的處理溫度相同的溫度下。在一個實施例中,基板支撐件維持在提升的溫度下,例如大於攝氏500度或大於攝氏1000度。在另一實施例中,基板支撐件維持在大於或等於攝氏20度的溫度下。在一個實施例中,於操作702處的沉積處理以基板支撐件在第一溫度下實行,且於操作704處隨著基板支撐件蓋放置於其上,基板支撐件的溫度維持在第一溫度下。
下一步,於操作706處,在處理腔室中實行清潔處理。清潔處理可包括將例如含氟氣體或含氧氣體的清潔氣體流至處理腔室中。在某些實施例中,清潔氣體首先流至佈置於處理腔室上的遠端電漿源,且例如基團的清潔物種在遠端電漿源中形成。清潔物種接著流至處理腔室中以實行清潔處理。清潔氣體或清潔物種移除在腔室部件上累積的殘留材料,例如噴淋頭、邊緣或遮影環,例如環160或160’(顯示於第1圖中)、側蓋161(顯示於第1圖中)及/或腔室壁。然而,清潔氣體或清潔物種不會與基板支撐件蓋反應,且藉由基板支撐件蓋保護基板支撐件防止清潔氣體或清潔物種。
下一步,在操作708處,實行可選腔室陳化處理,以陳化處理腔室的部件,以便強化處理穩定性且降低週期性腔室維護。在操作710處,從基板支撐件移除基板支撐件蓋。在清潔處理或可選的陳化處理之後,基板支撐件降落至較低位置,且基板支撐件蓋藉由舉升銷支撐,且藉由機械手臂提起且移動離開處理腔室。在某些實施例中,操作708在操作710之後實行。在操作710之後,實行另一輪的操作702、704、706、708。
由氟化物材料製成的基板支撐件蓋用以在清潔處理期間保護基板支撐件。基於氟化物的基板支撐件蓋不會與清潔氣體或清潔物種反應,且隨著基板支撐件維持在提升的溫度下時不會形成可昇華的成產物。
儘管以上導向本揭露案的實施例,可衍生本揭露案的其他及進一步實施例而不會悖離其基本範疇。
100:處理腔室 102:腔室主體 104:基板支撐件 106:蓋組件 110:隔絕器 112:氣體分配器 114:導管 116:氣體混合區域 118:開口 120:處理區域 126:開口 128:加熱元件 130:連接器 132:功率源 141:電功率源 142:表面 143:桿 144:側表面 152:排氣 154:基板 161:側蓋 202:基板支撐件 300:基板支撐件蓋 302:塊狀層 304:塗佈層 306:第一表面 308:第二表面 310:紋路化的第三表面 312:第二表面 314:第一表面 402:基板支撐件蓋 403:板 404:第一表面 406:第二表面 408:第三表面 410:第四表面 412:第五表面 500:基板支撐件蓋 502:塊狀層 504:塗佈層 506:第二表面 508:第五表面 510:第四表面 512:第一表面 514:紋路化的第三表面 520:第一表面 522:第二表面 600:方法 602:操作 604:操作 606:操作
以此方式可詳細理解本揭露案以上所載之特徵,且以上簡要概述的本揭露案的更具體說明可藉由參考實施例而獲得,某些實施例圖示於隨附圖式中。然而,應理解隨附圖式僅圖示範例實施例,且因此不應考量為其範疇之限制,且可認可其他均等效果的實施例。
第1圖為處理腔室的概要剖面側視圖。
第2A-2E圖為具有基板支撐件蓋佈置於其上的基板支撐件的概要側視圖。
第3A-3F圖為基板支撐件蓋的側視圖。
第4A-4C圖為具有基板支撐件蓋佈置於其上的基板支撐件的概要側視圖。
第5A-5D圖為基板支撐件蓋的側視圖。
第6A-6C圖為基板支撐件蓋的各種視圖。
第7圖為流程圖,顯示用於操作第1圖的處理腔室之方法。
為了促進理解,已儘可能地使用相同的元件符號代表共通圖式中相同的元件。應考慮一個實施例的元件及特徵可有益地併入其他實施例中而無須進一步說明。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
142:表面
144:側表面
161:側蓋
402:基板支撐件蓋
403:板

Claims (20)

  1. 一種處理腔室,包含: 一腔室主體; 一基板支撐件,佈置於該腔室主體中,該基板支撐件包含一表面及連接至該表面的一側表面;及 一基板支撐件蓋,可移除地佈置於該基板支撐件上,該基板支撐件蓋包含一氟化物材料,且暴露至該處理腔室中的一處理區域。
  2. 如請求項1所述之處理腔室,其中該氟化物材料包含氟化鎂或一氟化稀土。
  3. 如請求項2所述之處理腔室,其中該氟化稀土包含氟化釔或氟化鑭。
  4. 如請求項3所述之處理腔室,其中該氟化鑭以硼及/或碳摻雜。
  5. 如請求項1所述之處理腔室,其中該基板支撐件蓋進一步包含一板,該板具有比該基板支撐件更小的一直徑。
  6. 如請求項5所述之處理腔室,其中該基板支撐件蓋進一步包含一側蓋,其中該側蓋佈置於該基板支撐件的該表面的一部分上,且其中該側蓋面向該側表面。
  7. 如請求項1所述之處理腔室,其中該基板支撐件蓋進一步包含與該基板支撐件的該表面接觸的一第一表面,相對於該第一表面的一第二表面,從該第一表面延伸且面向該基板支撐件的該側表面的一第三表面,從該第二表面延伸且相對於該第三表面的一第四表面,及連接該第三表面及該第四表面的一第五表面。
  8. 如請求項7所述之處理腔室,其中該基板支撐件蓋進一步包含一塊狀層,該塊狀層包括該等第一、第二、第三、第四及第五表面,其中該基板支撐件蓋進一步包含一塗佈層,該塗佈層佈置於該塊狀層的該等第一、第二、第三、第四及第五表面之至少一者上,且該塗佈層包含該氟化物材料。
  9. 如請求項8所述之處理腔室,其中該塊狀層包含矽、二氧化矽、氮化鋁、氧化鋁或石英,且該氟化物材料包含氟化鎂或一氟化稀土。
  10. 如請求項9所述之處理腔室,其中該氟化稀土包含氟化釔或氟化鑭。
  11. 如請求項10所述之處理腔室,其中該氟化鑭以硼及/或碳摻雜。
  12. 如請求項1所述之處理腔室,其中該基板支撐件蓋進一步包含一板,該板具有與該基板支撐件相同的一直徑。
  13. 如請求項1所述之處理腔室,其中該基板支撐件蓋進一步包含一塊狀層,該塊狀層具有第一、第二、第三及第四表面,其中該基板支撐件蓋進一步包含一塗佈層,該塗佈層佈置於該塊狀層的該等第一、第二、第三及第四表面之至少一者上,其中該塗佈層包含該氟化物材料。
  14. 如請求項13所述之處理腔室,其中該塊狀層包含矽、二氧化矽、氮化鋁、氧化鋁或石英,且該氟化物材料包含氟化鎂或一氟化稀土。
  15. 如請求項14所述之處理腔室,其中該氟化稀土包含氟化釔或氟化鑭。
  16. 如請求項15所述之處理腔室,其中該氟化鑭以硼及/或碳摻雜。
  17. 一種方法,包含以下步驟: 從一處理腔室移除一基板; 在佈置於該處理腔室中的一基板支撐件上放置一基板支撐件蓋,該基板支撐件蓋包含一氟化物材料;及 當該基板支撐件蓋在該基板支撐件上的同時,在該處理腔室中實行一清潔處理,該基板支撐件蓋的該氟化物材料於該清潔處理期間暴露至一清潔氣體或清潔物種。
  18. 如請求項17所述之方法,進一步包含以下步驟:在該清潔處理之後於該處理腔室中實行一陳化處理,其中該陳化處理以該基板支撐件蓋佈置於該基板支撐件上而實行。
  19. 一種基板支撐件蓋,包含: 一板,包含一氟化物材料;及 一側蓋,可移除地耦合至該板,該側蓋延伸通過該板。
  20. 如請求項19所述之基板支撐件蓋,其中該側蓋包含二或更多片段。
TW109112160A 2019-05-22 2020-04-10 用於高溫腐蝕環境之基板支撐件蓋 TWI788654B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962851461P 2019-05-22 2019-05-22
US62/851,461 2019-05-22

Publications (2)

Publication Number Publication Date
TW202113135A true TW202113135A (zh) 2021-04-01
TWI788654B TWI788654B (zh) 2023-01-01

Family

ID=73457707

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109112160A TWI788654B (zh) 2019-05-22 2020-04-10 用於高溫腐蝕環境之基板支撐件蓋

Country Status (6)

Country Link
US (1) US11866821B2 (zh)
JP (1) JP7427031B2 (zh)
KR (1) KR20210157921A (zh)
CN (1) CN113924387A (zh)
TW (1) TWI788654B (zh)
WO (1) WO2020236240A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020236240A1 (en) * 2019-05-22 2020-11-26 Applied Materials, Inc. Substrate support cover for high-temperature corrosive environment
US20210032750A1 (en) * 2019-07-31 2021-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Deposition apparatus and method of forming metal oxide layer using the same
US11837448B2 (en) * 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3017528B2 (ja) * 1990-11-27 2000-03-13 アプライドマテリアルズジャパン株式会社 プラズマ処理装置
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
US5589003A (en) * 1996-02-09 1996-12-31 Applied Materials, Inc. Shielded substrate support for processing chamber
US5810937A (en) 1996-03-13 1998-09-22 Applied Materials, Inc. Using ceramic wafer to protect susceptor during cleaning of a processing chamber
JP3423186B2 (ja) 1997-04-09 2003-07-07 東京エレクトロン株式会社 処理方法
AUPP723698A0 (en) * 1998-11-20 1998-12-17 Sola International Holdings Ltd Coated lens
AUPP740798A0 (en) * 1998-11-30 1998-12-24 Sola International Holdings Ltd Customised coated lens
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US8252410B2 (en) * 2007-09-05 2012-08-28 Applied Materials, Inc. Ceramic cover wafers of aluminum nitride or beryllium oxide
US8807075B2 (en) * 2008-09-22 2014-08-19 Applied Materials, Inc. Shutter disk having a tuned coefficient of thermal expansion
US20120107996A1 (en) 2010-10-30 2012-05-03 Applied Materials, Inc. Surface treatment process performed on a transparent conductive oxide layer for solar cell applications
SG192984A1 (en) * 2011-03-02 2013-09-30 Univ Nanyang Tech An electrode material and a method of generating the electrode material
KR20140117420A (ko) 2012-01-03 2014-10-07 어플라이드 머티어리얼스, 인코포레이티드 Si 태양 전지들의 표면 부동태화의 성능 및 안정성을 개선하기 위한 버퍼 층
US20150218700A1 (en) * 2013-03-08 2015-08-06 Applied Materials, Inc. Chamber component with protective coating suitable for protection against flourine plasma
KR102177738B1 (ko) * 2013-03-08 2020-11-11 어플라이드 머티어리얼스, 인코포레이티드 불소 플라즈마에 대한 보호에 적합한 보호 코팅을 갖는 챔버 컴포넌트
US20150333213A1 (en) 2014-05-19 2015-11-19 Applied Materials, Inc. Diamond-like carbon coatings for substrate carriers
KR102554832B1 (ko) 2015-05-07 2023-07-11 어플라이드 머티어리얼스, 인코포레이티드 챔버 컴포넌트들을 위한 부식 제어
US20170040146A1 (en) * 2015-08-03 2017-02-09 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US10612121B2 (en) * 2016-03-14 2020-04-07 Applied Materials, Inc. Plasma resistant coating with tailorable coefficient of thermal expansion
JP6443380B2 (ja) * 2016-04-12 2018-12-26 信越化学工業株式会社 イットリウム系フッ化物溶射皮膜、及び該溶射皮膜を含む耐食性皮膜
US11572617B2 (en) * 2016-05-03 2023-02-07 Applied Materials, Inc. Protective metal oxy-fluoride coatings
US9850573B1 (en) * 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
TWI721216B (zh) 2016-10-13 2021-03-11 美商應用材料股份有限公司 用於電漿處理裝置中的腔室部件、包含其之裝置及製造其之方法
US10636628B2 (en) * 2017-09-11 2020-04-28 Applied Materials, Inc. Method for cleaning a process chamber
US11043364B2 (en) * 2017-06-05 2021-06-22 Applied Materials, Inc. Process kit for multi-cathode processing chamber
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
US11562890B2 (en) * 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
WO2020236240A1 (en) * 2019-05-22 2020-11-26 Applied Materials, Inc. Substrate support cover for high-temperature corrosive environment
WO2021221886A1 (en) * 2020-04-29 2021-11-04 Applied Materials, Inc. Heater cover plate for uniformity improvement
US11837448B2 (en) * 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
US20230069395A1 (en) * 2021-08-30 2023-03-02 Applied Materials, Inc. Stress treatments for cover wafers

Also Published As

Publication number Publication date
JP7427031B2 (ja) 2024-02-02
US11866821B2 (en) 2024-01-09
CN113924387A (zh) 2022-01-11
WO2020236240A1 (en) 2020-11-26
TWI788654B (zh) 2023-01-01
JP2022533362A (ja) 2022-07-22
KR20210157921A (ko) 2021-12-29
US20200370174A1 (en) 2020-11-26

Similar Documents

Publication Publication Date Title
TWI447791B (zh) 氮化鋁或氧化鈹的陶瓷覆蓋晶圓
JP2021526585A (ja) 金属汚染を制御するためのチャンバのインシトゥcvd及びaldコーティング
TW202113135A (zh) 用於高溫腐蝕環境之基板支撐件蓋
US8017062B2 (en) Semiconductor processing components and semiconductor processing utilizing same
TWI632125B (zh) 基於稀土族氧化物的整體式腔室材料
KR101465640B1 (ko) 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
US20140272341A1 (en) Thermal treated sandwich structure layer to improve adhesive strength
US20170291856A1 (en) Solution precursor plasma spray of ceramic coating for semiconductor chamber applications
TW202117054A (zh) 於製程腔室表面或部件上形成保護塗層之方法
JP2017508891A (ja) 拡散接合されたプラズマ耐性のある化学気相堆積(cvd)チャンバヒータ
US20070032072A1 (en) Nucleation layer deposition on semiconductor process equipment parts
TW201601937A (zh) 用於高溫應用的耐電漿腐蝕薄膜塗層
JP2017011102A (ja) 炭化珪素膜の成膜装置のクリーニング方法
KR20170006807A (ko) 공정 챔버의 부품파트 및 화학기상증착에 의해 이트리아를 부품파트에 증착하는 방법
CN101418436A (zh) 氮化铝或氧化铍的陶瓷覆盖晶片
JP2007073823A (ja) セラミックス被覆材およびその製造方法
JP5876259B2 (ja) 窒化アルミニウム膜によって被覆された部材の製造方法
JP2015103652A (ja) 気相成長装置
US20240017299A1 (en) Methods for removing deposits on the surface of a chamber component
KR101064528B1 (ko) 솔라셀 트래이 및 그 제조방법
US20230051800A1 (en) Methods and apparatus for plasma spraying silicon carbide coatings for semiconductor chamber applications
US20230014146A1 (en) Film deposition device and substrate support device
US20220228260A1 (en) A chemical vapor deposition chamber article
US6346481B1 (en) Method of reducing pitting of a coated heater
JP2010182860A (ja) 原子層成長装置