TW202109842A - 記憶體元件及其製造方法 - Google Patents
記憶體元件及其製造方法 Download PDFInfo
- Publication number
- TW202109842A TW202109842A TW109117096A TW109117096A TW202109842A TW 202109842 A TW202109842 A TW 202109842A TW 109117096 A TW109117096 A TW 109117096A TW 109117096 A TW109117096 A TW 109117096A TW 202109842 A TW202109842 A TW 202109842A
- Authority
- TW
- Taiwan
- Prior art keywords
- gate
- transistor
- embedded insulating
- gate structure
- source
- Prior art date
Links
- 238000004519 manufacturing process Methods 0.000 title abstract description 9
- 239000000758 substrate Substances 0.000 claims abstract description 58
- 238000000034 method Methods 0.000 description 85
- 230000008569 process Effects 0.000 description 64
- 239000004065 semiconductor Substances 0.000 description 37
- 125000006850 spacer group Chemical group 0.000 description 36
- 238000005530 etching Methods 0.000 description 24
- 239000000463 material Substances 0.000 description 22
- 238000005192 partition Methods 0.000 description 21
- 230000006870 function Effects 0.000 description 16
- 239000011810 insulating material Substances 0.000 description 12
- 239000004020 conductor Substances 0.000 description 11
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 10
- 238000000059 patterning Methods 0.000 description 10
- 229910052710 silicon Inorganic materials 0.000 description 10
- 239000010703 silicon Substances 0.000 description 10
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 9
- 238000005229 chemical vapour deposition Methods 0.000 description 9
- 238000005137 deposition process Methods 0.000 description 8
- 229910052581 Si3N4 Inorganic materials 0.000 description 7
- 238000000231 atomic layer deposition Methods 0.000 description 7
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 7
- 238000010586 diagram Methods 0.000 description 6
- 230000015556 catabolic process Effects 0.000 description 5
- 239000003989 dielectric material Substances 0.000 description 5
- 229910010271 silicon carbide Inorganic materials 0.000 description 5
- 229910052814 silicon oxide Inorganic materials 0.000 description 5
- 230000000694 effects Effects 0.000 description 4
- 230000017525 heat dissipation Effects 0.000 description 4
- 238000001459 lithography Methods 0.000 description 4
- 230000003071 parasitic effect Effects 0.000 description 4
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 4
- 239000005380 borophosphosilicate glass Substances 0.000 description 3
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 239000005350 fused silica glass Substances 0.000 description 2
- 238000009413 insulation Methods 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 238000006467 substitution reaction Methods 0.000 description 2
- ITWBWJFEJCHKSN-UHFFFAOYSA-N 1,4,7-triazonane Chemical compound C1CNCCNCCN1 ITWBWJFEJCHKSN-UHFFFAOYSA-N 0.000 description 1
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 229910004129 HfSiO Inorganic materials 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 229910004200 TaSiN Inorganic materials 0.000 description 1
- 229910010038 TiAl Inorganic materials 0.000 description 1
- 229910010037 TiAlN Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 238000009825 accumulation Methods 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000007772 electroless plating Methods 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- RUFLMLWJRZAWLJ-UHFFFAOYSA-N nickel silicide Chemical compound [Ni]=[Si]=[Ni] RUFLMLWJRZAWLJ-UHFFFAOYSA-N 0.000 description 1
- 229910021334 nickel silicide Inorganic materials 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000005498 polishing Methods 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- -1 silicon carbide nitride Chemical class 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 229910003468 tantalcarbide Inorganic materials 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 239000010936 titanium Substances 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- 238000000101 transmission high energy electron diffraction Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B20/00—Read-only memory [ROM] devices
- H10B20/20—Programmable ROM [PROM] devices comprising field-effect components
- H10B20/25—One-time programmable ROM [OTPROM] devices, e.g. using electrically-fusible links
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L28/00—Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
- H01L28/20—Resistors
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B20/00—Read-only memory [ROM] devices
- H10B20/20—Programmable ROM [PROM] devices comprising field-effect components
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/525—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
- H01L23/5252—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising anti-fuses, i.e. connections having their state changed from non-conductive to conductive
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/525—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
- H01L23/5256—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
Landscapes
- Engineering & Computer Science (AREA)
- Power Engineering (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Semiconductor Memories (AREA)
- Design And Manufacture Of Integrated Circuits (AREA)
- Read Only Memory (AREA)
Abstract
本公開提供一種記憶體元件及一種記憶體元件的製造方法。所述記憶體元件包括電晶體、第一嵌入式絕緣結構及第二嵌入式絕緣結構。電晶體形成在基底上,且包括閘極結構、通道結構、源極電極及汲極電極。通道結構穿透閘極結構,且與源極電極及汲極電極接觸。第一嵌入式絕緣結構及第二嵌入式絕緣結構設置在基底中,且與源極電極及汲極電極交疊。第一嵌入式絕緣結構與第二嵌入式絕緣結構藉由基底的位於閘極結構下方的一部分在側向上彼此間隔開。
Description
本公開是有關於一種記憶體元件及其製造方法,且特別是有關於一種一次性可編程(One-time-programmable,OTP)記憶體元件及其製造方法。
一次性可編程(One-time-programmable,OTP)記憶體是一種其中數據僅可被寫入一次的非揮發性記憶體(non-volatile memory,NVM)。一旦OTP記憶體被編程,即使電源被移除,所寫入的數據也會被保留。OTP記憶體用於需要對數據進行可靠及可重複讀取的應用中。實例包括類比電路系統(analog circuitry)、感測器電路系統或顯示電路系統的啟動程式(boot code)、加密鑰(encryption key)及配置參數。就OTP記憶體的操作來說,對OTP記憶體進行編程需要高電壓。由於具有較低編程電壓的OTP記憶體可與其他積體電路更兼容,因此降低編程電壓的方法是所述領域中的重要目標之一。
在本公開的一個方面中,提供一種記憶體元件。所述記憶體元件包括:電晶體,形成在基底上,且包括閘極結構、通道結構、源極電極及汲極電極,其中所述源極電極及所述汲極電極位於所述閘極結構的相對兩側處,所述通道結構穿透所述閘極結構,且與所述源極電極及所述汲極電極接觸;以及第一嵌入式絕緣結構及第二嵌入式絕緣結構,設置在所述基底中且與所述電晶體的所述源極電極及所述汲極電極交疊,其中所述第一嵌入式絕緣結構藉由所述基底的位於所述閘極結構下方的一部分與所述第二嵌入式絕緣結構在側向上間隔開。
在本公開的另一方面中,提供一種記憶體元件。所述記憶體元件包括:第一電晶體,形成在基底上,且包括閘極結構、源極電極及汲極電極,其中所述源極電極及所述汲極電極位於所述閘極結構的相對兩側處;嵌入式絕緣結構,形成在所述基底中,且與所述第一電晶體的所述源極電極或所述汲極電極交疊,其中在所述閘極結構的一側處的所述嵌入式絕緣結構不延伸到所述閘極結構的相對側;以及一次性可編程元件,形成在所述基底上且電耦合到所述第一電晶體的所述源極電極或所述汲極電極。
在本公開的又一方面中,提供一種記憶體元件的製造方法。所述方法包括:在基底上形成堆疊結構,其中所述堆疊結構沿第一方向延伸,且所述堆疊結構包括交替地堆疊在所述基底上的半導體層及犧牲層;在所述基底上形成犧牲閘極結構,其中所述犧牲閘極結構沿與所述第一方向相交的第二方向延伸,且覆蓋所述堆疊結構的一部分;移除所述堆疊結構的不與所述犧牲閘極結構交疊的部分;在所述基底的暴露表面處形成至少一個凹陷,其中所述至少一個凹陷位於所述犧牲閘極結構的相對兩側中的一者或兩者處;將絕緣材料填充到所述至少一個凹陷中,以形成至少一個嵌入式絕緣結構;在所述犧牲閘極結構的所述相對兩側處形成源極電極及第二電極,其中剩餘的所述堆疊結構中的所述半導體層連接在所述源極電極與所述汲極電極之間,且所述源極電極及所述汲極電極中的至少一者與所述至少一個嵌入式絕緣結構交疊;以及用閘極結構替換所述犧牲閘極結構,且移除所述犧牲層。
以下公開內容提供用於實施所提供主題的不同特徵的許多不同的實施例或實例。以下闡述組件及排列的具體實例以簡化本公開。當然,這些僅為實例而非旨在進行限制。例如,在以下說明中,在第二特徵之上或第二特徵上形成第一特徵可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成附加特徵從而使得第一特徵與第二特徵可不直接接觸的實施例。另外,本公開在各種實例中可重複使用參考編號和/或字母。此種重複使用是為了簡明及清晰起見,且自身並不表示所論述的各種實施例和/或配置之間的關係。
此外,為易於說明,本文中可能使用例如“在…之下”、“在…下面”、“下部的”、“在…上方”、“上部的”等空間相對性用語來闡述圖中所示一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的取向外還囊括元件在使用或操作中的不同取向。裝置可具有其他取向(旋轉90度或其他取向),且本文中所使用的空間相對性描述語可同樣相應地進行解釋。
可藉由任何合適的圖案化方法來形成全包圍閘極(gate all around,GAA)電晶體結構。例如,可使用包括雙重圖案化製程或多重圖案化製程在內的一種或多種微影製程將結構圖案化。一般來說,雙重圖案化製程或多重圖案化製程結合微影製程與自對準製程(self-aligned process),從而使得待形成的圖案具有例如比原本可使用單個、直接微影製程獲得的節距(pitch)小的節距。例如,在一個實施例中,在基底之上形成犧牲層並使用微影製程將所述犧牲層圖案化。使用自對準製程在圖案化犧牲層旁邊形成間隔壁。接著移除犧牲層,且接著可使用剩餘的間隔壁形成GAA結構。
圖1是示出根據本公開一些實施例的具有反熔絲單元100的記憶體元件10的方塊圖。圖2A是示出圖1中所示反熔絲單元100中的一者的三維示意圖。
參照圖1,記憶體元件10包括多個反熔絲單元100。在一些實施例中,反熔絲單元100中的每一者包括讀取電晶體TR及編程電晶體(program transistor)TP。讀取電晶體TR與編程電晶體TP藉由共用源極/汲極電極連接在一起,且電耦合到位元線BL。另外,讀取電晶體TR及編程電晶體TP的閘電極分別電耦合到讀取字元線WLR及編程字元線(program word line)WLP。閘極介電質擊穿(Gate dielectric breakdown)可作為一次性編程機制,且可藉由向編程字元線WLP施加高電壓來實現。在編程電晶體TP的閘極介電質擊穿之前,編程電晶體TP的閘極與源極/汲極電極之間的區域可視為電容器,且編程電晶體TP可被視為處於高電阻狀態(即,具有邏輯數據“1”)。當編程字元線WLP接收到高電壓時,在編程電晶體TP中可能發生閘極介電質擊穿,且可能形成穿透編程電晶體TP的閘極介電質的導電路徑。藉由此種方式,編程電晶體TP的閘極與源極/汲極電極之間的區域可視為電阻器,且編程電晶體TP可被視為處於低電阻狀態(即,具有邏輯數據“0”)。由於閘極介電質擊穿是不可恢復的,因此編程電晶體TP起到OTP元件的作用。另一方面,在讀取操作期間,藉由導通讀取電晶體TR且在編程字元線WLP與位元線BL之間施加電壓差,可檢測編程電晶體TP的電阻狀態。在一些實施例中,反熔絲單元100排列成具有多個列(row)及多個行(column)的陣列。反熔絲單元100的行可共用讀取字元線WLR中的一者及編程字元線WLP中的一者,且可各自電耦合到位元線BL中的一者。另外,每一位元線BL由一列反熔絲單元100共用。在一些實施例中,讀取字元線WLR及編程字元線WLP由字元線驅動器WLD控制,而位元線BL由位元線驅動器BLD控制,且可藉由使用電耦合到位元線驅動器BLD的感測放大器SA來讀出反熔絲單元100的電阻狀態進行。
參照圖1及圖2A,圖2A中繪示圖1中所示反熔絲單元100中的一者。反熔絲單元100的編程電晶體TP及讀取電晶體TR分別包括閘極結構102及位於閘極結構102的相對兩側處的一對源極/汲極電極104。閘極結構102及源極/汲極電極104沿第一方向X排列,且沿與第一方向X相交(例如,垂直於第一方向X)的第二方向Y延伸。閘極結構102中的一者是如圖1中所示讀取字元線WLR的一部分,且閘極結構102中的另一者是如圖1中所示編程字元線WLP的一部分。另外,位於閘極結構102之間的源極/汲極電極104中的一者由編程電晶體TP與讀取電晶體TR共用。在一些實施例中,閘極結構102及源極/汲極電極104形成在基底SB(例如半導體晶圓或絕緣體上半導體(semiconductor-on-insulator,SOI)晶圓)之上。另外,編程電晶體TP進一步包括至少一個嵌入式絕緣結構106。所述至少一個嵌入式絕緣結構106形成在基底SB中,且從基底SB的頂表面延伸到基底SB中。在一些實施例中,如圖2A中所示,編程電晶體TP包括多個嵌入式絕緣結構106,所述多個嵌入式絕緣結構106在側向上彼此分開,且被排列為沿第二方向Y延伸的兩行,且位於編程電晶體TP的閘極結構102的相對兩側處。所述兩行嵌入式絕緣結構106分別與源極/汲極電極104交疊。另外,嵌入式絕緣結構106可或可不進一步延伸到位於編程電晶體TP的閘極結構102的周邊部分下方的區域。儘管如此,所述兩行嵌入式絕緣結構106藉由基底SB的一部分在側向上仍彼此間隔開。也就是說,編程電晶體TP的閘極結構102可不完全地與嵌入式絕緣結構106交疊,且嵌入式絕緣結構106中的每一者可不延伸跨過閘極結構102的相對兩側。在一些實施例中,如圖2A中所示,嵌入式絕緣結構106的一些側壁與編程電晶體TP的閘極結構102的相對側壁實質上共面。另一方面,嵌入式絕緣結構106可不同時與讀取電晶體TR的兩個源極/汲極電極104交疊。例如,如圖2A中所示,讀取電晶體TR的源極/汲極電極104中的一者與嵌入式絕緣結構106中的一些交疊,而讀取電晶體TR的另一源極/汲極電極104不與嵌入式絕緣結構106中的任何一者交疊。另外,位於共用源極/汲極電極104下方的嵌入式絕緣結構106可或可不進一步延伸到位於讀取電晶體TR的閘極結構102的周邊部分下方的區域,但是讀取電晶體TR的閘極結構102可不完全地與這些嵌入式絕緣結構106交疊,且每一嵌入式絕緣結構106可不延伸跨過此閘極結構102的相對兩側。在一些實施例中,如圖2A中所示,位於共用源極/汲極電極104下方的嵌入式絕緣結構106的一些側壁與讀取電晶體TR的閘極結構102的一側壁實質上共面。
在編程電晶體TP的操作期間,可能意外地形成閘極漏電。此閘極漏電從編程電晶體TP的閘極結構102下方沿漏電路徑(leakage path)而往外擴散。藉由設置嵌入式絕緣結構106,可切斷編程電晶體TP下方的漏電路徑。因此,在編程操作期間,可避免來自於電耦合到同一編程字元線WLP(如圖1中所示)的未選擇編程電晶體TP的意外閘極漏電加總而形成龐大的漏電電流。此龐大的漏電流可能導致沿編程字元線WLP的顯著電壓降(voltage drop),且可能需要附加的泵浦電路(pumping circuit)來提高施加到編程字元線WLP的編程電壓,以便確保閘極介電質擊穿將發生在所選擇的編程電晶體TP中。換句話說,藉由設置嵌入式絕緣結構106,記憶體元件10可藉由相對低的編程電壓來進行編程,且在記憶體元件10中可能不需要被配置成提高編程電壓的泵浦電路。因此,具有嵌入式絕緣結構106的記憶體元件10可與其他積體電路更兼容。此外,由於嵌入式絕緣結構106不位於讀取電晶體TR的兩個源極/汲極電極104的下方,因此可降低讀取電晶體TR的操作速度受嵌入式絕緣結構106所造成的寄生電容的影響。
在一些實施例中,反熔絲單元100進一步包括虛設閘極結構108(例如,兩個虛設閘極結構108)。虛設閘極結構108設置在基底SB上。另外,虛設閘極結構108沿第二方向Y延伸,且閘極結構102排列在虛設閘極結構108之間。一行嵌入式絕緣結構106可位於編程電晶體TP的閘極結構102與虛設閘極結構108中的一者之間。另外,此行嵌入式絕緣結構106可或可不進一步延伸到位於虛設閘極結構108下方的區域,但不與整個虛設閘極結構108交疊,且可不延伸跨過虛設閘極結構108的相對兩側。虛設閘極結構108可為電性浮置,或者接收可能無法導通寄生電晶體的電壓。所述寄生電晶體各自由虛設閘極結構108中的一者及位於虛設閘極結構108下方的基底SB的一部分形成。藉由此種電壓配置方式,可減少相鄰反熔絲單元100之間的干擾。
圖2B是示出如圖2A中所示反熔絲單元100的平面示意圖。圖2C是沿圖2B中所示的線A-A’的橫截面示意圖。圖2D是沿圖2B中所示的線B-B’的橫截面示意圖。
如圖2B中所示,在一些實施例中,嵌入式絕緣結構106分開排列在編程電晶體TP的閘極結構102的相對兩側處,且與編程電晶體TP的一對源極/汲極電極104交疊。一行嵌入式絕緣結構106位於編程電晶體TP的閘極結構102與虛設閘極結構108中的一者之間,而另一行嵌入式絕緣結構106位於編程電晶體TP與讀取電晶體TR的閘極結構102之間。另外,源極/汲極電極104的與嵌入式絕緣結構106交疊的部分從源極/汲極電極104的近端(面向編程電晶體TP的閘極結構102)延伸到源極/汲極電極104的遠端(背向編程電晶體TP的閘極結構102)。
如圖2C中所示,在一些實施例中,讀取電晶體TR及編程電晶體TP是全包圍閘極(GAA)場效電晶體(field effect transistor,FET)。在這些實施例中,讀取電晶體TR及編程電晶體TP分別包括通道結構110,且閘極結構102分別包括閘極介電層112、功函數層114、導電材料116、閘極間隔壁118及內部間隔壁120。通道結構110可為半導體片材(semiconductor sheet)。通道結構110沿第一方向X穿過閘極結構102,且與位於閘極結構102的相對兩側處的源極/汲極電極104接觸。通道結構110可被劃分成多個組。儘管未示出,多組通道結構110沿第二方向Y分開排列。如圖2C中所示,每一組包括多於一個通道結構110,且每一組中的通道結構110沿垂直方向Z分開排列。內部間隔壁120中的兩者位於垂直相鄰的通道結構110之間或者最底部的通道結構110與基底SB之間,且在側向上彼此間隔開。內部間隔壁120的外側壁界定閘極結構102的相對側壁的一部分,且與位於閘極結構102的相對兩側處的源極/汲極電極104接觸。閘極間隔壁118的一些部分位於最頂部的通道結構110上,且彼此間隔開。閘極間隔壁118的外側壁界定通道結構110的相對側壁的另一部分,且可或可不與位於閘極結構102的相對兩側處的源極/汲極電極104接觸。閘極介電層112、功函數層114及導電材料116填充在界定於閘極間隔壁118、通道結構110及內部間隔壁120之間的空間中。通道結構110被閘極介電層112包繞。另外,閘極介電層112可進一步覆蓋基底SB的表面,且覆蓋閘極間隔壁118及內部間隔壁120的內側壁。功函數層114覆蓋閘極介電層112,且閘極結構102中的上述空間的剩餘部分被導電材料116填充。導電材料116及功函數層114可共同地起到閘電極的作用。當藉由向閘電極施加適當的電壓來導通電晶體(即,讀取電晶體TR或編程電晶體TP)時,可在通道結構110中誘導產生電荷,且可在位於閘極結構102的相對兩側處的源極/汲極電極104之間形成導電路徑。在反熔絲單元100的編程操作期間,編程電晶體TP的閘電極可接收高電壓,此可導致擊穿閘極介電層112的位於通道結構110與閘電極之間的部分,從而使得邏輯數據“1”可被寫入反熔絲單元100中。
儘管未示出,反熔絲單元100可進一步包括穿過虛設閘極結構108(如圖2B中所示)的附加通道結構。另外,可形成附加的閘極介電層、功函數層、導電材料、內部間隔壁及閘極間隔壁以包繞附加的通道結構。虛設閘極結構108在結構上相似於閘極結構102,且本文中不再對其予以贅述。此外,在替代實施例中,讀取電晶體TR及編程電晶體是鰭型場效電晶體(fin-type FET,finFET)。在這些替代實施例中,如圖2C中所示的每一組通道結構110可由半導體鰭結構替換,且此種半導體鰭結構的頂表面及相對側壁被閘極介電層、功函數層及導電材料覆蓋。
如圖2D中所示,在一些實施例中,一行嵌入式絕緣結構106沿第二方向Y分開排列,且與源極/汲極電極104中的一者交疊。所屬領域中具有通常知識者可根據設計要求調整嵌入式絕緣結構106的尺寸、形狀及相鄰嵌入式絕緣結構106之間的間距,本公開不限於此。
圖3A至圖3E是示出根據本公開其他實施例的反熔絲單元100a、100b、100c、100d及100e的俯視圖。如圖3A至圖3E中所示的反熔絲單元100a-100e相似於如圖2A至圖2D中所示的反熔絲單元100。以下僅闡述其之間的不同,相同或類似的部分將不再重複。
參照圖3A,在一些實施例中,源極/汲極電極104中的一者的與一些嵌入式絕緣結構106a交疊的部分未到達此源極/汲極電極104的遠端(背向編程電晶體TP的閘極結構102)。即,此源極/汲極電極104的背向編程電晶體TP的閘極結構102的一部分不與嵌入式絕緣結構106a中的任何一者交疊。舉例而言,共用源極/汲極電極104的與一些嵌入式絕緣結構106a交疊的部分未到達此共用源極/汲極電極104的遠端,且共用源極/汲極電極104的背向編程電晶體TP的閘極結構102的部分不與嵌入式絕緣結構106a交疊。因此,這些嵌入式絕緣結構106a與讀取電晶體TR的閘極結構102在側向上間隔開。這些嵌入式絕緣結構106a可具有寬度W,寬度W小於與位於編程電晶體TP的閘極結構102和虛設閘極結構108中的一者之間的源極/汲極電極104交疊的其他嵌入式絕緣結構106a的寬度W1。另外,讀取電晶體TR的閘極結構102與最靠近的嵌入式絕緣結構106a之間可存在非零側向間距S,且側向間距S比相鄰閘極結構102之間的側向間距S1短。由於在讀取電晶體TR的閘極結構102與最靠近的嵌入式絕緣結構106a之間具有非零側向間距S,讀取電晶體TR受這些嵌入式絕緣結構106a所造成的寄生電容的影響可較小,且可提高讀取電晶體TR的操作速度。
參照圖3B,編程電晶體TP的兩個源極/汲極電極104的與嵌入式絕緣結構106b交疊的部分未到達源極/汲極電極104的遠端(背向編程電晶體TP的閘極結構102)。即,這些源極/汲極電極104的遠離編程電晶體TP的閘極結構102的部分不與嵌入式絕緣結構106b中的任何一者交疊。藉由此種方式,位於閘極結構102與虛設閘極結構108中的一者之間的一行嵌入式絕緣結構106b與虛設閘極結構108在側向上間隔開非零側向間距S2,且另一行嵌入式絕緣結構106b與讀取電晶體TR的閘極結構102在側向上間隔開非零側向間距S3。另外,嵌入式絕緣結構106b可分別具有寬度W2,寬度W2小於相鄰閘極結構102之間的間距S1及編程電晶體TP的閘極結構102與最靠近的虛設閘極結構108之間的間距S4。
參照圖3C,單個嵌入式絕緣結構106c與位於編程電晶體TP的閘極結構102和最靠近的虛設閘極結構108之間的源極/汲極電極104交疊,且另一單個嵌入式絕緣結構106c位於由讀取電晶體TR與編程電晶體TP共用的源極/汲極電極104下方。每一嵌入式絕緣結構106c可被視為由一行嵌入式絕緣結構106(如圖2B中所示)合並而成的結構。在一些實施例中,嵌入式絕緣結構106c的沿第二方向Y的長度L可實質上等於或大於源極/汲極電極104的沿第二方向Y的長度L1。
參照圖3C及圖3D,除圖3D中所示編程電晶體TP的源極/汲極電極104中的一者的與嵌入式絕緣結構106d交疊的部分未到達此源極/汲極電極104的遠端(背向編程電晶體TP的閘極結構102)以外,圖3D中所示的實施例相似於參照圖3C闡述的實施例。在圖3D所示的實施例中,此源極/汲極電極104的遠離編程電晶體TP的閘極結構102的一部分不與嵌入式絕緣結構106d中的任何一者交疊。例如,共用源極/汲極電極104的與嵌入式絕緣結構106d中的一者交疊的一部分未到達此共用源極/汲極電極104的遠端,且共用源極/汲極電極104的遠離編程電晶體TP的閘極結構102的一部分不與嵌入式絕緣結構106d交疊。因此,與共用源極/汲極電極104交疊的嵌入式絕緣結構106d與讀取電晶體TR的閘極結構102在側向上間隔開。與參照圖3A闡述的實施例相似,此嵌入式絕緣結構106d可具有寬度W,寬度W小於另一嵌入式絕緣結構106d的寬度W1。另外,讀取電晶體TR的閘極結構102與此嵌入式絕緣結構106d之間存在非零側向間距S。
參照圖3D及圖3E,除如圖3E中所示編程電晶體TP的兩個源極/汲極電極104的與嵌入式絕緣結構106e交疊的部分未到達這些源極/汲極電極104的遠端(背向編程電晶體TP的閘極結構102)以外,圖3E中所示的實施例相似於如參照圖3D闡述的實施例。在圖3E所示的實施例中,這些源極/汲極電極104的遠離編程電晶體TP的閘極結構102的部分不與嵌入式絕緣結構106e中的任何一者交疊。與參照圖3B闡述的實施例相似,圖3E中所示的嵌入式絕緣結構106e可具有寬度W2,寬度W2小於相鄰閘極結構102之間的間距S1及編程電晶體TP的閘極結構102與最靠近的虛設閘極結構108之間的間距S4。另外,位於編程電晶體TP的閘極結構102和最靠近的虛設閘極結構108之間的源極/汲極電極104下方的嵌入式絕緣結構106e與此虛設閘極結構108在側向上間隔開間距S2,且位於共用源極/汲極電極104下方的嵌入式絕緣結構106e與讀取電晶體TR的閘極結構102在側向上間隔開間距S3。
圖4是示出根據本公開一些實施例的由保護環122包圍的一些反熔絲單元(例如,如圖2B中所示的反熔絲單元100)的平面示意圖。
參照圖4,保護環122在側向上環繞一些反熔絲單元100。即使保護環122被繪示為在側向上環繞兩個反熔絲單元100,保護環122也可在側向上環繞單個反熔絲單元100或多於兩個反熔絲單元100。在一些實施例中,保護環122包括多個虛設單元124。虛設單元124可在側向上彼此分開。虛設單元124及反熔絲單元100可排列成陣列,其中反熔絲單元100被虛設單元124環繞。排列在同一列中的一些虛設單元124(或者一些虛設單元124及一些反熔絲單元100)可具有相同的沿第二方向Y的長度,且排列在同一行中的一些虛設單元124(或一些虛設單元124及一些反熔絲單元100)可具有相同的沿第一方向X的寬度。除虛設單元124可不包括嵌入式絕緣結構106以外,虛設單元124相似於反熔絲單元100。在一些實施例中,虛設單元124包括閘極結構126及源極/汲極電極128。與圖2B中所示反熔絲單元100的閘極結構102及虛設閘極結構108相似,虛設單元124的閘極結構126沿第一方向X排列,且沿第二方向Y延伸。另外,與圖2B中所示反熔絲單元100的源極/汲極電極104相似,虛設單元124的源極/汲極電極128位於相鄰的閘極結構126之間。在一些實施例中,虛設單元124可進一步包括與圖2C中所示通道結構110相似的通道結構(未示出),且閘極結構126可分別包含如圖2C中所示的組件(例如,如圖2C中所示的閘極介電層112、功函數層114、導電材料116、閘極間隔壁118及內部間隔壁120)。此外,虛設單元124可為電性浮置,或者虛設單元中的電晶體可維持在關斷狀態(off state)。
圖5A及圖5B是示出根據本公開一些實施例的反熔絲單元100f及100g的橫截面示意圖。圖5A及圖5B中所示反熔絲單元100f及100g相似於如圖2C中所示的反熔絲單元100。以下僅闡述其之間的不同,相同或類似的部分將不再重複。
參照圖5A,反熔絲單元100f的嵌入式絕緣結構106f與編程電晶體TP及讀取電晶體TR的閘極結構102部分地交疊。在一些實施例中,嵌入式絕緣結構106f延伸到位於閘極結構102的內部間隔壁120下方的區域。舉例而言,每一閘極結構102與下伏的嵌入式絕緣結構106f的交疊寬度W3相對於每一閘極結構102的寬度W4的比率可大於0,且小於或等於0.5。
參照圖5B,在一些實施例中,嵌入式絕緣結構106g可為多層式結構。例如,嵌入式絕緣結構106g可包括第一絕緣層IL1及形成在第一絕緣層IL1之上的第二絕緣層IL2。第二絕緣層IL2可與上覆的源極/汲極電極104接觸。另外,第一絕緣層IL1及第二絕緣層IL2可由選自由例如氧化矽、氮化矽、氮氧化矽、氧化鋯或類似物組成的群組的不同絕緣材料製成。
圖6是示出根據本公開一些實施例的具有電熔絲單元200的記憶體元件20的方塊圖。圖7A是示出圖6中所示電熔絲單元200中的一者的平面示意圖。
參照圖6,記憶體元件20包括多個電熔絲單元200。在一些實施例中,電熔絲單元200中的每一者包括選擇電晶體TS及熔絲電阻器FR。熔絲電阻器FR電耦合到選擇電晶體TS的源極/汲極電極以及位元線BL,且選擇電晶體TS的另一源極/汲極電極電耦合到源極線SL。另外,選擇電晶體TS的閘電極電耦合到字元線WL。在編程操作期間,選擇電晶體TS由藉由字元線WL提供的電壓導通,且大電流藉由位元線BL提供到熔絲電阻器FR。作為大輸入電流的結果,熔絲電阻器FR可能由於熱效應及電遷移效應而斷裂,造成熔絲電阻器FR的電阻顯著增加。換言之,熔絲電阻器FR在斷裂之前處於低電阻狀態(即,具有邏輯數據“0”),且在斷裂之後變為高電阻狀態(即,具有邏輯數據“1”)。由於熔絲電阻器FR的斷裂是不可恢復的,因此熔絲電阻器FR起到OTP元件的作用。另一方面,在讀取操作期間,選擇電晶體TS由藉由字元線WL提供的電壓導通,且位元線BL被配置成接收讀取電壓。藉由此種方式,藉由檢測對應於讀取電壓的反饋電流,可檢測熔絲電阻器FR的電阻狀態。在一些實施例中,電熔絲單元200排列成具有多個列及多個行的陣列。一行電熔絲單元200可共用位元線BL中的一者及源極線SL中的一者,且一列電熔絲單元200可共用字元線WL中的一者。在一些實施例中,字元線WL由字元線驅動器WLD控制。位元線BL在編程操作期間由第一位元線驅動器BD1控制,且在讀取操作期間由第二位元線驅動器BD2控制。另外,可藉由使用電耦合到第二位元線驅動器BD2的感測放大器SA來讀出電熔絲單元200的電阻狀態。
參照圖7A,圖7A中繪示圖6中所示電熔絲單元200中的一者。在一些實施例中,電熔絲單元200的選擇電晶體TS可包括彼此連接的多個子電晶體,因此可降低選擇電晶體TS的導通電阻(Ron),從而確保在編程操作期間熔絲電阻器FR的斷裂。在這些實施例中,選擇電晶體TS可包括多個閘極結構202及多個源極/汲極電極204。閘極結構202及源極/汲極電極204沿第一方向X交替排列,且沿第二方向Y延伸。閘極結構202中的每一者設置在一對源極/汲極電極204之間,且電熔絲單元200的閘極結構202可電耦合到如圖6中所示的字元線WL中的一者。另外,最外部的源極/汲極電極204中的一者可藉由熔絲電阻器FR電耦合到位元線BL(如圖6中所示)中的一者,且另一最外部的源極/汲極電極204可電耦合到源極線SL(如圖6中所示)中的一者。與圖2A中所示編程電晶體TP及讀取電晶體TR相似,圖7A中所示的選擇電晶體TS的閘極結構202及源極/汲極電極204設置在基底SB之上,且選擇電晶體TS進一步包括從基底SB的頂表面延伸到基底SB中的嵌入式絕緣結構206。在一些實施例中,嵌入式絕緣結構206分別位於源極/汲極電極204中的一者下方。在這些實施例中,與參照圖3C闡述的實施例相似,圖7A中所示的每一嵌入式絕緣結構206可沿第二方向Y延伸,且可與實質上整個上覆的源極/汲極電極204交疊。藉由此種方式,嵌入式絕緣結構206的長度(沿第二方向Y)可實質上等於或大於源極/汲極電極204的長度(沿第二方向Y)。另外,選擇電晶體TS的總面積的約30%至80%可與嵌入式絕緣結構206交疊。此外,在一些實施例中,這些子電晶體是GAA FET。在這些實施例中,與參照圖2C闡述的實施例相似,多個通道結構(未示出)可穿透每一閘極結構202且連接一對源極/汲極電極204。另外,閘極結構202可分別包含如圖2C中所示的組件(例如,如圖2C中所示的閘極介電層112、功函數層114、導電材料116、閘極間隔壁118及內部間隔壁120)。此外,與如參照圖2A闡述的實施例相似,電熔絲單元200可包括虛設閘極結構208。虛設閘極結構208在結構上相似於閘極結構202,且閘極結構202及源極/汲極電極204可排列在虛設閘極結構208之間。
另一方面,熔絲電阻器FR可形成在位於選擇電晶體TS之上的內連線結構(未示出)中,且可藉由內連線結構(未示出)中的一些內連線電耦合到選擇電晶體TS。熔絲電阻器FR與選擇電晶體TS之間的此種電耦合可見於圖6中。在一些實施例中,熔絲電阻器FR與選擇電晶體TS在側向上間隔開。另外,在一些實施例中,熔絲電阻器FR具有兩個接墊部分PD及連接在接墊部分PD之間的橋接部分BG。舉例而言,接墊部分PD可以相同的高度沿第一方向X排列,且橋接部分BG可在接墊部分PD之間沿相同的方向(即,第一方向X)延伸。在電熔絲單元200的編程操作期間,橋接部分BG可能由於熱效應及電遷移效應而斷裂,因此熔絲電阻器FR的電阻顯著增加,且邏輯數據“1”可被寫入電熔絲單元200中。在一些實施例中,橋接部分BG可為熔絲電阻器FR的頸縮部分(necking portion),從而使得在編程操作期間橋接部分BG可確保斷裂的產生。如圖7A中所示,橋接部分BG沿第二方向Y的長度L2可比接墊部分PD沿第二方向Y的長度L3小得多。作為另外一種選擇,長度L2可實質上等於長度L3。舉例而言,長度L2相對於長度L3的比率的範圍可介於0.1至1。
由絕緣材料製成的嵌入式絕緣結構206具有比包含半導體材料的基底SB的散熱能力低得多的散熱能力。因此,藉由用嵌入式絕緣結構206替換基底SB的部分,可減小基底SB的總體積,且包括基底SB及嵌入式絕緣結構206的結構可具有相對低的散熱能力(與未用絕緣材料部分地替換的半導體基底的散熱能力相比)。藉由此種方式,在電熔絲單元200的編程操作期間,熱能可更集中在熔絲電阻器FR處,而可促進熔絲電阻器FR的斷裂。因此,可降低電熔絲單元200的編程電壓,且具有嵌入式絕緣結構206的記憶體元件20可與其他積體電路更兼容。
在替代實施例中,與參照圖2B、圖3A、圖3B、圖3D及圖3E闡述的實施例相似,嵌入式絕緣結構206可分別用分開的嵌入式絕緣結構替換,和/或至少一些嵌入式絕緣結構206的寬度(沿第一方向X)可被調整成小於相鄰閘極結構202之間的間距。
圖7B是示出根據本公開一些實施例的電熔絲單元200a的平面示意圖。圖7B中所示電熔絲單元200a相似於如圖7A中所示的電熔絲單元200。將僅闡述其之間的不同,相同或類似的部分將不再重複。
參照圖7B,在一些實施例中,每一子電晶體的源極/汲極電極204中的一者與嵌入式絕緣結構206a中的一者交疊,而每一子電晶體的源極/汲極電極204中的另一者不與嵌入式絕緣結構206a中的任何一者交疊。藉由此種方式,嵌入式絕緣結構206a可沿閘極結構202的排列方向(例如,第一方向X)交替地排列在相鄰的閘極結構202之間。所屬領域中具有通常知識者可沿閘極結構202的排列方向調整嵌入式絕緣結構206a及閘極結構202的順序,本公開不限於此。另外,與參照圖2B、圖3A及圖3B闡述的實施例相似,嵌入式絕緣結構206a可分別用分開的嵌入式絕緣結構替換,和/或至少一些嵌入式絕緣結構206a的寬度(沿第一方向X)可被調整成小於相鄰閘極結構202之間的間距。
圖8是示出根據本公開一些實施例的由保護環222包圍的一些電熔絲單元(例如,電熔絲單元200)的平面示意圖。
參照圖8,與參照圖4闡述的實施例相似,如圖8中所示的一些電熔絲單元被保護環222在側向上環繞。即使保護環222被繪示為在側向上環繞八個電熔絲單元200,保護環222也可在其他情況下在側向上環繞少於或多於八個電熔絲單元200。在一些實施例中,保護環222包括彼此分開的多個虛設單元224。除虛設單元224可不包括嵌入式絕緣結構206以外,虛設單元224相似於電熔絲單元200的選擇電晶體TS。與參照圖4闡述的虛設單元124相似,如圖8中所示的虛設單元224分別包括閘極結構226及源極/汲極電極228,且可包括設置在閘極結構226中的通道結構(未示出)。排列在同一列中的一些虛設單元224(或者一些虛設單元224以及一些電熔絲單元200的選擇電晶體TS)可具有相同的沿第二方向Y的長度,且排列在同一行中的一些虛設單元224可具有相同的沿第一方向X的寬度。此外,在一些實施例中,熔絲電阻器FR在選擇電晶體TS之間排列成多個列(例如,兩列),且保護環222可在熔絲電阻器FR的相對兩側且不面向選擇電晶體TS處不連續。然而,所屬領域中具有通常知識者可根據設計要求修改虛設單元224的尺寸及排列以及電熔絲單元200及虛設單元224的排列,本公開不限於此。
圖9是示出根據本公開一些實施例的形成電晶體及嵌入式絕緣結構的方法的流程圖。圖10A至圖10L是示出在如圖9中所示形成電晶體及嵌入式絕緣結構的製程期間各個階段處的結構的橫截面示意圖。圖10M是沿圖10L中所示的線C-C’的橫截面圖。
將形成的電晶體(例如,如圖10L中所示的電晶體340)可分別為如上所述的編程電晶體TP、讀取電晶體TR或者選擇電晶體TS的子電晶體中的一者。具體來說,上覆在嵌入式絕緣結構之上的電晶體可為如上所述的編程電晶體TP或者選擇電晶體TS的子電晶體中的一者。
參照圖9及圖10A,執行步驟S100,且在基底300上形成半導體層302、犧牲層304及硬遮罩結構306。基底300是半導體晶圓或絕緣體上半導體(SOI)晶圓。半導體層302及犧牲層304交替地形成在基底300上,以在基底300上形成堆疊結構。在一些實施例中,半導體層302及犧牲層304由不同的半導體材料製成,從而使得半導體層302可相對於犧牲層304具有足夠的蝕刻選擇性。例如,半導體層302由矽製成,而犧牲層304由矽鍺製成。另外,形成半導體層302及犧牲層304的方法可包括磊晶製程。另一方面,硬遮罩結構306形成在堆疊結構上。在一些實施例中,硬遮罩結構306沿第二方向Y排列,且沿第一方向X延伸。另外,在一些實施例中,每一硬遮罩結構306包括硬遮罩層306a及形成在硬遮罩層306a之上的硬遮罩層306b。硬遮罩層306a、306b可由不同的絕緣材料製成。例如,硬遮罩層306a、306b的材料可選自由氧化矽、氮化矽、氮氧化矽及類似物組成的群組。形成硬遮罩結構306的方法可包括一種或多種沉積製程(例如,化學氣相沉積(chemical vapor deposition,CVD)製程)及自對準多重圖案化製程(例如,自對準雙重圖案化(self-aligned double patterning,SADP)製程或自對準四重圖案化(self-aligned quadruple patterning,SAQP)製程)。
參照圖9及圖10B,執行步驟S102,且使用硬遮罩結構306作為遮罩(shadow mask),將由半導體層302及犧牲層304構成的堆疊結構圖案化成鰭結構308。在硬遮罩結構306沿第二方向Y排列且沿第一方向X延伸的實施例中,所形成的鰭結構308也沿第二方向Y排列且沿第一方向X延伸。圖案化堆疊結構以形成鰭結構308的方法可包括例如各向異性蝕刻製程等蝕刻製程。蝕刻製程可在基底300的頂表面被暴露出時終止,或者基底的頂部部分可在蝕刻製程期間被移除。在一些實施例中,硬遮罩結構306的硬遮罩層306b可在蝕刻製程期間被移除。
參照圖9及圖10C,執行步驟S104,且在基底300上形成犧牲閘極結構310。犧牲閘極結構310的延伸方向與鰭結構308的延伸方向相交,且犧牲閘極結構310覆蓋鰭結構308的與犧牲閘極結構310交疊的部分。在鰭結構308沿第二方向Y排列且沿第一方向X延伸的實施例中,犧牲閘極結構310可沿第一方向X排列且沿第二方向Y延伸。在一些實施例中,每一犧牲閘極結構310包括虛設閘極介電層312及虛設閘電極314。虛設閘極介電層312共形地形成在基底300及鰭結構308上,而虛設閘電極314覆蓋虛設閘極介電層312,且形成為比鰭結構308的高度大的高度。在一些實施例中,每一犧牲閘極結構310進一步包括位於虛設閘電極314上的頂蓋結構316。頂蓋結構316可包括頂蓋層316a及位於頂蓋層316a上方的頂蓋層316b。在一些實施例中,頂蓋層316b具有修圓的頂部隅角。虛設閘極介電層312、頂蓋層316a及頂蓋層316b的材料可分別包括氧化矽、氮化矽、氮氧化矽、類似物或其組合,而虛設閘電極314的材料可包括多晶矽。另外,形成虛設閘極介電層312、頂蓋層316a、316b及虛設閘電極314的方法可分別包括例如CVD製程或原子層沉積(atomic layer deposition,ALD)製程等沉積製程。
參照圖9及圖10D,執行步驟S106,且在當前結構上形成閘極間隔壁層318。在一些實施例中,閘極間隔壁層318全面地形成在如圖10C中所示的結構之上。在這些實施例中,基底300、鰭結構308及犧牲閘極結構310可被閘極間隔壁層318共形地覆蓋。閘極間隔壁層318的材料可包括氧化矽、氮化矽、碳化矽、氮氧化矽、SiCN、碳氧化矽、SiOCN、類似物或其組合,且形成閘極間隔壁層318的方法可包括例如CVD製程或ALD製程等沉積製程。
參照圖9及圖10E,執行步驟S108,且移除鰭結構308及閘極間隔壁層318的一些部分。在一些實施例中,閘極間隔壁層318的覆蓋犧牲閘極結構310的側壁的部分保留下來,且可被稱為閘極間隔壁320。另一方面,閘極間隔壁層318的其他部分被移除,且鰭結構308的未被犧牲閘極結構310及閘極間隔壁320覆蓋的部分相應地被暴露出。此後,鰭結構308的暴露部分被移除,而鰭結構308的被閘極間隔壁320及犧牲閘極結構310覆蓋的部分保留下來。在一些實施例中,移除鰭結構308及閘極間隔壁層318的這些部分的方法可包括例如一種或多種各向異性蝕刻製程等一種或多種蝕刻製程。另外,蝕刻製程可在基底300的頂表面被暴露出時終止,或者基底300的頂部部分在蝕刻製程期間被移除。
參照圖9及圖10F,執行步驟S110,且在基底300的頂表面處形成凹陷322。在隨後的步驟中,凹陷322將被絕緣材料填充以形成嵌入式絕緣結構(例如,如圖10H中所示的嵌入式絕緣結構326)。凹陷322位於犧牲閘極結構310中的至少一者的單個側或相對兩側處。舉例而言,如在圖10F中由虛線包圍的俯視圖中所示,凹陷322形成在犧牲閘極結構310中的一者的相對兩側處。此犧牲閘極結構310的不同側處的凹陷322藉由基底300的位於此犧牲閘極結構310下面的一部分在側向上彼此間隔開。另外,同一行(沿第二方向Y)中的凹陷322可在側向上彼此分開。作為另外一種選擇,如參照圖2B、圖2C、圖3A至圖3E、圖5A、圖7A及圖7B所述,同一行(沿第二方向Y)中的凹陷322可在其他情況下合並成單個凹陷。另外,凹陷322的寬度(沿第一方向X)可小於、實質上等於或大於相鄰犧牲閘極結構310之間的間距。形成凹陷322的方法可包括微影製程及例如各向異性蝕刻製程等蝕刻製程。
參照圖9及圖10G,執行步驟S112,且使犧牲層304相對於半導體層302及閘極間隔壁320在側向上內凹。因此,凹陷324形成在鰭結構308的剩餘部分的側壁處。在一些實施例中,犧牲層304相對於半導體層302及閘極間隔壁320在側向上內凹的深度的範圍介於0.5 nm至1 nm的距離。使犧牲層304側向內凹的方法可包括例如各向同性蝕刻製程等蝕刻製程。藉由適當選擇用於蝕刻製程的蝕刻劑和/或藉由適當選擇犧牲層304及半導體層302的材料,可蝕刻犧牲層304,而不消耗半導體層302及當前結構中的其他組件。
參照圖9及圖10H,執行步驟S114,且在基底300的頂表面處的凹陷322及鰭結構308的側壁處的凹陷324中填充絕緣材料。絕緣材料的填充在凹陷322中的部分形成嵌入式絕緣結構326,而絕緣材料的填充在凹陷324中的部分形成內部間隔壁328。嵌入式絕緣結構326的頂表面可相對於基底300的頂表面凹陷、與所述頂表面共面或相對於所述頂表面突出。另一方面,在一些實施例中,內部間隔壁328的暴露表面與半導體層302的暴露表面及閘極間隔壁320的側壁實質上共面。在替代實施例中,內部間隔壁328的暴露表面相對於半導體層302的暴露表面及閘極間隔壁320的側壁內凹。形成嵌入式絕緣結構326及內部間隔壁328的絕緣材料的材料可包括氧化矽、氮化矽、碳化矽、氮碳化矽、碳氧化矽、氮氧碳化矽、或其他合適的介電材料或其組合。形成內部間隔壁328的方法可包括首先形成整體覆蓋圖10G中所示結構的材料層,且接著移除此毯覆層的位於凹陷322、324外部的部分。藉由此種方式,此材料層的剩餘部分形成嵌入式絕緣結構326及內部間隔壁328。在一些實施例中,使用沉積製程(例如,CVD製程或ALD製程)來形成材料層,且使用蝕刻製程(例如,各向異性蝕刻製程)來移除材料層的所述部分。
參照圖9及圖10I,執行步驟S116,且在基底300上形成源極/汲極電極330。犧牲閘極結構310分別位於一對源極/汲極電極330之間,且藉由閘極間隔壁320與源極/汲極電極330分開。另外,被每一犧牲閘極結構310覆蓋的半導體層302及內部間隔壁328與一對源極/汲極電極330在側向上接觸,且嵌入式絕緣結構326被源極/汲極電極330中的至少一些覆蓋。源極/汲極電極330的材料可包括矽、矽鍺、碳化矽或類似物。在一些實施例中,源極/汲極電極330是藉由磊晶製程形成。在這些實施例中,源極/汲極電極330可從半導體層302以及基底300的暴露部分生長。儘管源極/汲極電極330被繪示為矩形長方體,然而源極/汲極電極330可實際上形成為其他形狀,本公開不限於源極/汲極電極330的所述形狀。
參照圖9及圖10J,執行步驟S118,以在當前結構上形成介電層332,且移除犧牲閘極結構310。在一些實施例中,介電層332可首先覆蓋圖10I中所示的整個結構,且接著可執行平坦化製程,以移除介電層332的頂部部分且暴露出犧牲閘極結構310。在某些情形中,犧牲閘極結構310的頂部部分也可在平坦化製程期間被移除。舉例而言,平坦化製程可包括化學機械拋光(chemical mechanical polishing,CMP)製程、蝕刻製程或其組合。在犧牲閘極結構310被暴露出之後,犧牲閘極結構310的剩餘部分被移除,且形成分別界定在相鄰閘極間隔壁320之間的空腔。先前被犧牲閘極結構310覆蓋的半導體層302及犧牲層304此時暴露在空腔中。介電層332的材料可包括正矽酸四乙酯(tetraethylorthosilicate,TEOS)氧化物、未經摻雜的矽酸鹽玻璃或經摻雜的氧化矽(例如,硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、熔融二氧化矽玻璃(fused silica glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、摻雜硼的矽玻璃(boron doped silicon glass,BSG))、其他合適的介電材料或其組合,且形成介電層332的方法可包括例如CVD製程等沉積製程。另外,犧牲閘極結構310可藉由例如各向同性蝕刻製程等蝕刻製程來移除。
在一些實施例中,在形成介電層332之前,可在圖10I中所示的結構上形成接觸蝕刻終止層(contact etching stop layer,CESL)(未示出)。首先,CESL層可共形地覆蓋源極/汲極電極330及犧牲閘極結構310。此後,在平坦化製程期間,可將CESL層的覆蓋犧牲閘極結構310的頂部部分與介電層332的頂部部分一起移除。CESL層的材料可包括氮化矽、氮氧化矽、具有氧或碳元素的氮化矽、類似物或其組合,且形成CESL層的方法可包括例如CVD製程或ALD製程等沉積製程。
參照圖9及圖10K,執行步驟S120,且移除犧牲層304。因此,半導體層302在分別界定在相鄰閘極間隔壁320之間的空腔中被釋放。所釋放的半導體層302可為如參照圖2C闡述的通道結構110。另外,先前被犧牲層304覆蓋的內部間隔壁328的內部側壁當前暴露在空腔中。在一些實施例中,移除犧牲層304的方法可包括例如各向同性蝕刻製程等蝕刻製程。藉由適當選擇用於蝕刻製程的蝕刻劑和/或適當選擇犧牲層304及半導體層302的材料,可蝕刻犧牲層304,而不移除半導體層302及當前結構中的其他組件。
參照圖9、圖10L及圖10M,執行步驟S122,且在分別界定在相鄰閘極間隔壁320之間的空腔中形成閘極介電層334及閘電極336。閘極介電層334及閘電極336可統稱為閘極結構338,且先前示出的犧牲閘極結構310可被視為用閘極結構338替換。另外,包括閘極結構338、內部間隔壁328及閘極間隔壁320的結構可為如參照圖2A至圖2C、圖3A至圖3E、圖4、圖5A、圖5B、圖7A、圖7B及圖8闡述的閘極結構102、202。如圖10L及圖10M中所示,閘極介電層334在界定在相鄰閘極間隔壁320之間的空腔中的一者中分別毯覆在半導體層302、基底300、內部間隔壁328及閘極間隔壁320的暴露表面上。閘電極336填充這些空腔中的其餘空間。閘極介電層334的材料可包括高介電常數(high-k)介電材料。高k介電材料的實例可包括HfO2
、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、氧化鈦、二氧化鉿-氧化鋁(HfO2—Al2
O3
)合金、其他合適的高k介電材料和/或其組合。閘電極336的材料可包括多晶矽、鋁、銅、鈦、鉭、鎢、鈷、鉬、氮化鉭、矽化鎳、矽化鈷、TiN、WN、TiAl、TiAlN、TaCN、TaC、TaSiN、金屬合金、其他合適的材料和/或其組合。另外,形成閘極介電層334的方法可包括例如CVD製程或ALD製程等沉積製程,而形成閘電極336的方法可包括沉積製程(例如,CVD製程或ALD製程)、鍍覆製程(例如,電鍍製程或無電鍍覆製程)或其組合。
在一些實施例中,在每一閘極介電層334與上覆的閘電極336之間形成一個或多個功函數層(未示出,例如參照圖2C闡述的功函數層114)。另外,在一些實施例中,在形成閘極介電層334之前,可在半導體層302的暴露表面上形成界面層(未示出)。所屬領域中具有通常知識者可根據製程要求為功函數層及界面層選擇適當的材料及形成方法,本公開不限於此。
至此,形成了電晶體340。電晶體340分別包括閘極結構338中的一者、此閘極結構338中的半導體層302以及位於此閘極結構338的相對兩側處的一對源極/汲極電極330。另外,嵌入式絕緣結構326位於一些電晶體340(例如,如圖10L中所示的電晶體340中左邊的電晶體340)的源極/汲極電極330下方。覆蓋嵌入式絕緣結構326的電晶體340可為如參照圖2A至圖2D、圖3A至圖3E、圖4、圖5A及圖5B闡述的編程電晶體TP,或者可為如參照圖7A、圖7B及圖8闡述的選擇電晶體TS的子電晶體中的一者。另一方面,源極/汲極電極330中的至少一者的不與嵌入式絕緣結構326交疊的電晶體340可為如參照圖2A至圖2D、圖3A至圖3E、圖4、圖5A及圖5B闡述的讀取電晶體TR。
此後,可在圖10L中所示的結構之上形成內連線結構(未示出)。內連線結構可包括介電層堆疊及在介電層堆疊中形成的內連線。內連線電連接到電晶體340。在一些實施例中,如參照圖7A、圖7B及圖8闡述的熔絲電阻器FR形成在內連線結構中,且可處於與由內連線構成的一層的高度相同的高度處。熔絲電阻器FR與內連線可由相同的導電材料製成,且熔絲電阻器FR與由內連線構成的層可同時形成。作為另外一種選擇,熔絲電阻器FR與內連線可由不同的導電材料製成,且處於相同高度的熔絲電阻器FR與由內連線構成的層可在不同的製程中形成。
如上所述,根據本公開實施例的記憶體元件可為OTP記憶體元件。所述記憶體元件包括多個存儲單元。每一存儲單元包括電晶體及電耦合到電晶體的OTP元件,且包括位於OTP元件和/或電晶體下方的嵌入式絕緣結構。在一些實施例中,OTP元件是例如電晶體(下文稱為OTP電晶體)等反熔絲組件。在這些實施例中,嵌入式絕緣結構位於OTP電晶體的源極電極及汲極電極下方,且可切斷沿著從OTP電晶體的閘電極下方往外擴散的漏電路徑的閘極漏電。因此,沿著用於對所選擇存儲單元進行編程的字元線的電壓降可減小,因此可降低記憶體元件的編程電壓,且記憶體元件可與其他積體電路更兼容。在替代實施例中,OTP元件是熔絲電阻器,且嵌入式絕緣結構設置在上面設置有電晶體及熔絲電阻器的基底中。在這些替代實施例中,基底的散熱能力降低,且在編程操作期間,熱能可更集中在熔絲電阻器處。因此,記憶體元件的編程電壓也可降低,且記憶體元件也可與其他積體電路更兼容。
在本公開的一個方面中,提供一種記憶體元件。所述記憶體元件包括:電晶體,形成在基底上,且包括閘極結構、通道結構、源極電極及汲極電極,其中所述源極電極及所述汲極電極位於所述閘極結構的相對兩側處,所述通道結構穿透所述閘極結構,且與所述源極電極及所述汲極電極接觸;以及第一嵌入式絕緣結構及第二嵌入式絕緣結構,設置在所述基底中且與所述電晶體的所述源極電極及所述汲極電極交疊,其中所述第一嵌入式絕緣結構藉由所述基底的位於所述閘極結構下方的一部分與所述第二嵌入式絕緣結構在側向上間隔開。
在一些實施例中,所述源極電極及所述汲極電極實質上完整地交疊於所述第一嵌入式絕緣結構及所述第二嵌入式絕緣結構。
在一些實施例中,所述源極電極及所述汲極電極分別具有第一部分及第二部分,所述第二部分至少藉由所述第一部分與所述閘極結構在側向上間隔開,且所述第二部分中的至少一者既不與所述第一嵌入式絕緣結構交疊,也不與所述第二嵌入式絕緣結構交疊。
在一些實施例中,所述第二部分均不與所述第一嵌入式絕緣結構交疊,也不與所述第二嵌入式絕緣結構交疊。
在一些實施例中,所述第一嵌入式絕緣結構及所述第二嵌入式絕緣結構分別具有多個子結構,所述多個子結構沿與所述通道結構的延伸方向相交的方向在側向上彼此間隔開。
在一些實施例中,所述源極電極及所述汲極電極的與所述第一嵌入式絕緣結構及所述第二嵌入式絕緣結構交疊的部分從所述源極電極及所述汲極電極的近端延伸到所述源極電極及所述汲極電極的遠端,所述近端面向所述閘極結構,且所述遠端背向所述閘極結構。
在一些實施例中,所述源極電極及所述汲極電極分別具有第一部分及第二部分,所述第二部分至少藉由所述第一部分與所述閘極結構在側向上間隔開,且所述第二部分中的至少一者既不與所述第一嵌入式絕緣結構交疊,也不與所述第二嵌入式絕緣結構交疊。
在一些實施例中,所述第一嵌入式絕緣結構及所述第二嵌入式絕緣結構中的至少一者在垂直方向上與所述通道結構交疊。
在一些實施例中,所述第一嵌入式絕緣結構及所述第二嵌入式絕緣結構分別包括第一絕緣層及形成在所述第一絕緣層上的第二絕緣層。
在一些實施例中,所述第一嵌入式絕緣結構及所述第二嵌入式絕緣結構從所述基底的頂表面延伸到所述基底中。
在本公開的另一方面中,提供一種記憶體元件。所述記憶體元件包括:第一電晶體,形成在基底上,且包括閘極結構、源極電極及汲極電極,其中所述源極電極及所述汲極電極位於所述閘極結構的相對兩側處;嵌入式絕緣結構,形成在所述基底中,且與所述第一電晶體的所述源極電極或所述汲極電極交疊,其中在所述閘極結構的一側處的所述嵌入式絕緣結構不延伸到所述閘極結構的相對側;以及一次性可編程元件,形成在所述基底上且電耦合到所述第一電晶體的所述源極電極或所述汲極電極。
在一些實施例中,所述一次性可編程元件是第二電晶體,所述第一電晶體的所述源極電極或所述汲極電極由所述第一電晶體與所述第二電晶體共用。
在一些實施例中,所述第二電晶體的非共用的源極電極或所述汲極電極不與所述嵌入式絕緣結構交疊。
在一些實施例中,所述一次性可編程元件是熔絲電阻器,且所述熔絲電阻器電耦合到所述第一電晶體的所述源極電極或所述汲極電極。
在一些實施例中,所述熔絲電阻器形成在位於所述第一電晶體上方的內連線結構中。
在一些實施例中,所述熔絲電阻器與所述第一電晶體在側向上間隔開。
在本公開的又一方面中,提供一種記憶體元件的製造方法。所述方法包括:在基底上形成堆疊結構,其中所述堆疊結構沿第一方向延伸,且所述堆疊結構包括交替地堆疊在所述基底上的半導體層及犧牲層;在所述基底上形成犧牲閘極結構,其中所述犧牲閘極結構沿與所述第一方向相交的第二方向延伸,且覆蓋所述堆疊結構的一部分;移除所述堆疊結構的不與所述犧牲閘極結構交疊的部分;在所述基底的暴露表面處形成至少一個凹陷,其中所述至少一個凹陷位於所述犧牲閘極結構的相對兩側中的一者或兩者處;將絕緣材料填充到所述至少一個凹陷中,以形成至少一個嵌入式絕緣結構;在所述犧牲閘極結構的所述相對兩側處形成源極電極及第二電極,其中剩餘的所述堆疊結構中的所述半導體層連接在所述源極電極與所述汲極電極之間,且所述源極電極及所述汲極電極中的至少一者與所述至少一個嵌入式絕緣結構交疊;以及用閘極結構替換所述犧牲閘極結構,且移除所述犧牲層。
在一些實施例中,形成所述犧牲閘極結構的步驟在形成所述至少一個凹陷的步驟以及形成所述至少一個嵌入式絕緣結構的步驟之前。
在一些實施例中,記憶體元件的製造方法進一步包括:在形成所述至少一個凹陷之後,在使所述犧牲層側向內凹。
在一些實施例中,在形成所述至少一個嵌入式絕緣結構期間,藉由使所述犧牲層側向內凹而形成的凹陷被所述絕緣材料填充。
應理解,本公開的以下實施例提供可在各種各樣的具體背景下實施的可應用概念。所述實施例旨在提供進一步的解釋,但不用於限制本公開的範圍。
以上概述了若干實施例的特徵,以使所屬領域中具有通常知識者可更好地理解本公開的方面。所屬領域中具有通常知識者應理解,他們可容易地使用本公開作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的和/或實現與本文中所介紹的實施例相同的優點。所屬領域中具有通常知識者還應認識到,此種等效構造並不背離本公開的精神及範圍,而且他們可在不背離本公開的精神及範圍的條件下對其作出各種改變、代替及變更。
10、20:記憶體元件
100、100a、100b、100c、100d、100e、100f、100g:反熔絲單元
102、126、202、226、338:閘極結構
104、128、204、228、330:源極/汲極電極
106、106a、106b、106c、106d、106e、106f、106g、206、206a、326:嵌入式絕緣結構
108、208:虛設閘極結構
110:通道結構
112、334:閘極介電層
114:功函數層
116:導電材料
118、320:閘極間隔壁
120、328:內部間隔壁
122、222:保護環
124、224:虛設單元
200:電熔絲單元
200a:電熔絲單元
300、SB:基底
302:半導體層
304:犧牲層
306:硬遮罩結構
306a、306b:硬遮罩層
308:鰭結構
310:犧牲閘極結構
312:虛設閘極介電層
314:虛設閘電極
316:頂蓋結構
316a、316b:頂蓋層
318:閘極間隔壁層
322:凹陷
324:凹陷
332:介電層
336:閘電極
340:電晶體
BD1:第一位元線驅動器
BD2:第二位元線驅動器
BG:橋接部分
BL:位元線
BLD:位元線驅動器
FR:熔絲電阻器
IL1:第一絕緣層
IL2:第二絕緣層
L、L1、L2、L3:長度
PD:接墊部分
S、S1、S2、S3、S4:側向間距
S100、S102、S104、S106、S108、S110、S112、S114、S116、S118、S120、S122:步驟
SA:感測放大器
SL:源極線
TP:編程電晶體
TR:讀取電晶體
TS:選擇電晶體
W、W1、W2、W4:寬度
W3:交疊寬度
WL:字元線
WLD:字元線驅動器
WLP:編程字元線
WLR:讀取字元線
X:第一方向
Y:第二方向
Z:垂直方向
結合附圖閱讀以下詳細說明,會最好地理解本公開的各個方面。應注意,根據本行業中的標準慣例,各種特徵並非按比例繪製。事實上,為論述清晰起見,可任意增大或減小各種特徵的尺寸。
圖1是示出根據本公開一些實施例的具有反熔絲單元(antifuse cell)的記憶體元件的方塊圖。
圖2A是示出圖1中所示反熔絲單元中的一者的三維示意圖。
圖2B是示出如圖2A中所示反熔絲單元的平面示意圖。
圖2C是沿圖2B中所示的線A-A’的橫截面示意圖。
圖2D是沿圖2B中所示的線B-B’的橫截面示意圖。
圖3A至圖3E是示出根據本公開一些實施例的反熔絲單元的俯視圖。
圖4是示出根據本公開一些實施例的由保護環包圍的一些反熔絲單元的平面示意圖。
圖5A及圖5B是示出根據本公開一些實施例的反熔絲單元的橫截面示意圖。
圖6是示出根據本公開一些實施例的具有電熔絲(electrical fuse,efuse)單元的記憶體元件的方塊圖。
圖7A是示出圖6中所示電熔絲單元中的一者的平面示意圖。
圖7B是示出根據本公開一些實施例的電熔絲單元的平面示意圖。
圖8是示出根據本公開一些實施例的由保護環(guard ring)包圍的一些電熔絲單元的平面示意圖。
圖9是示出根據本公開一些實施例的形成電晶體及嵌入式絕緣結構的方法的流程圖。
圖10A至圖10L是示出在如圖9中所示形成電晶體及嵌入式絕緣結構的製程期間各個階段處的結構的橫截面示意圖。
圖10M是沿圖10L中所示的線C-C’的橫截面圖。
100:反熔絲單元
102:閘極結構
104:源極/汲極電極
106:嵌入式絕緣結構
110:通道結構
112:閘極介電層
114:功函數層
116:導電材料
118:閘極間隔壁
120:內部間隔壁
SB:基底
TP:編程電晶體
TR:讀取電晶體
X:第一方向
Y:第二方向
Z:垂直方向
Claims (1)
- 一種記憶體元件,包括: 電晶體,形成在基底上,且包括閘極結構、通道結構、源極電極及汲極電極,其中所述源極電極及所述汲極電極位於所述閘極結構的相對兩側處,所述通道結構穿透所述閘極結構,且與所述源極電極及所述汲極電極接觸;以及 第一嵌入式絕緣結構及第二嵌入式絕緣結構,設置在所述基底中且與所述電晶體的所述源極電極及所述汲極電極交疊,其中所述第一嵌入式絕緣結構藉由所述基底的位於所述閘極結構下方的一部分而與所述第二嵌入式絕緣結構在側向上間隔開。
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201962893193P | 2019-08-29 | 2019-08-29 | |
US62/893,193 | 2019-08-29 | ||
US16/805,868 | 2020-03-02 | ||
US16/805,868 US11315936B2 (en) | 2019-08-29 | 2020-03-02 | Memory device and manufacturing method thereof |
Publications (1)
Publication Number | Publication Date |
---|---|
TW202109842A true TW202109842A (zh) | 2021-03-01 |
Family
ID=74680155
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW109117096A TW202109842A (zh) | 2019-08-29 | 2020-05-22 | 記憶體元件及其製造方法 |
Country Status (3)
Country | Link |
---|---|
US (1) | US11315936B2 (zh) |
CN (1) | CN112447734A (zh) |
TW (1) | TW202109842A (zh) |
Families Citing this family (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN115568203A (zh) | 2021-07-01 | 2023-01-03 | 长鑫存储技术有限公司 | 半导体结构及其制作方法 |
CN115568204A (zh) * | 2021-07-01 | 2023-01-03 | 长鑫存储技术有限公司 | 半导体结构及其制作方法 |
Family Cites Families (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100763542B1 (ko) * | 2006-10-30 | 2007-10-05 | 삼성전자주식회사 | 다중 채널 모오스 트랜지스터를 포함하는 반도체 장치의제조 방법 |
US8084308B2 (en) * | 2009-05-21 | 2011-12-27 | International Business Machines Corporation | Single gate inverter nanowire mesh |
US8158967B2 (en) * | 2009-11-23 | 2012-04-17 | Micron Technology, Inc. | Integrated memory arrays |
US10192615B2 (en) * | 2011-02-14 | 2019-01-29 | Attopsemi Technology Co., Ltd | One-time programmable devices having a semiconductor fin structure with a divided active region |
US9236267B2 (en) | 2012-02-09 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cut-mask patterning process for fin-like field effect transistor (FinFET) device |
US9484447B2 (en) * | 2012-06-29 | 2016-11-01 | Intel Corporation | Integration methods to fabricate internal spacers for nanowire devices |
US9006829B2 (en) | 2012-08-24 | 2015-04-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Aligned gate-all-around structure |
US9209247B2 (en) | 2013-05-10 | 2015-12-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned wrapped-around structure |
US9570609B2 (en) * | 2013-11-01 | 2017-02-14 | Samsung Electronics Co., Ltd. | Crystalline multiple-nanosheet strained channel FETs and methods of fabricating the same |
US9136332B2 (en) | 2013-12-10 | 2015-09-15 | Taiwan Semiconductor Manufacturing Company Limited | Method for forming a nanowire field effect transistor device having a replacement gate |
US9136106B2 (en) | 2013-12-19 | 2015-09-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for integrated circuit patterning |
US9318552B2 (en) * | 2014-05-21 | 2016-04-19 | Globalfoundries Inc. | Methods of forming conductive contact structures for a semiconductor device with a larger metal silicide contact area and the resulting devices |
US9608116B2 (en) | 2014-06-27 | 2017-03-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | FINFETs with wrap-around silicide and method forming the same |
US9412817B2 (en) | 2014-12-19 | 2016-08-09 | Taiwan Semiconductor Manufacturing Company, Ltd. | Silicide regions in vertical gate all around (VGAA) devices and methods of forming same |
US9536738B2 (en) | 2015-02-13 | 2017-01-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Vertical gate all around (VGAA) devices and methods of manufacturing the same |
US9502265B1 (en) | 2015-11-04 | 2016-11-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Vertical gate all around (VGAA) transistors and methods of forming the same |
US9520482B1 (en) | 2015-11-13 | 2016-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cutting metal gate |
US10177226B2 (en) * | 2016-11-03 | 2019-01-08 | International Business Machines Corporation | Preventing threshold voltage variability in stacked nanosheets |
US10490559B1 (en) * | 2018-06-27 | 2019-11-26 | International Business Machines Corporation | Gate formation scheme for nanosheet transistors having different work function metals and different nanosheet width dimensions |
-
2020
- 2020-03-02 US US16/805,868 patent/US11315936B2/en active Active
- 2020-05-22 TW TW109117096A patent/TW202109842A/zh unknown
- 2020-06-08 CN CN202010511709.3A patent/CN112447734A/zh active Pending
Also Published As
Publication number | Publication date |
---|---|
CN112447734A (zh) | 2021-03-05 |
US11315936B2 (en) | 2022-04-26 |
US20210066319A1 (en) | 2021-03-04 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US8409953B2 (en) | Method of manufacturing a semiconductor device | |
KR100683867B1 (ko) | 반도체 소자 및 그 형성 방법 | |
US11887986B2 (en) | Semiconductor memory device | |
TW202143472A (zh) | 記憶體裝置及其製造方法 | |
US11968824B2 (en) | Semiconductor memory devices | |
US11018260B2 (en) | Non-volatile memory device with reduced area | |
TWI753688B (zh) | 記憶裝置及其形成方法 | |
US20230103339A1 (en) | Three-dimensional memory device and manufacturing method thereof | |
TW202230747A (zh) | 記憶體元件 | |
TW202205630A (zh) | 記憶體元件及其製造方法 | |
TW202109842A (zh) | 記憶體元件及其製造方法 | |
TWI767625B (zh) | 半導體裝置 | |
CN114843273A (zh) | 半导体存储器件 | |
KR20210009000A (ko) | 반도체 장치 | |
TWI791214B (zh) | 積體電路元件及其製造方法 | |
US10991620B2 (en) | Semiconductor device | |
TWI758995B (zh) | 記憶裝置及其形成方法 | |
US20230309317A1 (en) | Semiconductor Memory Device | |
TWI826908B (zh) | 積體晶片及其形成方法 | |
TWI779462B (zh) | 製造半導體結構的方法 | |
US20240147701A1 (en) | Semiconductor memory device | |
TW202245187A (zh) | 半導體裝置 | |
JP2023165614A (ja) | 半導体メモリ装置 | |
KR20230159337A (ko) | 반도체 장치 및 그의 제조 방법 | |
TW202345365A (zh) | 半導體裝置 |