TW202101138A - 基板處理系統用基於模型之排程 - Google Patents

基板處理系統用基於模型之排程 Download PDF

Info

Publication number
TW202101138A
TW202101138A TW109110186A TW109110186A TW202101138A TW 202101138 A TW202101138 A TW 202101138A TW 109110186 A TW109110186 A TW 109110186A TW 109110186 A TW109110186 A TW 109110186A TW 202101138 A TW202101138 A TW 202101138A
Authority
TW
Taiwan
Prior art keywords
processing
tool
semiconductor substrates
model
semiconductor
Prior art date
Application number
TW109110186A
Other languages
English (en)
Inventor
日龍 周
忠河 黃
樹華 陳
文生 翁
丁煜
玉蝶 阮
葛拉麥茵 馬紐吉德
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202101138A publication Critical patent/TW202101138A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41885Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by modeling, simulation of the manufacturing system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/4189Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by the transport system
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • G06N20/10Machine learning using kernel methods, e.g. support vector machines [SVM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/004Artificial life, i.e. computing arrangements simulating life
    • G06N3/006Artificial life, i.e. computing arrangements simulating life based on simulated virtual individual or collective life forms, e.g. social simulations or particle swarm optimisation [PSO]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/088Non-supervised learning, e.g. competitive learning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/31From computer integrated manufacturing till monitoring
    • G05B2219/31013Second AGV with wafers already underway before processing first finished
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/39Robotics, robotics to robotics hand
    • G05B2219/39001Robot, manipulator control
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45212Etching, engraving, sculpturing, carving
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Software Systems (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Automation & Control Theory (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Artificial Intelligence (AREA)
  • Quality & Reliability (AREA)
  • Biomedical Technology (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Medical Informatics (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Factory Administration (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

對於蝕刻工具,訓練神經網路模型,以預測最佳排程參數值。該模型係使用從預防性維護操作、配方時間及無晶圓自動清潔時間所收集的數據作為輸入來進行訓練。該模型係用於獲取排程參數值與諸多晶圓處理方案之間的基礎關係,以作出預測。另外,在用於多個並行材料沉積製程的工具中,基於巢狀神經網路的模型係使用機器學習來進行訓練。該模型最初是使用模擬數據進行設計及離線訓練,接著使用實際工具數據進行線上訓練,以預測晶圓路線安排途徑及排程。該模型改善排程器調度的準確性,並達到最高工具/機群利用率、最短等待時間及最快產量。

Description

基板處理系統用基於模型之排程
本發明總體上是關於基板處理系統,尤其是基板處理系統用基於模型之排程。 [相關申請案之交互參照]
本發明為2019年3月29日申請之美國專利申請案第62/826,185號的PCT國際申請案。上述參考申請案之全部揭示內容皆併於此作為參考。
本文所提供的先前技術係為了概述本揭示內容上下文之目的。本案發明人的成果(在此先前技術段落中所述之範圍內)、以及在申請時可能未以其他方式認定為先前技術之描述態樣,並未明示或默示地被承認為是相對於本發明的先前技術。
半導體製造商在半導體晶圓之製造期間使用一或更多基板處理工具,以執行沉積、蝕刻、清潔及/或其他基板處理。每一基板處理工具可包括複數處理腔室,其執行相同類型的處理(例如沉積、蝕刻或清潔)或不同的處理,例如基板上之一系列或一連串處理。
基板處理工具中之處理腔室通常在多個基板上重複相同任務。處理腔室基於定義製程參數之配方進行操作。例如,配方定義順序、操作溫度、壓力、氣體化學物質、電漿使用、並行模組、每一操作或子操作之時間段、基板路線安排途徑及/或其他參數。基板可依特定順序在兩個或更多處理腔室之間轉移,以進行不同的處理。
用於在工具中處理半導體基板之系統包括一處理器及儲存用於由該處理器執行之指令的記憶體,該工具包括配置成根據配方處理該等半導體基板之複數處理腔室。該等指令配置成 : 接收來自該工具之第一數據,其係關於該等半導體基板在該複數處理腔室中根據該配方之處理;以及接收第二數據,其係關於該工具之配置與該配方。該等指令配置成使用該第二數據,來模擬用以在該複數處理腔室中根據該配方處理該等半導體基板之複數處理方案及用於該複數處理方案之排程參數。該等指令配置成使用該複數處理方案及用於該複數處理方案之該等排程參數,來模擬該等半導體基板在該複數處理腔室中根據該配方之處理。該等指令配置成使用該第一數據及該模擬所產生的數據,來訓練一模型,以預測用於在該複數處理腔室中根據該配方處理該等半導體基板之最佳排程參數。該等指令配置成接收來自該工具之輸入,其係關於該等半導體基板之一者在該複數處理腔室中根據該配方之處理。該等指令配置成使用該模型,基於該等輸入來預測用於在該複數處理腔室中根據該配方處理該等半導體基板之該一者的最佳排程參數。該等指令配置成基於用於在該複數處理腔室中根據該配方處理該等半導體基板之該一者的該等最佳排程參數,對該工具之操作進行排程。
於另一特徵中,該等指令配置成基於用於在該複數處理腔室中根據該配方處理該等半導體基板之該一者的該等最佳排程參數來執行該工具之該等操作。
於其他特徵中,在該複數處理腔室中根據該配方進行處理期間,該等最佳排程參數將該等半導體基板之該一者之閒置時間最小化,且該等最佳排程參數將該工具之產量最大化。
於其他特徵中,該等指令配置成使用包括一人工神經網路及支援向量回歸之一機器學習方法來訓練該模型。
於其他特徵中,該等指令配置成 : 分析接收自該工具之該第一數據及該模擬所產生之該等數據;基於該分析,檢測關於該工具之預防性維護操作、無晶圓自動清潔時間、等待時間、配方時間及產量之模式;以及基於該等檢測到的模式,訓練該模型。
於另一特徵中,該等指令配置成訓練該模型,以預測用於該複數處理方案之一者的該等最佳排程參數。
於另一特徵中,該等指令配置成訓練該模型,以預測用於該複數處理方案之全部者的該等最佳排程參數。
於另一特徵中,該等指令配置成訓練該模型,用於在該等半導體基板之該一者上僅執行蝕刻操作。
於另一特徵中,該等指令配置成訓練該模型,用於在該等半導體基板之該一者上執行蝕刻及剝離兩者操作。
於其他特徵中,該模型係從該工具遠端地實施,且該等指令配置成基於接收自多個工具之數據來訓練該模型。
於其他特徵中,該等指令配置成針對配置及操作之工具間變化來調整該工具。
於其他特徵中,該模型係於雲端中實施作為軟體即服務(SaaS),且該工具配置成透過網路接入該模型。
於其他特徵中, 該等指令配置成基於一第二工具之數據訓練一第二模型;且該模型及該第二模型係從該工具及該第二工具遠端地實施。該工具及該第二工具分別配置成透過一或更多網路接入該模型及該第二模型。
於另一特徵中,該等指令配置成允許該工具及該第二工具分別基於該工具及該第二工具之配置來選擇該模型及該第二模型。
於其他特徵中,該模型實施於該工具上;且該等指令配置成使用該模型以利用該工具所產生之數據來預測用於在該複數處理腔室中根據該配方處理該等半導體基板之該一者的該等最佳排程參數。
於其他特徵中,該模型實施於該工具上,且該等指令配置成針對該工具之性能的任何漂移調整該模型。
於另一特徵中,接收自該工具之該第一數據包括來自執行於該工具上之預防性維護操作的數據以及關於該工具之配方時間及無晶圓自動清潔時間的數據。
於其他特徵中,該模擬所產生之該等數據包括基於從該工具獲得之該工具的配置、晶圓流類型、運作方案、配方時間及無晶圓自動清潔時間所產生的數據。
於其他特徵中,接收自該工具之該等輸入包括關於該工具之若干預防性維護操作、配方時間及無晶圓自動清潔時間的數據。
於另一特徵中,該等指令配置成透過考慮一或更多跳過的預防性維護操作來預測該等最佳排程參數。
於其他特徵中,該等指令配置成使用該模型,對用於在該複數處理腔室中根據該配方處理該等半導體基板之該一者的複數操作進行排程。該工具分別響應於執行該複數操作而經歷複數狀態。該工具的狀態包括該工具之資源的指示及該等半導體基板之該一者之處理狀態的指示。該等指令配置成針對該複數狀態之每一者,對該模型發送該複數狀態中之當前狀態及用以前進到該複數狀態中之下一狀態的多個可排程操作,從該模型接收該模型基於該當前狀態所選擇之該多個可排程操作中的最佳操作,以前進到該下一狀態,並模擬該最佳操作之執行,以模擬前進到該下一狀態。該等指令配置成當在該複數處理腔室中根據該配方處理該等半導體基板時,訓練該模型以推薦該等最佳操作作為響應於該工具經歷該複數狀態之該複數操作。
於又其他特徵中,用於在工具中處理半導體基板之系統包括一處理器及儲存用於由該處理器執行之指令的記憶體,該工具包括配置成根據該配方處理該等半導體基板之複數處理腔室。該等指令配置成使用一模型,對用於在該複數處理腔室中根據該配方處理一半導體基板的複數操作進行排程。該工具分別響應於執行該複數操作而經歷複數狀態。該工具的狀態包括該工具之資源的指示及該等半導體基板之處理狀態的指示。該等指令配置成針對該複數狀態之每一者,對該模型發送該複數狀態中之當前狀態及用以前進到該複數狀態中之下一狀態的多個可排程操作,從該模型接收該模型基於該當前狀態所選擇之該多個可排程操作中的最佳操作,以前進到該下一狀態,並模擬該最佳操作之執行,以模擬前進到該下一狀態。該等指令配置成當在該複數處理腔室中根據該配方處理該等半導體基板時,訓練該模型以推薦該等最佳操作作為響應於該工具經歷該複數狀態之該複數操作。
於其他特徵中,該等指令配置成使用關於接收自該工具之該等半導體基板之處理的歷史數據並透過模擬該工具之複數處理方案來訓練該模型,以預測用於在該複數處理腔室中根據該配方處理該半導體基板之最佳排程參數。該等指令配置成從該工具接收輸入,其係關於該半導體基板在該複數處理腔室中根據該配方之處理。該等指令配置成基於該等輸入,使用該模型來預測用於在該複數處理腔室中根據該配方處理該半導體基板之最佳排程參數。該等指令配置成根據該等最佳排程參數,對用於在該複數處理腔室中根據該配方處理該半導體基板之該複數操作進行排程。
於另一特徵中,該等指令配置成基於用於在該複數處理腔室中根據該配方處理該半導體基板之該等最佳排程參數來執行該複數操作。
於其他特徵中,在該複數處理腔室中根據該配方進行處理期間,該等最佳排程參數將該半導體基板之閒置時間最小化,且該等最佳排程參數將該工具之產量最大化。
於另一特徵中,該等指令配置成使用包括一人工神經網路及支援向量回歸之一機器學習方法來訓練該模型。
於其他特徵中,該等指令配置成分析接收自該工具之該等歷史數據及透過模擬該工具之該複數處理方案而產生的數據;基於該分析,檢測關於該工具之預防性維護操作、無晶圓自動清潔時間、等待時間、配方時間及產量之模式;以及基於該等檢測到的模式,訓練該模型。
於另一特徵中,該等指令配置成訓練該模型以預測用於該複數處理方案之一者的該等最佳排程參數。
於另一特徵中,該等指令配置成訓練該模型以預測用於該複數處理方案之全部者的該等最佳排程參數。
於另一特徵中,該等指令配置成訓練該模型,用於在該等半導體基板上僅執行蝕刻操作。
於其他特徵中,該等指令配置成訓練該模型,用於在該等半導體基板之該一者上執行蝕刻及剝離兩者操作。
於其他特徵中,該模型係從該工具遠端地實施,且該等指令配置成基於接收自多個工具之數據來訓練該模型。
於另一特徵中,該等指令配置成針對配置及操作之工具間變化來調整該工具。
於其他特徵中,該模型係於雲端中實施作為軟體即服務(SaaS),且該工具配置成透過網路接入該模型。
於其他特徵中,該模型實施於該工具上,且該等指令配置成使用該模型以利用該工具所產生之數據來預測用於在該複數處理腔室中根據該配方處理該半導體基板之該等最佳排程參數。
於其他特徵中,該模型實施於該工具上,且該等指令配置成針對該工具之性能的任何漂移調整該模型。
於另一特徵中,接收自該工具之該等數據包括來自執行於該工具上之預防性維護操作的數據以及關於該工具之配方時間及無晶圓自動清潔時間的數據。
於另一特徵中,該模擬該複數處理方案所產生之該等數據包括基於從該工具獲得之該工具的配置、晶圓流類型、運作方案、配方時間及無晶圓自動清潔時間所產生的數據。
於另一特徵中,接收自該工具之該等輸入包括關於該工具之若干預防性維護操作、配方時間及無晶圓自動清潔時間的數據。
於另一特徵中,該等指令配置成透過考慮一或更多跳過的預防性維護操作來預測該等最佳排程參數。
於又其他特徵中,用於處理半導體基板之工具包括一第一機器人、複數處理腔室、一第二機器人及一控制器。該第一機器人配置成將該等半導體基板輸入至該工具,用於在該工具中處理該等半導體基板。該複數處理腔室配置成在該工具中根據一配方處理該等半導體基板。該第二機器人配置成根據該配方在該複數處理腔室之間轉移該等半導體基板。該控制器配置成使用透過模擬該工具及該配方所訓練之一模型來預測 : 在該複數處理腔室中處理該等半導體基板之處理時間;用於在該複數處理腔室之間轉移該等半導體基板之該第二機器人的轉移時間;基於該等處理時間及該等轉移時間,在該複數處理腔室之間轉移該等半導體基板的路線;以及該第一機器人基於該等處理時間及該等轉移時間排程額外半導體基板以在該工具中進行處理的時間。根據該預測路線處理該等半導體基板,並根據該預測時間處理該等額外半導體基板,使沿該預測路徑之該等半導體基板的等待時間最佳化,並使該工具的產量最佳化。
於另一特徵中,該控制器配置成,基於該等半導體基板及該等額外半導體基板在該工具中進行該處理期間所產生之數據,進一步漸進地訓練該模型。
於另一特徵中,該控制器配置成使用該進一步訓練的模型預測 :在該工具中處理該等額外半導體基板之第二處理時間、第二轉移時間、以及一第二路線;以及排程下一組半導體基板以在該工具中進行處理之一第二時間。根據該第二路線處理該等額外半導體基板,並根據該第二時間處理該下一組半導體基板,使得該等額外半導體基板的等待時間及該工具的產量進一步最佳化。
於另一特徵中,該控制器配置成響應於該配方、該工具或兩者之任何改變來調整該模型。
於另一特徵中,該模型包括 : 第一複數神經網路,其配置成分別預測該複數處理腔室的該等處理時間;第二複數神經網路,其配置成分別預測該第一及第二機器人之該等轉移時間;以及一第三神經網路,其耦接至該第一及第二複數神經網路,並配置成預測在該複數處理腔室之間轉移該等半導體基板的該路線,並預測該第一機器人排程該等額外半導體基板以在該工具中進行處理的該時間。
於另一特徵中,該模組係透過模擬複數工具之配置及複數配方來進一步訓練。
於另一特徵中,該進一步訓練的模型配置成響應於接收配方資訊作為輸入以針對工具配置輸出一推薦。
於其他特徵中,該配方係用於在該等半導體基板上沉積複數層。該複數處理腔室包括用於沉積該複數層之一或更多處理腔室、以及用於分別在沉積該複數層之前及之後處理該等半導體基板之一預處理腔室及一後處理腔室。該第二機器人配置成根據該預測路線,在該複數處理腔室之間轉移該等半導體基板,以使該等半導體基板之所述等待時間最佳化。該第一機器人配置成根據該預測時間,排程該等額外半導體基板以在該工具中進行處理,以使該工具之該產量最佳化。
於又其他特徵中,用於在半導體處理工具中處理半導體基板期間使產量及等待時間最佳化之系統包括 一處理器以及儲存用於由該處理器執行之指令的記憶體。該等指令配置成基於該半導體處理工具之配置及將在該半導體處理工具中執行於該等半導體基板上之一配方,模擬複數路線以在該半導體處理工具之複數處理腔室之間安排該等半導體基板的路徑。該等指令配置成沿著該複數路線,模擬該等半導體基板在該半導體處理工具中之處理。該等指令配置成基於該等半導體基板之處理,模擬複數定時排程,以隨後在該半導體處理工具中處理額外半導體基板。該等指令配置成根據該複數定時排程,模擬該等額外半導體基板之處理。該等指令配置成基於該等模擬所產生之數據,訓練一模型。該等指令配置成當在該半導體處理工具中根據該配方處理該等半導體基板時,使用該半導體處理工具上之該模型,預測在該複數處理腔室之間轉移該等半導體基板的最佳路線。該等指令配置成使用該半導體處理工具上之該模型,預測最佳時間,以排程該等額外半導體基板,以在該半導體處理工具中進行處理。該等指令配置成在該半導體處理工具中,根據該最佳路線來處理該等半導體基板,以使沿著該最佳路線之該等半導體基板的等待時間最佳化。該等指令配置成在該半導體處理工具中,以該最佳時間處理該等額外半導體基板,以使該半導體處理工具之產量最佳化。
於其他特徵中,該等指令配置成基於該等模擬所產生之數據來訓練該模型,以預測 : 在該複數處理腔室中處理該等半導體基板之處理時間;用於在該複數處理腔室之間轉移該等半導體基板之一機器人的轉移時間;基於該等處理時間及該等轉移時間,在該複數處理腔室之間排程該等半導體基板的該最佳路線;以及基於該等處理時間及該等轉移時間排程該等額外半導體基板以在該半導體處理工具中進行處理之該最佳時間。
於另一特徵中,該等指令配置成基於該等半導體基板及該等額外半導體基板在該半導體處理工具中進行處理期間所產生成之數據來進一步漸進地訓練該模型。
於另一特徵中,該等指令配置成針對該配方、該半導體處理工具或兩者之任何改變來調整該模型。
於其他特徵中,該等指令配置成基於該等模擬所產生之數據來產生該模型,該模型包括 : 第一複數神經網路,其配置成分別預測在該複數處理腔室中處理該等半導體基板之處理時間。該模型包括第二複數神經網路,其配置成分別預測該第一及第二機器人之轉移時間。該第一及第二機器人分別配置成將該等半導體基板轉移至該半導體處理工具中以及在該複數處理腔室之間轉移該等半導體基板。該模型包括一第三神經網路,其耦接至該第一及第二複數神經網路,並配置成預測在該複數處理腔室之間安排該等半導體基板路徑之該最佳路線,並預測排程該等額外半導體基板以在該半導體處理工具中進行處理之該最佳時間。
於另一特徵中,該等指令配置成透過模擬複數半導體處理工具之配置及複數配方來訓練該模型。
於另一特徵中,該等指令配置成響應於接收配方資訊作為輸入來訓練該模型以針對工具配置輸出推薦。
上述及下述之任何特徵可分開實施(即,獨立於上述及下述之其他特徵)。 在一些實施方式中,上述及下述之任何特徵可與上述及下述之其他特徵組合。
透過詳細描述、申請專利範圍及圖式,本發明之其他應用領域將變得顯而易見。詳細描述及特定示例僅為了說明目的,其用意不在於限制該揭示內容之範圍。
通常,基板處理工具(下稱「工具」)之操作員係基於過去的經驗、實驗或透過利用試誤法(trial-and-error approach),來手動選擇排程參數值。此原因是不易建立用於選擇排程參數值之簡單準則。由於工具(例如蝕刻工具)之不同基板處理方案可能需要不同組的排程參數值,以達到工具之最佳產量,因此在啟動運行於工具上之每一晶圓流前,操作員可能必須手動地將排程參數值輸入至控制工具之系統軟體中。
在一些工具中,系統軟體中之排程器可使用一組排程規則及評分系統,以作出排程決策。然而,隨著工具之系統配置、運行方案及排程限制之複雜性增加,排程決策方案之複雜性亦隨之增加,其因而需要更多開發工作,以達到並維持最佳之系統產量。
此外,在用於多個並行材料沉積製程之批量(多個基板)處理工具中(其對晶圓等待時間有限制),對工具之排程器進行調度(pacing)以達到最佳產量及最小晶圓等待時間可能非常困難。排程器規則是非常動態的,而不正確的調度計算可能因晶圓乾掉而導致異常晶圓或產量下降,其增加了工具之持有成本(cost-of-ownership)。此外,不同的客戶應用使得調度預測更加複雜。 例如,長的製程時間配方(例如,巨型柱體(mega-pillar)配方)使製程模組成為瓶頸,而短的製程時間配方(例如,重佈層或RDL配方)則使後端機器人(具有數個用以一起處理批量/多個基板之手臂)成為瓶頸。
本發明如下透過使用經訓練的模型並進一步透過使用強化學習,來解決此些問題。對於蝕刻工具,訓練神經網路模型(下稱「模型」),以預測最佳排程參數值。該模型係使用收集自預防性維護操作(PM)、配方時間及無晶圓自動清潔(WAC)時間之數據作為模型的輸入來進行訓練。該模型係用於獲取排程參數值與諸多晶圓處理方案之間的基礎關係,以對應地作出預測,其免去建立最佳值選擇準則之需要。
在訓練之前,辨識模型所預測之一組重要排程參數。從半導體製造商所使用之工具中收集大量的訓練數據。使用模擬產生其他訓練數據,以涵蓋使用該工具之半導體製造商所使用的諸多處理方案。確定最佳神經網路結構,以支持可跨排程參數空間提供一致預測準確性之模型。儘管可使用晶圓處理方案之專用模型,但亦可透過使用從其他處理方案收集之數據來隨時間訓練模型而產生可涵蓋諸多處理方案之單一模型。
為易於模型維護,可在工具之系統軟體外部運行模型。亦即,模型可在工具之系統軟體外部,其與工具系統軟體分離,且不整合於工具之系統軟體中。該模型可根據操作員所選擇之晶圓流,從系統軟體接收輸入參數。接著,該模型可計算並預測最佳排程參數值,並將其發送回系統軟體。例如,設備製造商可將模型運用於雲端中作為半導體製造商可訂購之軟體即服務(software-as-a-service)。或者,設備製造商可將模型運用至工具之系統軟體中。
使用經訓練的模型允許內建於系統軟體中之排程器的基礎行為被擷取至神經網路模型中,其可接著用於預測將被使用之最佳排程參數值。操作員不再需要進行廣泛的模擬研究,以選擇最佳排程參數值。使用經訓練的模型亦允許系統軟體在新晶圓流要開始時自動選擇排程參數值。
另外,排程器之排程規則及評分系統可用神經網路模型代替。該模型係使用離散事件模擬器及強化學習來訓練,以自探索並記憶系統之給定狀態的最佳排程決策。此得以達成並維持系統之最佳產量性能。
自探索過程使用離散事件模擬器,以自動致力於找出以最佳產量性能操作系統之最佳可能方式(例如,找出透過工具移動晶圓之最佳路徑)。透過在工具上運行自訓練過程,可針對特定工具配置、晶圓處理方案及該工具特有的限制使該神經網路模型最佳化。
據此,本發明提供一智能機器學習輔助排程器。智能排程器利用自學習過程來訓練神經網路模型,以對系統之給定狀態作出最佳排程決策。該等決策有助於實現並維持工具於特定於半導體製造商之運行方案及排程限制下處於最佳產量條件。
例如,對於每一配方,智能排程器可確保晶圓閒置時間可小於總處理時間的2%,且製造效率(實際/理論週期時間)可大於97%。此外,智能排程器可補償工具之間的差異以及同一工具內隨時間推移可能發生的性能漂移。此外,智能排程器可透過考慮可能必須跳過或延遲以滿足製造期限的預防性維護,以使排程參數值最佳化。智能排程器之此等及其他特徵將於下詳細描述。
此外,如下解釋,為了改善用於多個並行材料沉積(例如,多層鍍覆)製程之工具中所使用之排程器調度的準確性,本發明提出基於巢狀神經網路之機器學習方法,其用於準確地預測針對不同製程之排程器調度。使用該方法,最初利用模擬在離線下開發並訓練模型,接著使用實際工具進行線上訓練,用於預測晶圓路線安排途徑及排程,以達到最高工具/機群利用率、最短等待時間及最快產量。
本發明安排如下。參考圖1,示出並描述工具的示例。參考圖2,示出並描述包括有處理腔室之基板處理系統的示例。參考圖3,示出並描述用以從諸多工具收集數據之數據收集系統的示例。參考圖4,示出並描述用以產生並訓練機器學習輔助模型的系統。參考圖5A-8,示出並描述用於產生並訓練機器學習輔助模型的方法。參考圖9-11,示出並描述用以產生本文所述模型之深度神經網路的示例。參考圖12,示出並描述使用離散事件模擬器來訓練強化學習模型之系統。參考圖13,示出並描述使用離散事件模擬器來訓練強化學習模型之方法。參考圖14,示出並描述用於訓練具巢狀神經網路之模型的系統,其使用離線模擬器及線上即時工具數據,以排程並調度工具中晶圓處理。參考圖15A及15B,示出並描述用於訓練具巢狀神經網路之模型的方法,其使用離線模擬器及線上即時工具數據,以排程並調度工具中晶圓處理。參考圖16,示出並描述包括有複數處理模組(例如,電鍍槽)之工具的示例。參考圖17,示出並描述圖16之工具的處理模組示例。參考圖18,示出並描述廠數據收集系統的示例,其與離線模擬器合併使用,以訓練用於排程並調度工具中晶圓處理之模型。
圖1示出基板處理工具100的示例。基板處理工具100包括複數處理腔室104-1、104-2、…及104-M(統稱為處理腔室104)(其中M為大於的1整數)。僅作為示例,每一處理腔室104可配置成執行一或更多類型的基板處理。換言之,基板可裝載至處理腔室104之一者中進行處理,接著移動至處理腔室104之一或更多其他者中(若至少一者執行不同處理)及/或從基板處理工具100中移出(若所有都執行相同處理)。
待處理之基板經由大氣到真空(ATV)轉移模組108之裝載站的埠,裝載至基板處理工具100中。在一些示例中,ATV轉移模組108包括設備前端模組(EFEM)。接著,基板轉移至一或更多處理腔室104中。例如,轉移機器人112佈設成將基板從裝載站116轉移至氣閘(airlock)或裝載室120,且真空轉移模組128之真空轉移機器人124佈設成將基板從裝載室120轉移至諸多處理腔室104 。
可提供處理腔室控制器130、傳送控制器134及/或系統控制器138。傳送控制器134控制機器人112及124、與傳送基板進出基板處理工具100有關的致動器及感測器。處理腔室控制器130控制處理腔室104的操作。一般而言,處理腔室控制器130監測感測器135,例如溫度感測器、壓力感測器、位置感測器等,並控制致動器136,例如機器人、埠、加熱器、氣體輸送系統、靜電吸盤(ESC)、射頻(RF)產生器等。與處理腔室104相關聯之處理腔室控制器130一般遵循著配方,其具體指定步驟的定時、待供應的製程氣體、溫度、壓力、RF功率等。
圖2示出用於處理基板之基板處理系統200的示例,以說明在處理腔室之操作期間所產生之數據類型示例。儘管示出特定類型的處理腔室,但可使用其他類型的處理腔室。基板處理系統200包括處理腔室202,其包圍基板處理系統200的其他構件並含有RF電漿。基板處理系統200包括上電極204及基板支座,例如靜電吸盤(ESC)206。在操作期間,基板208佈設於ESC 206上。
僅作為示例,上電極204可包括噴頭209,其引入並分佈製程氣體,例如沉積前驅物、蝕刻氣體、載氣等。ESC 206包括用作下電極之導電底板210。底板210支撐加熱板212,其可對應於陶瓷多區域加熱板。熱阻層214可佈設於加熱板212與底板210之間。底板210可包括一或更多冷卻劑通道216,用於使冷卻劑流過底板210。
RF產生系統220產生並輸出RF電壓至上電極204與下電極(例如,ESC 206的底板210)之一者。上電極204與底板210之另一者可為DC接地、AC接地或浮動。僅作為示例,RF產生系統220可包括RF電壓產生器222,其產生由匹配且分佈網路224供至上電極204或底板210的RF電壓。在其他示例中,電漿可感應地或遠端地產生。
氣體輸送系統230包括一或更多氣體源232-1、232-2、…及232-N(統稱為氣體源232),其中N為大於零的整數。氣體源232供應一或更多沉積前驅物、蝕刻氣體、載氣等。亦可使用汽化前驅物。氣源232透過閥234-1、234-2、…及234-N(統稱為閥234)及質量流量控制器236-1、236-2、…及236-N(統稱為質量流量控制器236)連接至歧管238。歧管238之輸出供至處理腔室202。僅作為示例,歧管238之輸出供至噴頭209。
光學發射光譜(OES)感測器239可安設於鄰近佈設在腔室表面241上之窗口240。OES感測器239選擇性地產生OES數據。溫度控制器242可連接至佈設於加熱板212中之複數熱控制元件(TCE)244。例如,TCE 244可包括,但不限於,對應於多區域加熱板中每一區域之各個巨型TCE及/或設置於多區域加熱板之多個區域各處之微型TCE陣列。溫度控制器242可用於控制複數TCE 244,以控制ESC 206及基板208的溫度。
溫度控制器242可與冷卻劑組件246連通,以控制冷卻劑流過通道216。例如,冷卻劑組件146可包括冷卻劑泵及貯存器。溫度控制器242操作冷卻劑組件246,以使冷卻劑選擇性地流過通道216以冷卻ESC 206。
閥250及泵252可用於從處理腔室202中抽空反應物。控制器260可用於控制基板處理系統200的構件。機器人270可用於輸送基板至ESC 206上並將基板移離ESC 206。例如,機器人270可於ESC 206與裝載室272之間轉移基板。雖然示為分開的控制器,但溫度控制器242可實施於控制器260中。
在基板之處理及傳送期間,基板處理工具產生大量的離散數據及串流數據。事件數據可用於確定諸多構件中之位置及停留時間。例如,在模組或前開式晶圓傳送盒(FOUP)中的停留時間可能導致基板之間的製程差異。系統日誌記錄系統級數據。在基板傳送期間,記錄額外數據。每一處理腔室亦在基板處理期間記錄數據。記錄的數據包括不同的數據類型、採樣率及/或格式。一些數據僅局部儲存於處理腔室中,而其他數據則儲存於廠級。
數據通常於固定頻率下以信息格式從工具傳至主機。數據一般不在基板基礎上發送。而是在時間基礎上發送數據。數據通常基於固定頻率或文件大小收集於文件中。數據通常是連續收集,而無界限。 在一些系統中,分別在配方開始及配方結束時處理最初及最終基板期間收集數據,但不對介於其間之基板進行收集。
從此些數據,可使用大數據工具及/或機器學習來收集並分析非機密操作數據,以建立並訓練可提供最佳排程參數之模型,以改善生產率及系統產量。此外,基於工具配置及配方數據,可利用模擬來產生額外模型訓練數據。
半導體製造商可組合來自具有不同格式之不同文件的數據。 在一些示例中,從客戶工具收集的數據可為支持加密及向前/向後相容(forward/backward compatibility)之一般結構化文件格式。在一些示例中,數據格式可與技術數據管理解決方案(TDMS)文件格式一致。在一些示例中,數據格式可為可存取的,並可透過客戶使用密鑰(例如,應用程式介面(API)密鑰)來讀取。數據上下文(context)及收集可跨不同數據類型而為一致,得以使用並分析,而無需對收集的數據執行清理、重新格式化及/或其他工作。
在一些示例中,可從基板離開晶舟的那一刻起收集數據,直到基板返回晶舟為止。在一些示例中,數據可儲存在單一文件中。在一些示例中,文件格式可為自洽(self-consistent)。在一些示例中,數據可基於數據的類型及上下文(context)於不同頻率下收集。在一些示例中,可使用帶有加密的TDMS,對數據進行格式化。在一些示例中,數據適配器可用於支持遺留數據及向後相容性、合併新數據類型以及支持主機傳送並串流數據。
圖3示出用於半導體處理系統之廠數據收集系統300。廠數據收集系統300包括N個基板處理工具320-1、320-2、…及320-N(其中N為整數)(統稱為基板處理工具320)。每一基板處理工具320包括一或更多處理腔室控制器340-1、340-2、…及340-N(統稱為處理腔室控制器340),以控制處理腔室的操作,如上所述。處理腔室所收集的數據示例包括基板或晶圓數據日誌、自動預防性維護、高速數據、光發射光譜(OES)、追蹤數據、OES快照、基座溫度圖及其他數據、校準文件、設備常數、 感測器數據及/或其他數據。
每一基板處理工具320可包括傳送控制器344-1、344-2、…及344-N(統稱為傳送控制器344),以控制動態對位並儲存校準文件、平台追蹤數據日誌、設備常數、轉移模組行動及/或其他數據。動態對位是指基板相對於其他腔室構件(例如基座、邊緣環或其他物件的中心)的位置。
每一基板處理工具320可分別包括工具系統控制器348-1、348-2、…及348-N(統稱為工具系統控制器348)。工具系統控制器348記錄批量歷史、詳細事件日誌、基於批的警報、基於時間的警報、工具控制器健康、部件追縱、構件歷史、材料排程以及其他數據。
每一基板處理工具320進一步包括數據診斷服務電腦350-1、350-2、…及350-N(統稱為數據診斷服務電腦350)以及數據儲存裝置362-1、362-2 、……及362-N(統稱為數據儲存裝置362)。在其他示例中,數據診斷服務電腦350可由兩個或更多工具共用,或者每一工具可包括多於一個的數據診斷服務電腦350。基板處理工具320透過例如工具數據匯流排或網路364-1及串流數據匯流排或網路364-2之一或更多匯流排連接到主機伺服器364。
在一些示例中,主機伺服器364包括安全模組366及數據選擇器模組367。安全模組366提供安全性,例如加密或密碼保護。安全模組366使用加密或密碼,以授予或拒絕接入基板處理工具320所儲存的數據及/或接入數據選擇器模組367。主機伺服器364進一步包括數據選擇器模組367,以允許使用者電腦380從一或更多基板處理工具中選擇一或更多數據類別,並使用一或更多數據上下文欄(context fields)來過濾數據。在其他示例中,安全模組366及/或數據選擇器模組367可使用分開的伺服器來實施。
主機伺服器364透過例如廣域網路(WAN)或區域網路(LAN)之網路368連接至機器學習電腦374及/或一或更多使用者電腦380。主機伺服器364所返回的數據集可被機器學習電腦374存取,以進一步分析。在一些示例中,機器學習電腦374包括安全模組375,以控制存取數據。機器學習電腦374使用由使用者選擇之數據收集系統300所產生的一或更多數據文件來執行機器學習。由於來自不同基板處理工具的文件格式相同,故數據可合併為單一文件並進行分析。此允許同一製程在多台機器中被分析。
基板處理工具320之數量T不受限制。另外,基板處理工具320無需位於相同設施處。在一些示例中,可授權設備製造商存取多個半導體製造商所儲存的數據。在一些示例中,一些或所有數據產生裝置(除了串流或HSD裝置之外)的採樣率可對準共同採樣週期,並基於採樣週期將數據添加至共同文件。
圖4示出根據本發明之用於產生並訓練機器學習輔助模型之系統400。例如,該系統400可包括機器學習電腦(例如,圖3所示之元件374)。該系統400包括數據收集器402、模擬器404、數據分析器406及模型產生器408。數據收集器402自一或更多工具收集數據,用於產生並訓練神經網路模型。模擬器404基於從工具獲得的硬體配置、晶圓流類型、運行方案、配方時間及無晶圓自動清潔(WAC)時間來產生額外訓練數據。
數據分析器406利用用於分析大數據之技術,來分析數據收集器402所收集的數據及模擬器404所產生的數據。數據分析器406獲取排程參數值與晶圓處理方案之間的基礎關係。模型產生器408透過將機器學習技術應用至數據分析器406所分析的數據來產生模型。模型產生器408定義、訓練並驗證一或更多模型,如下詳細敘述。
在系統400中,所有元件402-408可透過單一電腦(例如,圖3所示之元件374)來實施。元件402-408中之每一者可透過分開的電腦來實施。元件402-408中之一或更多者可透過分開的電腦來實施。換言之,元件402-408可使用一或更多計算裝置來實施。
每一計算裝置可包括一或更多硬體處理器(例如,CPU)。每一計算裝置可包括記憶體,其儲存對應於以下參考圖5A-8所示並描述之方法的指令。計算裝置之硬體處理器可執行儲存於計算裝置之記憶體中的指令。
元件402-408中之一或更多者可透過一或更多網路通信地互連。例如,網路可包括LAN、WAN、網際網路、基於雲端的網路系統或任何其他分佈式通信系統(例如,基於客戶端-伺服器架構之網路系統)。
例如,數據收集器402可被實施為圖3所示之廠數據收集系統300。數據收集器402從一或更多工具(例如,圖1所示之工具100)收集數據。該一或更多工具可位於相同客戶位置。該一或更多工具可位於同一客戶之不同位置。該一或更多工具可位於不同客戶的位置。從工具收集到的數據不包括客戶的私有及機密數據,但包括可用於產生並訓練模型之所有其他操作數據。
僅作為示例,從其收集數據的工具可為蝕刻工具,且可具有以下構造。該工具可具有多達4個氣閘。晶圓流配置可為具有冷卻站之1級(僅蝕刻)及/或2級(蝕刻及剝離)。配方類型可包括晶圓處理、無晶圓自動清潔、預處理及後處理等。運行方案可包括單流及/或並行運行之多流。該工具可包括多達六個處理腔室,包括一或更多蝕刻腔室、一或更多剝離腔室、清潔腔室等。
在系統400中,模型產生器408將機器學習(ML)方法應用至從工具及模擬器404獲得並由數據分析器406分析的歷史數據,以產生產量預測模型。使用該模型,工具之排程器可使用模型為給定工具配置所提供之最佳排程參數來對晶圓之處理進行排程。由於基礎變量之間的複雜關係,簡單的線性回歸無法很好地發揮作用。相反地,使用機器學習方法提供了靈活度,以處理複雜非線性數據。機器學習方法之示例包括人工神經網路(ANN)、支援向量回歸(SVR)等。
模型產生器408可使用其他方法代替機器學習方法或除機器學習方法之外使用其他方法。其他方法的示例包括超啟發(metaheuristic)及數學方法(例如Petri網)。超啟發式方法是人工智能(AI)的一個分支,且為自動試錯法,以找出得以滿足預定性能要求之近乎最佳排程模式。接著,排程參數值可從選定的排程模式中提取出。用於尋找近乎最佳排程模式的演算法示例包括基因演算法及基因程式設計。
使用選定的機器學習方法,模型產生器408訓練模型,以預測最佳排程參數值。該模型係使用例如從工具之預防性維護操作(PM)、配方時間及無晶圓自動清潔(WAC)時間收集到的數據來進行訓練。該模型用於獲取排程參數值與諸多晶圓處理方案之間的基礎關係,以對應地作出預測,其免去建立最佳值選擇準則之需要。該模型可跨參數空間提供一致的預測準確性。
該模型產生器408可產生並訓練用於特定晶圓處理方案之專用模型。換言之,該模型可針對特定工具進行訓練。替代地,模型產生器408可產生並訓練可涵蓋諸多方案之單一模型。換言之,模型可訓練成作用於多個工具。例如,針對特定工具訓練的專用模型可隨著時間利用從其他方案(來自於其他工具)收集到的數據而進一步訓練成作用於多個工具。
為了確定一模型是否可涵蓋所有可能的方案或是否需要專用模型,模型產生器408可基於從多個工具配置及運行方案收集到的數據,應用選定的機器學習方法來產生模型,以檢查預測準確性是否可滿足成功標準。成功標準可包括模型是否可補償工具之間的變化以及同一工具內隨時間推移可能發生的性能漂移。成功標準可進一步包括模型是否可透過考慮跳過的預防性維護,使排程參數值最佳化。成功標準亦可包括晶圓閒置時間是否小於所有晶圓之總處理時間之小的百分比(例如2%),以及每一配方的製造效率(實際/理論週期時間)是否可以是高的(例如,大於97%)。
在使用時,模型可基於操作員選擇的晶圓流,從工具的系統軟體(例如,從工具100的系統控制器138)接收輸入參數。例如,模型可接收PM數、配方時間及WAC時間作為輸入。 接著,該模型可計算並預測最佳排程參數值,並將其發送回系統軟體。經訓練的模型獲取內建於系統軟體中之排程器的基礎行為,其可接著用以預測根據選定晶圓流處理一組晶圓時將使用的最佳排程參數值。使用經訓練的模型亦使得工具的系統軟體得以在即將開始新的晶圓流時自動選擇排程參數值。工具操作員不再需要進行廣泛的模擬研究以選擇最佳的排程參數值。
該模型可以許多方式實施。例如,該模型可整合至工具的系統軟體中。替代地,該模型可獨立於工具的系統軟體遠端地實施,且模型所產生的預測結果可供應至工具的系統軟體。例如,為易於模型維護,模型可在工具之系統軟體外部運行。該模型可根據操作員選擇的晶圓流,從系統軟體接收輸入參數。接著,該模型可計算並預測最佳排程參數值,並將其發送回系統軟體。例如,該模型可運用於雲端中作為軟體即服務。
當模型整合至工具的系統軟體中時,該模型可從每一工具基礎上之工具的獲取數據,在工具上針對給定的運行方案產生最佳排程參數。據此,於此實施方式中,模型的預測係基於實際工具數據。該模型亦適應於工具之間的差異。該模型可被更新,以調整工具性能漂移。然而,在此實施方式中,對工具本身執行了密集的計算。此外,該工具獲取數據可能不足以使模型提供最佳解決方案。可透過將模型與來自工具的一組數據一起使用,並評估數據組是否足以預測最佳排程參數,來確定數據的充足性。
當模型與工具分開實施時,模型產生器408可辨識並儲存每一工具之一組最佳配置及運行方案,並儲存該組以進行自動選擇。模擬器404可針對工具配置、晶圓流類型、配方/ WAC時間及運行方案之諸多組合產生訓練數據。模型產生器408可基於訓練數據組來辨識產生最佳預測模型之最佳機器學習方法,以產生最佳系統產量。接著,該模型可用以產生每一工具配置及方案之一組最佳排程參數。該組模型結果可編碼至使用者介面中,以便工具操作員根據工具操作員所選之工具的工具配置及運行方案進行自動排程參數選擇。
在此實施方式中,執行於工具的計算受到限制。然而,模型產生器408執行廣泛的計算,以涵蓋諸多工具的諸多配置及方案。此外,模型的預測係基於模擬數據,而不是諸多工具的獲取數據。因此,模型性能取決於模擬數據的品質。該模型亦可能無法支持所有可能的工具配置及運行方案。此外,該模型可能無法適應於工具之間的差異,且可能無法針對工具性能漂移進行調整。
圖5A示出用於產生機器學習輔助模型並基於使用該模型所產生之排程參數來操作工具之方法500。該方法500可由圖4所示之系統400來執行。例如,系統400之元件402-408中的一或更多者可執行方法500之下述步驟中的一或更多者。
在502,從一或更多工具接收用於產生並訓練模型的數據。在504,接收一或更多工具之配置及配方數據。在506,使用模擬器(例如,上述參考圖4示出並描述的元件406),基於配置及配方數據來產生用於產生並訓練模型的額外數據。參考圖5B,進一步詳細解釋模擬器的操作。
在508,使用機器學習方法,透過分析從工具及模擬器接收之數據,來產生並訓練模型。參考圖5C,進一步詳細解釋分析數據的過程。參考圖6及7,進一步詳細解釋模型產生及訓練過程。
在510,對模型進行測試及驗證。參考圖8,進一步詳細解釋模型驗證過程。
在512,該方法500確定是否滿足模型的測試標準。若不滿足測試標準,該方法500則返回502。在514,若滿足測試標準,則運用模型以供使用。該模型整合至工具的系統軟體中,或與工具的系統軟體分開實施,如上所述。
在516,模型從工具的系統軟體接收輸入,其與將在一組晶圓上執行的處理有關。在518,基於接收的輸入,模型提供最佳排程參數值至用以處理晶圓組之工具的系統軟體。在520,基於接收到的排程參數值,工具的系統軟體排程操作,以處理晶圓組。
圖5B示出模擬器404的操作,其為模擬器404所執行之方法550。如圖5A中504所述,接收該一或更多工具之配置及配方數據。於圖5B中,在552,模擬器404使用工具之硬體配置及配方數據等,來模擬工具之處理環境。在554,模擬器404在工具之模擬環境中模擬晶圓的處理。在556,模擬器404基於在工具之模擬環境中對晶圓的模擬處理來產生額外數據,以產生並訓練模型。
圖5C示出數據分析器406的操作,其以數據分析器406所執行之方法580形式呈現。如圖5A中508所述,透過分析從工具及模擬器接收的數據,來產生並訓練模型。於圖5C中,在582,數據分析器406分析從工具及從模擬器404收集到的數據。在584,數據分析器406利用用於分析數據之技術來分析數據。
在586,數據分析器406基於收集到之數據的分析,檢測關於工具之PM、WAC時間、等待時間、配方時間及產量的模式。在588,數據分析器406檢測上述工具之間的變化及相同工具的變化。在590,數據分析器406將檢測到之模式及變化提供至模型產生器408,以用於使用機器學習之模型訓練中。
圖6及7更詳細地示出模型產生及訓練過程。在圖6中,其示出用於產生模型的方法600。在602,定義模型。在604,訓練模型。在606,驗證模型。
在圖7中,其示出用於產生模型的方法700。在702,模型係透過選擇用於深度神經網路的網路拓撲來定義。深度神經網路之示例如圖9所示。例如,選擇網路拓撲包括選擇輸入數量、神經元數量及深度神經網路的層數。
從704到710,訓練模型。在704,模型在訓練數據(例如,從工具收集到的數據及模擬器所產生的數據)上運行。在706,對照訓練數據來檢查模型所預測的輸出。在708,調整模型參數及/或網路技術,以在模型之預測與實際數據之間產生更好的匹配。在710,確定模型是否滿足預定標準。
例如,預定標準包括確定模型是否可補償工具之間的變化及相同工具的性能漂移,以及模型是否可針對得不到的PM進行最佳化。此外,預定標準可包括確定模型輸出是否確保小的晶圓閒置時間(例如,小於2%)及高的製造效率(例如,大於97%)。若未滿足預定標準之一者,方法700則返回704。在712,於滿足預定標準下,該模型透過以來自工具的新數據來測試模型以進行驗證。
圖8進一步詳細示出用於驗證模型的方法800。該方法稱為K折驗證(K-fold validation)方法。在802,用於驗證的數據被分成K個分區,其中K為大於1的整數。在804,例示相同的模型。在806,在一分區上訓練每一模型,並在其餘分區上進行評估。對每一評估分配驗證分數。在808,模型之驗證分數為模型之K個驗證分數的平均值。運用驗證分數最高的模型以供使用。
其他驗證方法可用於驗證模型。例如,可使用N折交叉驗證(N-fold cross-validation)方法。 在此方法中,全部數據集被分為一個最終測試集及N個其他子集,其中N為大於1的整數。每一模型在除了一個以外的子集上進行訓練,以獲得N個不同的驗證錯誤率估計。運用驗證錯誤率最低的模型以供使用。
圖9及10示出用於使用機器學習技術來產生本文所述模型之深度神經網路的示例。機器學習是用於設計有助於預測之複雜模型及演算法的方法。使用機器學習所產生的模型可產生可靠、可重複的決策及結果,並透過從數據之歷史關係及趨勢中學習來發現隱藏的見解。
使用基於深度神經網路的模型並使用機器學習來訓練模型的目的是為了直接預測依變項( dependent variables),而無需將變項之間的關係轉換為數學形式。神經網路模型包含大量並行操作且分層排列的神經元。第一層為輸入層,並接收原始輸入數據。每一連續層修改來自前一層的輸出,並將其發送至下一層。最後一層為輸出層,並產生系統的輸出。
在輸入層中,每一輸入節點與一數值相關,該數值可為任何實數。在每一層中,每一從輸入節點出發的連接具有與其有關的權重,該權重亦可為任何實數(請參見圖10)。在圖9中,其示出完全連接的神經網路,其中給定層中之每一神經元與下一層中之每一神經元相連。
在輸入層中,神經元的數量等於數據集中之特徵(列)的數量。輸出層可具有多個連續輸出。輸入與輸出層之間的層為隱藏層。隱藏層的數量可為一或更多(一個隱藏層對大多數應用可能就足夠了)。沒有隱藏層的神經網路可表示線性可分函數(linear separable function)或決策。具有一個隱藏層的神經網路可執行從一有限空間到另一有限空間的連續映射。具有兩個隱藏層的神經網路可使任何光滑映射接近於任何精確度。
可將神經元的數量最佳化。在訓練開始時,網路配置很可能會有過多的節點。在訓練期間,可從網路中刪除不會明顯影響網路性能的節點。例如,可刪除(修剪)訓練後權重接近零的節點。神經元的數量可能會導致不足擬合 (無法充分獲取數據集中的信號)或過度擬合(不足以訓練所有神經元的資訊;網路在訓練數據集上執行良好,但在測試數據集上執行不佳)。
諸多方法可用於測量模型的性能。例如,均方根誤差(RMSE)量得觀得值與模型預測之間的平均差距。決定係數(R2 )量得觀得與預測結果之間的相關性(而非準確性)。若數據有大的差異,則此方法不可靠。其他性能測量包括不可約噪音(irreducible noise)、模型偏差(model bias)及模型方差(model variance)。高偏差表示該模型無法獲取預測子與結果之間的真實關係。模型方差可表示模型是否不穩定(數據中的微小擾動會顯著改變模型擬合)。
圖11示出高偏差與高方差的示例。高方差可表示過度擬合。可使用諸多方法防止過度擬合。例如,可使用正規化(regularization),其中大的權重可使用其平方值(L2罰分)或絕對值(L1罰分)的罰分(penalty)或約束(constraint)進行罰分。又,可使用更多數據。此外,可使用修剪(刪除近乎零權重因子之神經元)及/或裝袋(bagging)(在訓練數據之不同子集上訓練模型),以防止過度擬合。
圖12示出使用離散事件模擬器來訓練強化學習模型之系統1200。例如,上述系統400所產生之模型可利用如下所述使用系統1200之強化學習來進一步訓練。系統1200包括離散事件模擬器1202及強化學習模型1204。離散事件模擬器1202與工具的系統軟體(例如,圖1所示之工具100的控制器138,其執行該工具的系統軟體)及強化學習模型1204(例如,圖4所示之系統400所產生的模型) 通信。
在系統1200中,所有元件138、1202及1204可由單一電腦實施。元件138、1202及1204中之每一者可由分開的電腦實施。元件138、1202及1204中之一或更多者可由分開的電腦實施。 換言之,元件138、1202及1204可使用一或更多計算裝置來實施。
每一計算裝置可包括一或更多硬體處理器(例如,CPU)。每一計算裝置可包括記憶體,其儲存對應於以下參考圖13示出並描述之方法的指令。計算裝置之硬體處理器執行儲存於計算裝置之記憶體中的指令。
元件138、1202及1204中之一或更多者可透過一或更多網路通信地互連。例如,網路可包括LAN、WAN、網際網路、基於雲端的網路系統或任何其他分佈式通信系統(例如,基於客戶端-伺服器架構之網路系統)。
此外,元件1202及1204可與圖4所示之系統400(例如,與模型產生器408)整合。換言之,系統1200可與系統400整合。
如上所述,在一些工具中,系統軟體中的排程器可使用一組排程規則及評分系統來作出排程決策。然而,隨著工具之系統配置、運行方案及排程限制之複雜性增加,排程決策方案之複雜性亦隨之增加,其因而需要更多開發工作,以達到並維持最佳之系統產量。
排程器之排程規則及評分系統可用神經網路模型1204代替。該模型1204可使用離散事件模擬器1200及強化學習來訓練,以自探索並記憶工具之給定狀態的最佳排程決策。此得以達成並維持工具之最佳產量性能。
如下參考圖13進一步詳細解釋,自探索過程係使用離散事件模擬器1202,以自動致力於找出以最佳產量性能操作系統之最佳可能方式(例如,找出透過工具移動晶圓之最佳路徑)。透過在工具上運行自訓練過程,可針對特定工具配置、晶圓處理方案及該工具特有的限制使該神經網路模型1204最佳化。
離散事件模擬器1202為快速模擬器。例如,離散事件模擬器1202可在少於一分鐘時間內模擬花費約一小時之晶圓處理序列。離散事件模擬器1202可模擬1級與2級晶圓處理序列以及在PM中運行WAC。離散事件模擬器1202包括基於內建HTTP伺服器的應用程式介面(API),以利於離散事件模擬器1202與模型1204之間的資訊交換。離散事件模擬器1202經由API以JSON格式輸出排程週期資訊。模型1204處理JSON文件,以選擇下一個操作,其透過API返回離散事件模擬器1202。
另外,模型1204包括深度神經網路,其使用強化學習方法進行訓練,如下參考圖13進一步詳細解釋。強化學習涉及一代理、一組狀態S及每一狀態之一組行動A。透過從該組A執行行動「 a」,代理可於狀態間轉換。在特定狀態下執行行動會為代理提供獎勵(數字分數)。代理的目標是將總(未來) 獎勵最大化。代理透過將可從未來狀態獲得之最大獎勵添加至實現其當前狀態之獎勵中來達成目標,其透過潛在的未來獎勵有效地影響其當前行動。該潛在獎勵是從當前狀態開始之所有未來步驟之獎勵的期望值加權總和。
例如,模型1204所使用之強化學習方法可以包括Q學習。Q學習為用於機器學習的強化學習方法。Q學習之目標是學習可通知代理在何種情況下採取何種行動之策略。Q學習可處理隨機轉換及獎勵問題,而無需適應(adaptation)。Q學習為任何有限馬可夫決策過程(FMDP)找到最佳策略。Q學習從當前狀態開始,在所有連續步驟中使總獎勵的期望值最大化。
圖13示出使用離散事件模擬器1202來訓練強化學習模型1204之方法1300。方法1300可由圖12所示的系統1200來執行。例如,系統1200之元件138、1202及1204中的一或更多者可執行方法1300之下述步驟中的一或更多者。
在1302,離散事件模擬器1202從工具(例如,圖1所示的工具100)接收數據。例如,離散事件模擬器1202從在工具100之系統控制器138上運行的系統軟體,接收指出工具之當前狀態的數據。例如,工具之狀態資訊可包括晶圓之處理狀態中工具資源(例如,PM、氣閘等)的狀態。
在1304,離散事件模擬器1202產生一組所有可能的下一排程級操作,其可由該工具執行以轉換至下一狀態。在1306,離散事件模擬器1202將該組之下一排程級操作輸出至模型1204。在1308,模型1204選擇最佳之下一操作進行排程,其將提供最佳系統性能。在1310,模型1204針對此工具狀態記憶該最佳下一操作進行排程。在1312,離散事件模擬器1202執行該最佳下一操作以模擬下一狀態。
在1314,離散事件模擬器1202確定是否達到最終狀態。離散事件模擬器1202重複步驟1304-1312,直到達到最終狀態。在1316,在達到最終狀態之後,模型1204之強化訓練完成。在1318,當實際晶圓處理期間工具中出現該特定狀態時,模型1204使用針對每一狀態之該被記憶的最佳下一操作。如此一來,使用模型1204,當從一狀態轉換至另一狀態時,該工具始終選擇透過工具移動晶圓之最佳路徑,以達最佳產量效能。
據此,系統1200與系統400一起提供智能機器學習輔助排程器。該智能排程器使用自學習過程來訓練神經網路模型,以對給定之系統狀態作出最佳排程決策。該等決策有助於達到並維持該工具在特定於半導體製造商之運行方案及排程限制下處於最佳的產量條件。例如,對於每一配方,智能排程器可確保晶圓閒置時間可小於總處理時間的2%,製造效率(實際/理論週期時間)可大於97%。
另外,為了改善用於多個並行材料沉積(例如,多層電鍍)製程之工具中所使用之排程器調度的準確性,本發明提出使用機器學習進行訓練之基於巢狀神經網路的模型。具體地,如下詳細解釋,基於巢狀神經網路之模型最初是使用模擬數據進行設計及離線訓練,接著再使用實際工具數據進行線上訓練,以預測晶圓的路線安排途徑及排程。該模型可達到最高之工具/機群利用率、最短等待時間及最快產量。
目前,由於用於並行配方之排程器規則的動態性質,該調度通常有以下問題。調度是預測排程器行為(例如晶圓路線安排)並決定何時將下一組晶圓(通常是一對晶圓)發送至工具中以進行處理(例如鍍覆)之的能力。有時,排程器行為無法被準確地預測。因此,晶圓可能太遲或太早分配至工具中。太晚分配晶圓降低工具之產量,而太早分配晶圓則因增加晶圓等待時間(導致晶圓乾掉)而降低晶圓產率(yield)。因此,排程器需準確地預測何時將晶圓送至工具中,以達到最大的產量及產率。
具體地,工具通常使用兩個機器人用於晶圓轉移:前端(FE)機器人將一對晶圓轉移至工具中,後端(BE)機器人將一對晶圓從工具中的之一處理模組轉移至工具中之另一處理模組。可在工具之一或更多處理模組中對晶圓執行相同或不同製程。此兩者機器人的排程需結合處理模組之可用性來進行協調。一旦晶圓進入工具,即需在正確的時間以正確的順序有可用的處理模組。否則,晶圓必須等待,直到其被安排到達之下一處理模組變成可用為止,此可能會導致晶圓變乾,因而降低產率。
複雜排程方案之額外挑戰是,不同製程之處理時間可能變化很廣(例如,從一製程之幾分鐘到另一製程之近一小時)。例如,簡單的配方可包括以單層處理晶圓。 在此情況下,進入工具之晶圓將首先轉移至預處理模組,接著轉移至鍍覆模組,然後再轉移至後處理模組,隨後晶圓離開工具。對於兩層處理配方,進入工具的晶圓將首先轉移至預處理模組,接著轉移至第一處理模組,再轉移至第二處理模組,然後轉移至後處理模組,隨後晶圓離開 工具等等。可理解,有更多處理層之配方會有更長的處理時間。
然而,對於一些配方而言,工具中可能有一些靈活性,其可有利地用於改善機器人排程及晶圓路線安排。例如,對於多層處理配方,若用於N層之處理材料是相同的,且若有N個可沉積相同處理層之處理模組,則晶圓可在N個處理模組中之任何一者之間轉移。此路線安排靈活性提供多於一個使晶圓在N個處理模組間轉移之路線安排途徑的機會。然而,知道N個處理模組中之何者在何時將是可用的,對協調並排程晶圓路線安排是必要的。此外,知道轉移機器人之可用性對協調並排程晶圓路線安排也是必要的。
據此,並行配方存有諸多FE/BE機器人轉移時間 (工具之間及工具內模組之間)。對於大多數情況,當前調度方法通常是使用靜態FE /BE機器人預留時間,而無路線安排途徑預測。此外,當前調度方法在晶圓等待時間與產量之間面臨以下困境 : 降低FE/BE機器人預留時間可提高產量,但可能導致晶圓在處理模組中等待更長的時間,而增加FE /BE機器人預留時間可將晶圓等待時間降至最小,但可能導致每小時晶圓數(WPH)產量下降(例如,在重佈層或RDL配方中)。此外,目前,對於此些工具上啟用之不同參數組及選項而言,程式執行時間預估並非總是準確的。
為了解決此些問題,本發明提出動態調度方法(排程器層神經網路),其係基於以下輸入來預測晶圓路線安排途徑及產量 : 1)工具配置; 2)配方結構(例如,並行處理模組、單層/多層等); 3)準確的程式執行時間預估(由模組層神經網路基於參數組數值及啟動/停止選項之輸入提供); 4)路線安排途徑上每一處理模組的狀態(例如,線上/離線、前往處理模組之晶圓數、剩餘製程時間、服務程式之前剩餘的時間等); 5)可為一群工具而添加之神經網路的第三層,以在工具之間進行批分配最佳化。
所提出之動態排程器調度方法係使用基於巢狀神經網路之模型,其利用機器學習來訓練,以解決晶圓處理以及晶圓/批分配與路線安排(在模組、工具及機群級別)期間之動態晶圓排程問題。最初,模擬係用以產生數據,以訓練並測試神經網路。據此,模擬需要準確,其需準確的程式執行時間預估。神經網路層係用於準確預測程式執行時間。在此層中,每一處理模組使用一神經網路,以預測程式執行時間。此外,每一機器人使用一神經網路,以預測每一機器人的轉移時間。
該方法採用使用模擬之離線學習及使用即時工具數據之線上學習兩者。離線學習係基於模擬器或工具上所收集的數據,以將生成期間之計算複雜性降至最低。在晶圓/批分配之前,將離線訓練期間為神經網路所確定的權重應用於排程器調度演算法。接著,線上學習係用以基於即時數據來動態調整神經網路。
因此,在所提出之方法中,用於排程器調度之模型係使用巢狀神經網路或其他機器學習算法來建立。該模型最初是利用模擬進行離線建立、訓練及測試。隨後,該模型透過漸進地使用來自工具之數據流而進一步在實際工具上就地不斷地進行精鍊及訓練,以對模型作進一步調整,其反應特定於工具及特定於配方之機器人轉移時間並補償任何製程漂移 。就地訓練亦針對任何配方改變及/或工具硬體改變來調整模型。
使用巢狀神經網路之動態排程器調度方法改善並行配方(有多層材料沉積與等待時間限制)的工具/機群產量及利用率,並防止晶圓乾掉的問題。該方法可推薦配方/晶圓分配組合,並有最大的工具利用率。該方法亦可預測涉及前端機器人與後端機器人以及晶圓對準器之路線安排途徑、處理模組之入站途徑、處理模組之間的途徑以及從處理到出站模組的途徑。另外,該方法可針對給定應用推薦最佳的工具硬體配置。
動態排程器是階段式設計、開發及實施。第一階段包括如下離線訓練單一神經網路。模擬器係用以利用實際工具中之實際轉移時間,來模擬實際工具中可能可行之諸多排程方案及晶圓路線安排途徑。該模擬器基於不同工具之硬體配置以及基於可用於處理晶圓之工具中的諸多製程來執行此等模擬。在循環模式下,基於模擬器中之測試方案,模擬晶圓周期,以收集大量數據集。神經網路係使用機器學習進行離線訓練。計算出的權重(由模擬器產生,以將晶圓排程及路線安排最佳化)及神經網路輸入接著被供至排程器調度演算法中,而在相同的等待時間內觀察到產量改善。
在第二階段中,如下針對一群工具中之一工具來離線訓練巢狀神經網路。在模型之初始層中,訓練模組級神經網路(即,用於處理模組之神經網路),以預測不同製程之程式執行時間。在同一層中,對工具中每一機器人之一神經網路進行訓練,以預測不同製程之轉移時間。在模型之後續層中,將來自初始層之神經網路的輸出(即,程式執行時間及轉移時間的預測)輸入至包括排程器級神經網路的下一層。
將排程器級神經網路之輸入擴充至其他工具配置、配方類型之組合、製程時間、將在晶圓上處理之多層、排程器模式等。與模組級神經網路耦合(即巢套),具有擴充輸入之排程器級神經網路對最佳產品/配方/晶圓組合提供推薦,以達到最高工具/機群利用率,得以降低工具的持有成本。亦即,基於巢狀神經網路之動態排程器(其利用不同工具硬體配置及不同配方類型進行訓練)現可對一給定配方或複數配方推薦最佳的工具硬體配置。可僅利用基於模擬器之訓練來提供此等推薦。
第三階段包括線上即時且無監督學習。使用機器學習之線上連續神經網路訓練係基於一工具或一群工具之實際生產數據。線上訓練係用於動態調整如上述利用模擬器進行離線訓練之神經網路輸入的權重。由於製程配方及/或硬體可能會改變,因此需連續(即不間斷)訓練。當發生此等改變時,模型需要適應此些改變,其可透過連續訓練來完成。因此,動態排程器從有監督進展至無監督的機器學習,並發展出從錯誤中學習並在下一次作出更佳經訓練預估的能力。
由於訓練的連續性質,工具所產生的數據被自動標記,以允許漸進訓練。亦即,在當前製程中處理一組晶圓之後,來自當前製程之數據被自動標記,使得該等數據除了先前製程中之對應數據之外還可被使用或代替其被用以進行漸進學習。動態排程器之此等及其他態樣將於下進一步詳細敘述。
如參考圖14-15B所使用,等待時間是在處理模組中完成晶圓處理之後晶圓必須等待的時間量,直到可在下一處理模組中開始晶圓處理為止。等待時間係發生在下一處理模組尚未準備好處理晶圓時(例如,由於下一處理模組尚未完成並仍在處理其他晶圓)。程式執行時間或處理時間是處理模組完成晶圓處理所花費的時間量。機器人之轉移時間是機器人將晶圓從A點移至B點(例如,從一處理模組到另一處理模組、或從氣閘到處理模組、以及從工具的裝載站到氣閘)所花費的時間量。
圖14示出使用離線模擬器1404及來自工具1406 (例如,圖16所示之工具1600)之線上即時數據來訓練具有巢狀神經網路之模型1402以排程並調度工具1406中晶圓處理的系統1400。模型1402包括複數模組級神經網路及排程器級神經網路。該複數模組級神經網路包括用於工具1406中每一處理模組(例如,圖16所示之處理模組1602)之一神經網路及用於工具1406中每一機器人(例如,用於圖16所示之機器人1610及1614)之一神經網路。此些神經網路示為1410-1、…及1410-N,其中N為大於1的整數,可統稱為神經網路1410。神經網路1410輸出處理模組(例如,圖16所示之處理模組1602)之程式執行時間的預測及機器人(例如,圖16所示之機器人1610及1614)轉移時間的預測。
排程器級神經網路示為1412,並接收神經網路1410之輸出作為輸入。排程器級神經網路1412對工具內處理模組之間晶圓的路線安排進行排程,並輸出關於何時將下一對晶圓轉移至工具以進行處理的預測。神經網路1410及排程器級神經網路1412在其最初於模擬器1404上進行訓練期間,且隨後在工具1406上實際使用並繼續訓練期間,輸出其相應的預測(例如,當由圖16所示之系統控制器1616實施或與其整合時)。
僅作為示例,模擬器1404可使用計算裝置來實施,例如包括一或更多硬體處理器(例如,CPU)及儲存一或更多電腦程式(其在電腦上模擬工具(如工具1406)之操作及處理環境)之一或更多記憶體裝置的電腦。電腦程式另外包括用於在模擬器1404上產生、訓練並驗證模型1402之神經網路1410及排程器級神經網路1412的指令,如下參考圖15A及15B所解釋。該一或更多硬體處理器執行該一或更多電腦程式。
模擬器1404及工具1406可透過一或更多網路通信地互連。例如,網路可包括LAN、WAN、網際網路、基於雲端的網路系統或任何其他分佈式通信系統(例如,基於客戶端-伺服器架構的網路系統)。
例如,模擬器1404可利用圖18所示之廠數據收集系統1800,從工具1406收集/接收數據。儘管在圖14中僅示出一工具,但可從多於一個的工具收集/接收數據。該一或更多工具可位於相同客戶位置。該一或更多工具可位於同一客戶之不同位置。該一或更多工具可位於不同客戶的位置。從工具收集到的數據不包括客戶的私有及機密數據,但包括可用於產生並訓練模型之所有其他操作數據。
僅作為示例,從其收集數據的工具1406可執行多個並行的材料沉積(例如鍍覆)製程,並可具有以下配置。該工具可包括多達兩個平台及兩個機器人,每一平台包括多個處理模組。例如,多個處理模組可包括一個預處理模組、一個後處理模組及四個用於執行鍍覆製程之處理模組。該工具可進一步包括排程器,以控制該工具中之晶圓處理。該等製程可包括單層鍍覆或多層鍍覆。
模擬器1404模擬工具配置並模擬工具中晶圓的處理。模擬器1404包括利用機器學習之一或更多系統,以使用透過模擬晶圓處理之模擬器1404所產生的數據來產生、訓練並驗證模型1402之神經網路1410及1412。使用經訓練的模型1402,工具的排程器可對晶圓的處理進行排程,並提供最佳產量及等待時間。經訓練的模型1402亦可推薦將用於給定應用之工具的最佳硬體配置。
圖15A及15B示出使用離線模擬器及線上即時工具數據來訓練具有巢狀神經網路之模型以排程並調度工具中晶圓處理的方法1500。例如,圖14所示之系統1400的模擬器1404及工具1406的系統控制器(例如,圖16所示之元件1616)中的一或更多者可執行方法1500之以下步驟中的一或更多者。
在1502,方法1500獲得有關工具之硬體配置及將在工具中執行於晶圓上之製程(例如,多層鍍覆)配方的數據。在1504,使用模擬器,基於所獲得的數據,方法1500模擬用以處理晶圓之諸多路線安排及排程方案。在1506,使用模擬器,方法1500使用諸多路線安排及排程方案來模擬晶圓處理。
在1508,方法1500從使用模擬器執行模擬晶圓處理中收集數據。在1510,使用所收集的數據,方法1500設計並訓練複數神經網路。該複數網路包括用於工具中每一處理模組之一個神經網路、用於工具中每一機器人之一個神經網路、以及用於工具所使用之排程器(以安排晶圓在工具中的路線,並對何時在工具中處理下一組晶圓進行排程)之一個神經網路。例如,用於產生並訓練神經網路的方法可類似於參考圖6-11所述之方法。
在1512,該方法1500使用各個神經網路,預測每一處理模組之程式執行時間,並預測機器人的轉移時間。在1514,該方法1500使用從工具獲得的數據,來驗證用於處理模組及機器人的神經網路。
在1516,該方法1500確定是否成功驗證用於處理模組及機器人的神經網路。例如,用於驗證神經網路的方法可類似於參考圖6-11所述之方法。例如,驗證標準可基於神經網路之收斂(convergence)特性能夠多準確地預測程式執行時間及機器人轉移時間。若未成功驗證用於處理模組及機器人的神經網路,該方法1500則返回1508以進一步訓練。
在1518,若成功地驗證用於處理模組及機器人的神經網路,利用該模擬器,該方法1500將用以使產量及等待 時間最佳化之神經網路所產生的預測時間及權重輸入至排程器級神經網路中。在1520,使用排程器級神經網路,該方法1500預測晶圓路線安排及排程數據,其包括何時在工具中對下一組晶圓的處理進行排程。
在1524,用於驗證排程器級神經網路的方法可類似於參考圖6-11所述之方法。例如,用於驗證之標準可基於排程器級神經網路能夠多準確地預測晶圓路線安排及排程。若未成功驗證排程器級神經網路,該方法1500則返回1518以使用模擬器進一步訓練排程器級神經網路。
在1526,若成功驗證排程器級神經網路,包括用於處理模組、機器人及排程器之所有神經網路的模型則整合至工具的控制器中(例如,圖16中所示的元件1616)。該模型現可預測程式執行時間、機器人轉移時間以及工具上之晶圓路線及排程。
在1528,該模型繼續利用來自工具之實際生產數據來進行漸進線上訓練(即,在工具上原位訓練)。自動標記的數據(來自當前晶圓組之處理)除了來自先前晶圓組之處理的對應數據之外還被使用或代替其被用以在工具上漸進地並連續地訓練模型。
圖16示出包括複數處理模組1602之工具1600的示例。例如,工具1600可包括下平台及上平台。每一平台可包括四個處理模組1602,使得工具1600可包括總共八個處理模組1602。替代地,每一平台可包括所示之八個處理模組1602(僅示出一個平台),使得工具1600可包括總共十六個處理模組1602。例如,處理模組1602可包括電鍍槽(例如,見圖17)。另外,每一平台亦可包括複數預處理及後處理模組1604。除了電鍍之外,工具1600可執行旋轉清洗、旋轉乾燥、濕式蝕刻、預濕及預化學處理、無電沉積、還原 、退火、光阻剝離、表面預活化等。
複數基板1606透過前開式晶圓傳送盒(FOUP)1608被送至工具1600中。前端機器人1610將基板1606從FOUP 1608傳送至主軸1612,接著傳送至預處理模組1604之一者。在預處理之後,後端機器人1614將基板1606從預處理模組1604傳送至一或更多處理模組1602以進行電鍍。之後,後端機器人1614將基板1606傳送至後處理模組1604之一者。
工具1600進一步包括系統控制器1616,其控制前端與後端機器人1610、1614以及在處理模組1602、1604中執行的製程。該控制器1616使用如上所述之經訓練模型1402及動態排程器調度方法,來排程並控制基板1606的處理。
圖17示出處理模組1602的示例。例如,處理模組1602包括電鍍槽1700。電鍍槽1700包括陽極1702於分隔陽極室(SAC)1704中。SAC 1704透過膜與電鍍槽1700的其餘者分開。SAC 1704包括第一液體,其化學性質不同於電鍍槽1700之其餘者中的第二液體。
電鍍槽1700進一步包括高電阻虛擬陽極(HRVA)1706(安裝組件未示出)。在電鍍期間,當晶圓從電鍍槽1700的頂部下降至電鍍槽1700中時,HRVA 1706將第二液體之流動引向晶圓的一部分(未顯示)。主槽(貯存器)1708透過泵1710及過濾器1712,將第二液體供應至電鍍槽1700中。塔1714使用泵1716,用第一液體填充SAC 1704。處理模組1602可包括任何其他類型的電鍍槽。
圖18示出廠數據收集系統1800的示例。例如,系統1800包括主電腦1802、數據收集器1804及監測電腦1806,其使用一或更多工具(例如工具1600)連接至半導體製造廠中之廠區域網路(LAN)1808。主電腦1802與一或更多工具(例如,工具1600)通信。主電腦1802被操作員用來向工具1600發送命令、提供配方等。
數據收集器1804從工具1600接收諸多類型的數據,而不會干擾主電腦1802與工具1600的通信。監測電腦1806係用於查看並監視工具性能。監測電腦1806以圖形方式示出工具設定並讀取諸多工具參數的值。監測電腦1806係用於對工具1600的問題進行故障排除。主電腦1802與數據收集器1804及監測電腦1806通信。
該系統1800進一步包括經由設備LAN 1812連接至工具1600的伺服器1810。伺服器1810透過廠LAN 1808與主電腦1802通信。伺服器1810從工具1600收集數據,並供應數據至主電腦1802。一或更多使用者介面(UI)電腦1814位於工具1600與工具1600裝載器側相對的一側。裝載器側為100級清潔,而操作員操作工具1600之UI電腦側為1000級清潔。UI電腦1814連接至設備LAN1812。監測電腦1816透過設備LAN 1812連接至工具1600。監測電腦1816監視工具1600,並透過廠LAN 1808與監測電腦1806通信。
工具1600之系統控制器1818(例如,系統控制器1616)控制工具1600。複數模組控制器1820控制各個處理模組1602、1604。複數輸入/輸出(I / O)控制器1822控制處理模組1602、1604的各個操作。系統控制器1818亦與I / O控制器1822之一或更多者通信(例如,以控制機器人1610、1614;以及以控制除工具之處理模組1602、1604以外的特徵)。諸多開關1824係用於接入適當的I / O控制器1818。
以上參考圖14-17所述之功能及操作可由系統控制器1818、監測電腦1816或兩者執行。附加地或替代地,參考圖18所述之其他計算裝置亦可執行以上參考圖14-17所述之至少一些功能及操作。
以上所述在本質上僅用以說明且絕非意欲限制本揭示內容、其應用、或使用。本揭示內容的廣泛教示可以多種方式加以執行。因此,雖然本揭示內容包含特殊示例,但本揭示內容之真實範圍應不被如此限制,因為其他的變化將在研讀圖式、說明書及以下申請專利範圍後變得顯而易見。
應理解方法中之一或更多步驟可以不同順序(或同時)加以執行而不改變本發明之原理。此外,雖然各個實施例係如上所述為具有某些特徵,但關於本揭示內容之任何實施例描述的此等特徵中之任何一或更多者可結合任何其他實施例的特徵加以執行,即使並未明確描述該結合。換句話說,描述的實施例並非互斥,且一或更多實施例彼此的置換仍在此揭示內容的範圍內。
元件之間(例如 : 模組、電路元件、半導體層等之間)的空間及功能關係使用諸多術語加以描述,包含 :「連接」、「接合」、「耦接」、「相鄰」、「旁邊」、「在上方」、「上方」、「下方」、及「設置」。當於上述揭示內容中描述第一與第二元件之間的關係時,除非明確描述為「直接」,否則該關係可為沒有其他中介元件存在於該第一與第二元件之間的直接關係,但亦可為有一或更多中介元件(空間地或功能地)存在於該第一與第二元件之間的間接關係。如本文中所使用,片語「A、B、及C之至少一者」應被理解為意指使用非排他邏輯「或」之邏輯(A或B或C),且不應理解為意指「A之至少一者、B之至少一者、及C之至少一者」。
在某些實施方式中,控制器為系統之一部分,其可為上述示例之一部分。此等系統可包括半導體處理設備,而半導體處理設備包含一處理工具或複數工具、一腔室或複數腔室、一處理平台或複數平台、及/或特定處理構件(晶圓座、氣流系統等)。該些系統可與電子設備結合,以控制半導體晶圓或基板處理前、處理期間及處理後之操作。此等電子設備可指"控制器",其可控制該系統或複數系統之諸多構件或次部件。
例如,取決於處理需求及/或系統類型之控制器可程式化,以控制本文所揭示之任何製程,包括處理氣體之傳送、溫度設定(如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、射頻匹配電路設定、頻率設定、流速設定、流體傳送設定、位置及操作設定、晶圓轉移(進出與特定系統相連接或相接合之工具及其他轉移工具、及/或裝載室)。
廣泛地講,控制器可定義為具有用以接收指令、發佈指令、控制操作、啟動清洗操作、啟動終點量測以及類似者之諸多積體電路、邏輯、記憶體、及/或軟體的電子設備。積體電路可包含:儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP,digital signal processor)、定義為特殊應用積體電路(ASIC,application specific integrated circuit)的晶片、及/或一或更多微處理器、或執行程式指令(例如,軟體)的微控制器。
程式指令可為以諸多各別設定(或程式文件)之形式而傳送至控制器的指令,該各別設定(或程式文件)為實行(半導體晶圓上,或針對半導體晶圓,或對系統之)特定的製程而定義操作參數。在一些實施例中,操作參數可為由製程工程師為了在一或更多以下者的製造期間實現一或更多處理步驟而定義之配方的一部分:層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒。
在一些實施方式中,控制器可為電腦的一部分,或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他網路的方式接至系統、或其組合。舉例而言,控制器可在能容許遠端存取晶圓處理之「雲端」或廠房主機電腦系統的全部、或部分中。電腦可使系統能夠遠端存取,以監控製造操作的目前進度、檢查過去製造操作的歷史、自複數的製造操作而檢查其趨勢或效能度量,以改變目前處理的參數、設定目前處理之後的處理步驟、或開始新的製程。
在一些實施例中,遠端電腦(例如,伺服器)可通過網路而提供製程配方至系統,該網路可包含區域網路或網際網路。遠端電腦可包含能夠進行參數及/或設定輸入或程式設計之使用者介面,接著該參數及/或設定可自遠端電腦傳送至系統。在一些示例中,控制器接收數據形式指令,該指令為即將於一或更多操作期間進行之每一處理步驟指定參數。應當理解,參數可特定針對待執行之製程類型、及控制器與之接合或加以控制之工具類型。
因此,如上所述,控制器可為分散式,例如藉由包含以網路方式接在一起、且朝向共同目的(例如,本文所描述之製程及控制)運作之一或更多分離的控制器。用於此目的之分散式控制器舉例為,腔室上與位於遠端的一或更多積體電路(例如,於平臺水平處、或作為遠端電腦的一部分)進行通訊的一或更多積體電路,兩者相結合以控制腔室上的製程。
示例性系統可包含,但不限於,電漿蝕刻腔室或模組、沉積腔室或模組、旋轉清洗腔室或模組、金屬電鍍腔室或模組、清洗腔室或模組、斜角緣部蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及可在半導體晶圓的製造及/或加工中相關聯的、或使用的任何其他半導體處理系統。
如上所述,取決於待藉由工具而執行之製程步驟或複數步驟,控制器可與半導體製造廠中的一或更多以下者進行通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、鄰近的工具、相鄰的工具、遍及工廠而分布的工具、主電腦、另一控制器、或材料輸送中使用之工具,該材料輸送中使用之工具攜帶晶圓容器往返工具位置及/或裝載埠。
100:基板處理工具 104-1:處理腔室 104-2:處理腔室 104-M:處理腔室 108:大氣到真空轉移模組 112:機器人 116:裝載站 120:裝載室 124:機器人 128:真空轉移模組 130:處理腔室控制器 134:傳送控制器 135:監測感測器 136:致動器 138:系統控制器 200:基板處理系統 202:處理腔室 204:上電極 206:靜電吸盤 208:基板 209:噴頭 210:底板 212:加熱板 214:熱阻層 216:冷卻劑通道 220:射頻產生系統 222:射頻電壓產生器 224:匹配且分佈網路 230:氣體輸送系統 232-1:氣體源 232-2:氣體源 232-N:氣體源 234-1:閥 234-2:閥 234-N:閥 236-1:質量流量控制器 236-2:質量流量控制器 236-N:質量流量控制器 238:歧管 239:光學發射光譜感測器 240:窗口 241:腔室表面 242:溫度控制器 244:熱控制元件 246:冷卻劑組件 250:閥 252:泵 260:PC 控制器 270:機器人 272:裝載室 300:廠數據收集系統 320-1:基板處理工具 320-2:基板處理工具 320-N:基板處理工具 340-1:處理腔室控制器 340-2:處理腔室控制器 340-N:處理腔室控制器 344-1:傳送控制器 344-2:傳送控制器 344-N:傳送控制器 348-1:工具系統控制器 348-2:工具系統控制器 348-N:工具系統控制器 350:數據診斷服務電腦 350-1:數據診斷服務電腦 350-2:數據診斷服務電腦 350-N:數據診斷服務電腦 362:數據儲存裝置 362-1:數據儲存裝置 362-2:數據儲存裝置 362-N:數據儲存裝置 364:主機伺服器 364-1:網路 364-2:網路 366:安全模組 367:數據選擇器模組 368:網路 370:數據儲存 374:機器學習電腦 375:安全模組 380:使用者電腦 400:模型產生器系統 402:數據收集器 404:模擬器 406:數據分析器 408:模型產生器 1410-1:神經網路 1410-N:神經網路 1412:排程器級神經網路 500:方法 502:步驟 504:步驟 506:步驟 508:步驟 510:步驟 512:步驟 514:步驟 516:步驟 518:步驟 520:步驟 550:方法 552:步驟 554:步驟 556:步驟 580:方法 582:步驟 584:步驟 586:步驟 588:步驟 590:步驟 600:方法 602:步驟 604:步驟 606:步驟 700:方法 702:步驟 704:步驟 706:步驟 708:步驟 710:步驟 712:步驟 800:方法 802:步驟 804:步驟 806:步驟 808:步驟 1200:系統 1202:離散事件模擬器 1204:強化學習模型 1300:方法 1302:步驟 1304:步驟 1306:步驟 1308:步驟 1310:步驟 1312:步驟 1314:步驟 1316:步驟 1318:步驟 1400:系統 1402:模型 1404:模擬器 1406:工具 1500:方法 1502:步驟 1504:步驟 1506:步驟 1508:步驟 1510:步驟 1512:步驟 1514:步驟 1516:步驟 1518:步驟 1520:步驟 1522:步驟 1524:步驟 1526:步驟 1528:步驟 1600:工具 1602:處理模組 1604:處理模組 1606:基板 1608:前開式晶圓傳送盒 1610:機器人 1612:主軸 1614:機器人 1616:系統控制器 1700:電鍍槽 1702:陽極 1704:分隔陽極室 1706:高電阻虛擬陽極 1708:主槽 1710:泵 1712:過濾器 1714:塔 1716:泵 1800:廠數據收集系統 1802:主電腦 1804:數據收集器 1806:監測電腦 1808:廠區域網路 1810:伺服器 1812:設備LAN 1814:使用者介面電腦 1816:監測電腦 1818:系統控制器 1820:模組控制器 1822:輸入/輸出控制器 1824:開關
透過詳細描述及附圖,將更加全面地理解本發明,其中 :
圖1是包括一或更多處理腔室之基板處理工具示例的功能方塊圖;
圖2是包括處理腔室之基板處理系統示例的功能方塊圖;
圖3是數據收集系統示例之功能方塊圖;
圖4示出用於產生並訓練機器學習輔助模型以排程基板處理工具之晶圓處理操作之系統的功能方塊圖;
圖5A-8示出用於產生並訓練機器學習輔助模型之方法;
圖9-11示出用於產生本文所述模型之深度神經網路示例;
圖12示出使用離散事件模擬器來訓練強化學習模型之系統的功能方塊圖;
圖13示出使用離散事件模擬器訓練強化學習模型之方法;
圖14示出用於訓練具巢狀神經網路之模型的系統,其使用離線模擬器及來自工具之線上即時數據,以排程並調度工具中晶圓處理;
圖15A及15B示出用於訓練具巢狀神經網路之模型的方法,其使用離線模擬器及線上即時工具數據,以排程並調度工具中晶圓處理;
圖16示出包括有複數處理模組(例如,電鍍槽)之工具示例;
圖17示出用於圖16之工具中的處理模組(例如,電鍍槽)示例;以及
圖18示出廠數據收集系統的示例,其與圖14之離線模擬器合併使用,以訓練用於排程並調度工具(例如,圖16之工具)中晶圓處理之模型
在圖式中,參考符號可被重複使用以標識相似及/或相同的元件。
500:方法
502:步驟
504:步驟
506:步驟
508:步驟
510:步驟
512:步驟
514:步驟
516:步驟
518:步驟
520:步驟

Claims (55)

  1. 一種用於在工具中處理半導體基板之系統,該工具包括配置成根據配方來處理該等半導體基板之複數處理腔室,該系統包括: 一處理器;以及 記憶體,其儲存用於由該處理器執行之指令,其中該等指令配置成: 接收來自該工具之第一數據,其係關於該等半導體基板在該複數處理腔室中根據該配方之處理; 接收第二數據,其係關於該工具之配置與該配方; 使用該第二數據,來模擬用以在該複數處理腔室中根據該配方處理該等半導體基板之複數處理方案及用於該複數處理方案之排程參數; 使用該複數處理方案及用於該複數處理方案之該等排程參數,來模擬該等半導體基板在該複數處理腔室中根據該配方之該處理; 使用該第一數據及該模擬所產生的數據,來訓練一模型,以預測用於在該複數處理腔室中根據該配方處理該等半導體基板之最佳排程參數; 接收來自該工具之輸入,其係關於該等半導體基板之一者在該複數處理腔室中根據該配方之處理; 使用該模型,基於該等輸入來預測用於在該複數處理腔室中根據該配方處理該等半導體基板之該一者的最佳排程參數;以及 基於用於在該複數處理腔室中根據該配方處理該等半導體基板之該一者的該等最佳排程參數,對該工具之操作進行排程。
  2. 如請求項1所述之用於在工具中處理半導體基板之系統,其中該等指令配置成基於用於在該複數處理腔室中根據該配方處理該等半導體基板之該一者的該等最佳排程參數來執行該工具之該等操作。
  3. 如請求項1所述之用於在工具中處理半導體基板之系統,其中在該複數處理腔室中根據該配方進行處理期間,該等最佳排程參數將該等半導體基板之該一者之閒置時間最小化,且其中該等最佳排程參數將該工具之產量最大化。
  4. 如請求項1所述之用於在工具中處理半導體基板之系統,其中該等指令配置成使用包括一人工神經網路及支援向量回歸之一機器學習方法來訓練該模型。
  5. 如請求項1所述之用於在工具中處理半導體基板之系統,其中該等指令配置成: 分析接收自該工具之該第一數據及該模擬所產生之該等數據; 基於該分析,檢測關於該工具之預防性維護操作、無晶圓自動清潔時間、等待時間、配方時間及產量之模式;以及 基於該等檢測到的模式,訓練該模型。
  6. 如請求項1所述之用於在工具中處理半導體基板之系統,其中該等指令配置成訓練該模型,以預測用於該複數處理方案之一者的該等最佳排程參數。
  7. 如請求項1所述之用於在工具中處理半導體基板之系統,其中該等指令配置成訓練該模型,以預測用於該複數處理方案之全部者的該等最佳排程參數。
  8. 如請求項1所述之用於在工具中處理半導體基板之系統,其中該等指令配置成訓練該模型,用於在該等半導體基板之該一者上僅執行蝕刻操作。
  9. 如請求項1所述之用於在工具中處理半導體基板之系統,其中該等指令配置成訓練該模型,用於在該等半導體基板之該一者上執行蝕刻及剝離兩者操作。
  10. 如請求項1所述之用於在工具中處理半導體基板之系統,其中該模型係從該工具遠端地實施,且其中該等指令配置成基於接收自多個工具之數據來訓練該模型。
  11. 如請求項1所述之用於在工具中處理半導體基板之系統,其中該等指令配置成針對在配置及操作上之工具間變化來調整該工具。
  12. 如請求項1所述之用於在工具中處理半導體基板之系統,其中該模型係於雲端實施而作為軟體即服務(SaaS),且其中該工具配置成透過網路接入該模型。
  13. 如請求項1所述之用於在工具中處理半導體基板之系統,其中: 該等指令配置成基於一第二工具之數據來訓練一第二模型; 該模型及該第二模型係從該工具及該第二工具遠端地實施;以及 其中該工具及該第二工具分別配置成透過一或更多網路接入該模型及該第二模型。
  14. 如請求項13所述之用於在工具中處理半導體基板之系統,其中該等指令配置成允許該工具及該第二工具分別基於該工具及該第二工具之配置來選擇該模型及該第二模型。
  15. 如請求項1所述之用於在工具中處理半導體基板之系統,其中該模型實施於該工具上,且其中該等指令配置成使用該模型以利用該工具所產生之數據來預測用於在該複數處理腔室中根據該配方處理該等半導體基板之該一者的該等最佳排程參數。
  16. 如請求項1所述之用於在工具中處理半導體基板之系統,其中該模型實施於該工具上,且其中該等指令配置成針對該工具之性能的任何漂移來調整該模型。
  17. 如請求項1所述之用於在工具中處理半導體基板之系統,其中接收自該工具之該第一數據包括來自執行於該工具上之預防性維護操作的數據以及關於該工具之配方時間及無晶圓自動清潔時間的數據。
  18. 如請求項1所述之用於在工具中處理半導體基板之系統,其中該模擬所產生之該等數據包括基於從該工具獲得之該工具的配置、晶圓流類型、運作方案、配方時間及無晶圓自動清潔時間所產生的數據。
  19. 如請求項1所述之用於在工具中處理半導體基板之系統,其中接收自該工具之該等輸入包括關於該工具之若干預防性維護操作、配方時間及無晶圓自動清潔時間的數據。
  20. 如請求項1所述之用於在工具中處理半導體基板之系統,其中該等指令配置成透過考慮一或更多跳過的預防性維護操作來預測該等最佳排程參數。
  21. 如請求項1所述之用於在工具中處理半導體基板之系統,其中該等指令配置成: 使用該模型,對用於在該複數處理腔室中根據該配方處理該等半導體基板之該一者的複數操作進行排程,其中該工具分別響應於執行該複數操作而經歷複數狀態,且其中該工具的狀態包括該工具之資源的指示及該等半導體基板之該一者之處理狀態的指示; 針對該複數狀態之每一者,對該模型發送該複數狀態中之當前狀態及用以前進到該複數狀態中之下一狀態的多個可排程操作,從該模型接收該模型基於該當前狀態所選擇之該多個可排程操作中的最佳操作,以前進到該下一狀態,並模擬該最佳操作之執行,以模擬前進到該下一狀態;以及 當在該複數處理腔室中根據該配方處理該等半導體基板時,訓練該模型以推薦該等最佳操作作為響應於該工具經歷該複數狀態之該複數操作。
  22. 一種用於在工具中處理半導體基板之系統,該工具包括配置成根據配方處理該等半導體基板之複數處理腔室,該系統包括: 一處理器;以及 記憶體,其儲存用於由該處理器執行之指令,其中該等指令配置成: 使用一模型,對用於在該複數處理腔室中根據該配方處理一半導體基板的複數操作進行排程,其中該工具分別響應於執行該複數操作而經歷複數狀態,且其中該工具的狀態包括該工具之資源的指示及該半導體基板之處理狀態的指示; 針對該複數狀態之每一者,對該模型發送該複數狀態中之當前狀態及用以前進到該複數狀態中之下一狀態的多個可排程操作,從該模型接收該模型基於該當前狀態所選擇之該多個可排程操作中的最佳操作,以前進到該下一狀態,並模擬該最佳操作之執行,以模擬前進到該下一狀態;以及 當在該複數處理腔室中根據該配方處理該半導體基板時,訓練該模型以推薦該等最佳操作作為響應於該工具經歷該複數狀態之該複數操作。
  23. 如請求項22所述之用於在工具中處理半導體基板之系統,其中該等指令配置成: 使用關於接收自該工具之該等半導體基板之處理的歷史數據並透過模擬該工具之複數處理方案來訓練該模型,以預測用於在該複數處理腔室中根據該配方處理該半導體基板之最佳排程參數; 從該工具接收輸入,其係關於該半導體基板在該複數處理腔室中根據該配方之處理; 基於該等輸入,使用該模型來預測用於在該複數處理腔室中根據該配方處理該半導體基板之最佳排程參數;以及 根據該等最佳排程參數,對用於在該複數處理腔室中根據該配方處理該半導體基板之該複數操作進行排程。
  24. 如請求項23所述之用於在工具中處理半導體基板之系統,其中該等指令配置成基於用於在該複數處理腔室中根據該配方處理該半導體基板之該等最佳排程參數來執行該複數操作。
  25. 如請求項23所述之用於在工具中處理半導體基板之系統,其中在該複數處理腔室中根據該配方進行處理期間,該等最佳排程參數將該半導體基板之閒置時間最小化,且其中該等最佳排程參數將該工具之產量最大化。
  26. 如請求項23所述之用於在工具中處理半導體基板之系統,其中該等指令配置成使用包括一人工神經網路及支援向量回歸之一機器學習方法來訓練該模型。
  27. 如請求項23所述之用於在工具中處理半導體基板之系統,其中該等指令配置成: 分析接收自該工具之該等歷史數據及透過模擬該工具之該複數處理方案而產生的數據; 基於該分析,檢測關於該工具之預防性維護操作、無晶圓自動清潔時間、等待時間、配方時間及產量之模式;以及 基於該等檢測到的模式,訓練該模型。
  28. 如請求項23所述之用於在工具中處理半導體基板之系統,其中該等指令配置成訓練該模型以預測用於該複數處理方案之一者的該等最佳排程參數。
  29. 如請求項23所述之用於在工具中處理半導體基板之系統,其中該等指令配置成訓練該模型以預測用於該複數處理方案之全部者的該等最佳排程參數。
  30. 如請求項23所述之用於在工具中處理半導體基板之系統,其中該等指令配置成訓練該模型,用於在該等半導體基板上僅執行蝕刻操作。
  31. 如請求項23所述之用於在工具中處理半導體基板之系統,其中該等指令配置成訓練該模型,用於在該等半導體基板之該一者上執行蝕刻及剝離兩者操作。
  32. 如請求項23所述之用於在工具中處理半導體基板之系統,其中該模型係從該工具遠端地實施,且其中該等指令配置成基於接收自多個工具之數據來訓練該模型。
  33. 如請求項32所述之用於在工具中處理半導體基板之系統,其中該等指令配置成針對在配置及操作上之工具間變化來調整該工具。
  34. 如請求項23所述之用於在工具中處理半導體基板之系統,其中該模型係於雲端實施而作為軟體即服務(SaaS),且其中該工具配置成透過網路接入該模型。
  35. 如請求項23所述之用於在工具中處理半導體基板之系統,其中該模型實施於該工具上,且其中該等指令配置成使用該模型以利用該工具所產生之數據來預測用於在該複數處理腔室中根據該配方處理該半導體基板之該等最佳排程參數。
  36. 如請求項23所述之用於在工具中處理半導體基板之系統,其中該模型實施於該工具上,且其中該等指令配置成針對該工具之性能的任何漂移調整該模型。
  37. 如請求項23所述之用於在工具中處理半導體基板之系統,其中接收自該工具之該等數據包括來自執行於該工具上之預防性維護操作的數據以及關於該工具之配方時間及無晶圓自動清潔時間的數據。
  38. 如請求項23所述之用於在工具中處理半導體基板之系統,其中藉由模擬該複數處理方案所產生之該等數據包括基於從該工具獲得之該工具的配置、晶圓流類型、運作方案、配方時間及無晶圓自動清潔時間所產生的數據。
  39. 如請求項23所述之用於在工具中處理半導體基板之系統,其中接收自該工具之該等輸入包括關於該工具之若干預防性維護操作、配方時間及無晶圓自動清潔時間的數據。
  40. 如請求項23所述之用於在工具中處理半導體基板之系統,其中該等指令配置成透過考慮一或更多跳過的預防性維護操作來預測該等最佳排程參數。
  41. 一種用於處理半導體基板之工具,包括: 一第一機器人,其配置成將該等半導體基板輸入至該工具,用於在該工具中處理該等半導體基板; 複數處理腔室,其配置成在該工具中根據一配方處理該等半導體基板; 一第二機器人,其配置成根據該配方在該複數處理腔室之間轉移該等半導體基板;以及 一控制器,其配置成使用透過模擬該工具及該配方所訓練之一模型來預測如下者: 在該複數處理腔室中處理該等半導體基板之處理時間; 該第二機器人在該複數處理腔室之間轉移該等半導體基板的轉移時間; 基於該等處理時間及該等轉移時間,在該複數處理腔室之間轉移該等半導體基板的路線;以及 當該第一機器人基於該等處理時間及該等轉移時間欲排程額外半導體基板以在該工具中進行處理的時間, 其中,根據該預測路線處理該等半導體基板,並根據該預測時間處理該等額外半導體基板,使得沿該預測路徑之該等半導體基板的等待時間最佳化,並使該工具的產量最佳化。
  42. 如請求項41所述之用於處理半導體基板之工具,其中該控制器配置成,基於該等半導體基板及該等額外半導體基板在該工具中進行該處理期間所產生之數據,進一步漸進地訓練該模型。
  43. 如請求項42所述之用於處理半導體基板之工具,其中該控制器配置成使用該進一步訓練的模型預測如下者: 在該工具中處理該等額外半導體基板之第二處理時間、第二轉移時間、以及一第二路線;以及 排程下一組半導體基板以在該工具中進行處理之一第二時間, 其中,根據該第二路線處理該等額外半導體基板,並根據該第二時間處理該下一組半導體基板,使得該等額外半導體基板的等待時間及該工具的產量進一步最佳化。
  44. 如請求項41所述之用於處理半導體基板之工具,其中該控制器配置成響應於該配方、該工具或兩者之任何改變來調整該模型。
  45. 如請求項41所述之用於處理半導體基板之工具,其中該模型包括: 第一複數神經網路,其配置成分別預測該複數處理腔室的該等處理時間; 第二複數神經網路,其配置成分別預測該第一及第二機器人之該等轉移時間;以及 一第三神經網路,其耦接至該第一及第二複數神經網路,並配置成預測在該複數處理腔室之間轉移該等半導體基板的該路線,並預測當該第一機器人欲排程該等額外半導體基板以在該工具中進行處理的該時間。
  46. 如請求項41所述之用於處理半導體基板之工具,其中該模組係透過模擬複數工具之配置及複數配方來進一步訓練。
  47. 如請求項46所述之用於處理半導體基板之工具,其中該進一步訓練的模型配置成響應於接收配方資訊作為輸入以針對工具配置輸出一推薦。
  48. 如請求項41所述之用於處理半導體基板之工具,其中該配方係用於在該等半導體基板上沉積複數層,且其中: 該複數處理腔室包括用於沉積該複數層之一或更多處理腔室、以及用於分別在沉積該複數層之前及之後處理該等半導體基板之一預處理腔室及一後處理腔室; 該第二機器人配置成根據該預測路線,在該複數處理腔室之間轉移該等半導體基板,以使該等半導體基板之該等待時間最佳化;以及 該第一機器人配置成根據該預測時間,排程該等額外半導體基板以在該工具中進行處理,以使該工具之該產量最佳化。
  49. 一種用於在半導體處理工具中處理半導體基板期間使產量及等待時間最佳化之系統,該系統包括: 一處理器;以及 記憶體,其儲存用於由該處理器執行之指令,其中該等指令配置成: 基於該半導體處理工具之配置及將在該半導體處理工具中執行於該等半導體基板上之一配方,模擬複數路線以在該半導體處理工具之複數處理腔室之間安排該等半導體基板的路徑; 沿著該複數路線,模擬該等半導體基板在該半導體處理工具中根據該配方之處理; 基於該等半導體基板之該處理,模擬複數定時排程,以隨後在該半導體處理工具中處理額外半導體基板; 根據該複數定時排程,模擬該等額外半導體基板之處理; 基於該等模擬所產生之數據,訓練一模型; 當在該半導體處理工具中根據該配方處理該等半導體基板時,使用該半導體處理工具上之該模型,預測在該複數處理腔室之間轉移該等半導體基板的最佳路線; 使用該半導體處理工具上之該模型來預測最佳時間,以排程該等額外半導體基板,以在該半導體處理工具中進行處理; 在該半導體處理工具中,根據該最佳路線來處理該等半導體基板,以使沿著該最佳路線之該等半導體基板的等待時間最佳化;以及 在該半導體處理工具中,以該最佳時間處理該等額外半導體基板,以使該半導體處理工具之產量最佳化。
  50. 如請求項49所述之用於在半導體處理工具中處理半導體基板期間使產量及等待時間最佳化之系統,其中該等指令配置成基於該等模擬所產生之該數據來訓練該模型,以預測如下: 在該複數處理腔室中處理該等半導體基板之處理時間; 用於在該複數處理腔室之間轉移該等半導體基板之該半導體處理工具之一機器人的轉移時間; 基於該等處理時間及該等轉移時間,在該複數處理腔室之間安排該等半導體基板的該最佳路線;以及 基於該等處理時間及該等轉移時間,排程該等額外半導體基板以在該半導體處理工具中進行處理之該最佳時間。
  51. 如請求項49所述之用於在半導體處理工具中處理半導體基板期間使產量及等待時間最佳化之系統,其中該等指令配置成基於該等半導體基板及該等額外半導體基板在該半導體處理工具中進行該處理期間所產生之數據來進一步漸進地訓練該模型。
  52. 如請求項49所述之用於在半導體處理工具中處理半導體基板期間使產量及等待時間最佳化之系統,其中該等指令配置成針對該配方、該半導體處理工具或兩者之任何改變來調整該模型。
  53. 如請求項49所述之用於在半導體處理工具中處理半導體基板期間使產量及等待時間最佳化之系統,其中該等指令配置成基於該等模擬所產生之該數據來產生該模型,該模型包括: 第一複數神經網路,其配置成分別預測在該複數處理腔室中處理該等半導體基板之處理時間; 第二複數神經網路,其配置成分別預測該第一及第二機器人之轉移時間,其中該第一及第二機器人分別配置成將該等半導體基板轉移至該半導體處理工具中以及在該複數處理腔室之間轉移該等半導體基板;以及 一第三神經網路,其耦接至該第一及第二複數神經網路,並配置成預測在該複數處理腔室之間安排該等半導體基板路徑之該最佳路線,並預測排程該等額外半導體基板以在該半導體處理工具中進行處理之該最佳時間。
  54. 如請求項49所述之用於在半導體處理工具中處理半導體基板期間使產量及等待時間最佳化之系統,其中該等指令配置成透過模擬複數半導體處理工具之配置及複數配方來訓練該模型。
  55. 如請求項54所述之用於在半導體處理工具中處理半導體基板期間使產量及等待時間最佳化之系統,其中該等指令配置成響應於接收配方資訊作為輸入來訓練該模型,以針對工具配置輸出一推薦。
TW109110186A 2019-03-29 2020-03-26 基板處理系統用基於模型之排程 TW202101138A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962826185P 2019-03-29 2019-03-29
US62/826,185 2019-03-29

Publications (1)

Publication Number Publication Date
TW202101138A true TW202101138A (zh) 2021-01-01

Family

ID=72667022

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109110186A TW202101138A (zh) 2019-03-29 2020-03-26 基板處理系統用基於模型之排程

Country Status (6)

Country Link
US (1) US20220171373A1 (zh)
JP (1) JP7510436B2 (zh)
KR (1) KR20210134823A (zh)
CN (1) CN113874993A (zh)
TW (1) TW202101138A (zh)
WO (1) WO2020205339A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI818873B (zh) * 2023-03-02 2023-10-11 國立成功大學 考慮加工時變與即時資料串流的優化排程的系統及其方法
TWI819578B (zh) * 2022-04-20 2023-10-21 國立中央大學 多目標參數最佳化系統、方法及電腦程式產品
TWI830303B (zh) * 2021-07-30 2024-01-21 日商斯庫林集團股份有限公司 排程建立方法、排程建立裝置、基板處理裝置、基板處理系統、記錄媒體、及排程建立程式

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11592812B2 (en) 2019-02-19 2023-02-28 Applied Materials, Inc. Sensor metrology data integration
US20210035013A1 (en) * 2019-07-31 2021-02-04 International Business Machines Corporation Refined user enablement utilizing reinforced learning
US11901204B2 (en) * 2020-05-22 2024-02-13 Applied Materials, Inc. Predictive wafer scheduling for multi-chamber semiconductor equipment
US11840757B2 (en) * 2020-07-08 2023-12-12 Tdk Corporation Film deposition system, factory system, and method of depositing film on wafer
US11742901B2 (en) * 2020-07-27 2023-08-29 Electronics And Telecommunications Research Institute Deep learning based beamforming method and apparatus
US11860607B2 (en) * 2020-08-18 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor manufacturing system, behavior recognition device and semiconductor manufacturing method
US20220091883A1 (en) * 2020-09-21 2022-03-24 Applied Materials, Inc. Dynamic scheduling based on task dependencies
EP3992733A1 (de) * 2020-10-28 2022-05-04 Siemens Aktiengesellschaft Maschinenbelegungsplanung für eine komplexe fertigungsanlage
US11797353B2 (en) * 2020-10-29 2023-10-24 EMC IP Holding Company LLC Method and system for performing workloads in a data cluster
US11709477B2 (en) * 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
US20220228265A1 (en) * 2021-01-15 2022-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for dynamically adjusting thin-film deposition parameters
CN112987665B (zh) * 2021-02-09 2022-04-12 东北大学 一种基于强化学习的流水车间调度方法
US11853042B2 (en) * 2021-02-17 2023-12-26 Applied Materials, Inc. Part, sensor, and metrology data integration
CN113031542A (zh) * 2021-02-22 2021-06-25 同济大学 一种基于负载均衡的半导体生产线动态调度方法
WO2022200862A1 (en) * 2021-03-26 2022-09-29 Lynceus Sas Real-time ai-based quality assurance for semiconductor production machines
US11720088B2 (en) * 2021-03-26 2023-08-08 Lynceus Sas Real-time AI-based quality assurance for semiconductor production machines
US11586789B2 (en) 2021-04-07 2023-02-21 Applied Materials, Inc. Machine learning based smart process recipe builder to improve azimuthal flow and thickness uniformity
US11817340B2 (en) * 2021-04-28 2023-11-14 Advanced Energy Industries, Inc. System and method for improved electrostatic chuck clamping performance
DE102021112383A1 (de) * 2021-05-12 2022-11-17 Multivac Sepp Haggenmüller Se & Co. Kg Selbstlernende Lebensmittelverarbeitungsvorrichtung und Verfahren
US11567488B2 (en) 2021-05-27 2023-01-31 Lynceus, Sas Machine learning-based quality control of a culture for bioproduction
CN117461000A (zh) * 2021-06-07 2024-01-26 巴斯夫欧洲公司 经由机器学习回归器监测和/或控制设施
US11586160B2 (en) 2021-06-28 2023-02-21 Applied Materials, Inc. Reducing substrate surface scratching using machine learning
US20230089092A1 (en) * 2021-09-23 2023-03-23 Applied Materials, Inc. Machine learning platform for substrate processing
US20230163002A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. Accelerating preventative maintenance recovery and recipe optimizing using machine-learning based algorithm
JP2023098130A (ja) * 2021-12-28 2023-07-10 株式会社荏原製作所 基板処理装置及び基板処理方法
KR102587791B1 (ko) * 2021-12-30 2023-10-12 한국세라믹기술원 미세채널을 갖거나 다공성재질을 갖는 피증착물에 대한 원자층증착 시뮬레이션 방법
EP4231105A1 (en) * 2022-02-17 2023-08-23 Robert Bosch GmbH Method for waiting time prediction in semiconductor factory
EP4254087A1 (en) * 2022-03-31 2023-10-04 Tvarit GmbH System and method for recommending a recipe in a manufacturing process
JP7504493B2 (ja) 2022-09-22 2024-06-24 株式会社Screenホールディングス 基板処理システム、スケジュール作成方法、記録媒体、及び、スケジュール作成プログラム
US20240120186A1 (en) * 2022-10-10 2024-04-11 Kla Corporation Plasma hypermodel integrated with feature-scale profile model for accelerated etch process development
US20240210916A1 (en) * 2022-12-22 2024-06-27 Applied Materials, Inc. Machine and deep learning techniques for predicting ecological efficiency in substrate processing
CN117406684B (zh) * 2023-12-14 2024-02-27 华侨大学 基于Petri网与全连接神经网络的柔性流水车间调度方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69728256T2 (de) * 1996-01-31 2005-03-03 Asm America Inc., Phoenix Modellgestützte prädiktive regelung für thermische behandlungen
US20080208372A1 (en) * 2003-11-10 2008-08-28 Pannese Patrick D Scheduling with neural networks and state machines
US8396582B2 (en) 2008-03-08 2013-03-12 Tokyo Electron Limited Method and apparatus for self-learning and self-improving a semiconductor manufacturing tool
US10430719B2 (en) 2014-11-25 2019-10-01 Stream Mosaic, Inc. Process control techniques for semiconductor manufacturing processes
JP6751871B2 (ja) 2014-11-25 2020-09-09 ピーディーエフ ソリューションズ,インコーポレイテッド 半導体製造プロセスのための改善されたプロセス制御技術
US10643873B2 (en) * 2016-09-13 2020-05-05 Macau University Of Science And Technology Cluster tool apparatus and a method of controlling a cluster tool apparatus
US10747210B2 (en) * 2017-09-11 2020-08-18 Lam Research Corporation System and method for automating user interaction for semiconductor manufacturing equipment
US10359769B2 (en) * 2017-09-15 2019-07-23 Applied Materials, Inc. Substrate routing and throughput modeling
US10698392B2 (en) * 2018-06-22 2020-06-30 Applied Materials, Inc. Using graphics processing unit for substrate routing and throughput modeling
WO2021163986A1 (en) * 2020-02-21 2021-08-26 Yangtze Memory Technologies Co., Ltd. Method and system for scheduling semiconductor fabrication
US11385628B2 (en) * 2020-06-24 2022-07-12 Applied Materials, Inc. Scheduling substrate routing and processing

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI830303B (zh) * 2021-07-30 2024-01-21 日商斯庫林集團股份有限公司 排程建立方法、排程建立裝置、基板處理裝置、基板處理系統、記錄媒體、及排程建立程式
TWI819578B (zh) * 2022-04-20 2023-10-21 國立中央大學 多目標參數最佳化系統、方法及電腦程式產品
TWI818873B (zh) * 2023-03-02 2023-10-11 國立成功大學 考慮加工時變與即時資料串流的優化排程的系統及其方法

Also Published As

Publication number Publication date
JP7510436B2 (ja) 2024-07-03
WO2020205339A1 (en) 2020-10-08
KR20210134823A (ko) 2021-11-10
JP2022523870A (ja) 2022-04-26
CN113874993A (zh) 2021-12-31
US20220171373A1 (en) 2022-06-02

Similar Documents

Publication Publication Date Title
TW202101138A (zh) 基板處理系統用基於模型之排程
JP7045368B2 (ja) 複雑な多変量ウエハ処理機器における機械学習を実行する方法及びプロセス
US11101173B2 (en) Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
KR102648517B1 (ko) 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
JP7311253B2 (ja) 半導体製造装置のためのユーザ相互作用を自動化するシステムおよび方法
JP2023527110A (ja) マルチチャンバ半導体機器のための予測ウエハスケジューリング
WO2023059740A1 (en) Time constraint management at a manufacturing system
WO2024010736A1 (en) Sustainability monitoring platform with sensor support
Aydt et al. Symbiotic simulation for optimisation of tool operations in semiconductor manufacturing
US20240230189A1 (en) Cooling flow in substrate processing according to predicted cooling parameters
US20240210916A1 (en) Machine and deep learning techniques for predicting ecological efficiency in substrate processing
US20230315953A1 (en) Using deep reinforcement learning for time constraint management at a manufacturing system
US11892821B2 (en) Communication node to interface between evaluation systems and a manufacturing system
TW202420003A (zh) 有感測器支援的永續性監測平台
CN117836922A (zh) 用于无接触处理腔室表征的方法及机制
Turner et al. Heiko Aydt School of Computer Engineering Nanyang Technological University Nanyang Avenue, Singapore 639798