JP7311253B2 - 半導体製造装置のためのユーザ相互作用を自動化するシステムおよび方法 - Google Patents

半導体製造装置のためのユーザ相互作用を自動化するシステムおよび方法 Download PDF

Info

Publication number
JP7311253B2
JP7311253B2 JP2018168409A JP2018168409A JP7311253B2 JP 7311253 B2 JP7311253 B2 JP 7311253B2 JP 2018168409 A JP2018168409 A JP 2018168409A JP 2018168409 A JP2018168409 A JP 2018168409A JP 7311253 B2 JP7311253 B2 JP 7311253B2
Authority
JP
Japan
Prior art keywords
substrate processing
processing tool
tool
operator
database
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018168409A
Other languages
English (en)
Other versions
JP2019083309A (ja
JP2019083309A5 (ja
Inventor
レイナー・ウンターグッゲンベルガー
ヘンリー・チャン
チュン-ホ・フアン
ビンセント・ウォン
デビッド・ヘンカー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2019083309A publication Critical patent/JP2019083309A/ja
Publication of JP2019083309A5 publication Critical patent/JP2019083309A5/ja
Application granted granted Critical
Publication of JP7311253B2 publication Critical patent/JP7311253B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41865Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by job scheduling, process planning, material flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/20Pc systems
    • G05B2219/25Pc structure of the system
    • G05B2219/25236Detail, detect presence of operator to wake up system
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/20Pc systems
    • G05B2219/26Pc applications
    • G05B2219/2602Wafer processing
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32128Gui graphical user interface
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/36Nc in input of data, input key till input tape
    • G05B2219/36039Learning task dynamics, process
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/36Nc in input of data, input key till input tape
    • G05B2219/36297Machining plan, indicate order of machining as function of presence of operator
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0259Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterized by the response to fault detection
    • G05B23/0267Fault communication, e.g. human machine interface [HMI]
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B23/00Testing or monitoring of control systems or parts thereof
    • G05B23/02Electric testing or monitoring
    • G05B23/0205Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults
    • G05B23/0259Electric testing or monitoring by means of a monitoring system capable of detecting and responding to faults characterized by the response to fault detection
    • G05B23/0283Predictive maintenance, e.g. involving the monitoring of a system and, based on the monitoring results, taking decisions on the maintenance schedule of the monitored system; Estimating remaining useful life [RUL]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Quality & Reliability (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Artificial Intelligence (AREA)
  • Evolutionary Computation (AREA)
  • Software Systems (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Health & Medical Sciences (AREA)
  • Medical Informatics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Testing And Monitoring For Control Systems (AREA)
  • Management, Administration, Business Operations System, And Electronic Commerce (AREA)
  • User Interface Of Digital Computer (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Apparatuses And Processes For Manufacturing Resistors (AREA)
  • General Factory Administration (AREA)
  • Chemical Vapour Deposition (AREA)

Description

関連出願への相互参照
本願は、2017年9月11日出願の米国仮出願第62/556,733号の利益を主張する。上記の出願の開示全体が、参照によって本明細書に組み込まれる。
本開示は、一般に、半導体製造装置に関し、特に、人工知能を用いて半導体製造装置のためのユーザ相互作用を自動化することに関する。
本明細書で提供されている背景技術の記載は、本開示の背景を概略的に提示するためのものである。ここに名を挙げられている発明者の業績は、この背景技術に記載された範囲において、出願時に従来技術として通常見なされえない記載の態様と共に、明示的にも黙示的にも本開示に対する従来技術として認められない。
基板処理システムは、通例、半導体ウエハなどの基板の蒸着、エッチング、および、その他の処理を実行するために、複数の処理チャンバ(処理モジュールとも呼ばれる)を備える。処理中、基板処理システムの処理チャンバ内で基板支持体上に基板が配置される。蒸着中、1または複数の前駆体を含むガス混合物が、処理チャンバに導入され、プラズマが、化学反応を活性化するために点火されうる。エッチング中、エッチングガスを含むガス混合物が導入され、プラズマが、化学反応を活性化するために点火されうる。通例は、コンピュータ制御されたロボットが、半導体基板を或る処理チャンバから別の処理チャンバへ、半導体基板の処理される順に移動させる。
システムが、インターフェースおよびコントローラを備える。インターフェースは、基板を処理するよう構成された複数の処理モジュールを備えた基板処理ツールの状態を受信するよう構成されている。コントローラは、状態を、その状態に基づいてインターフェースから基板処理ツールによって以前に受信された入力と相関させ、相関に基づいて基板処理ツールを制御するための出力を生成するよう構成されている。
別の特徴において、コントローラは、基板処理ツールの複数の状態と複数の状態に基づいて基板処理ツールによって受信された対応する入力とをデータベースに格納し、データベースを用いて相関を実行するよう構成されている。
別の特徴において、コントローラは、基板処理ツールおよびさらなる基板処理ツールの1または複数に関連する履歴データに基づいてデータベースを更新し、更新したデータベースを用いて相関を実行するよう構成されている。
別の特徴において、コントローラは、さらなる基板処理ツールに関連するデータであってさらなる基板処理ツールの状態と状態に基づいてさらなる基板処理ツールによって受信された対応する入力とを含むデータをデータベースに格納し、データを用いて相関を実行するよう構成されている。
別の特徴において、基板処理ツールの状態は、基板処理ツールに関連するエラーを示すデータを含み、入力は、エラーへの対応を示すデータを含む。
別の特徴において、基板処理ツールの状態は、基板処理ツールに関連する差し迫ったエラーを示すデータを含み、入力は、エラーを防止するための対応を示すデータを含む。
別の特徴において、コントローラは、基板処理ツールのオペレータの存在を検出し、出力に基づいてオペレータによって受信された対応に従って基板処理ツールを制御するよう構成されている。
別の特徴において、コントローラは、基板処理ツールのオペレータの不在を検出し、出力に基づいてオペレータの不在時に基板処理ツールを制御するよう構成されている。
別の特徴において、コントローラは、基板処理ツールのオペレータの不在を検出し、出力に関してオペレータに通知するよう構成されている。
別の特徴において、コントローラは、基板の処理の完了を確実にし、基板への損傷を防止し、処理モジュールのアイドリングを防止するために、出力に基づいて基板処理ツールを制御するよう構成されている。
別の特徴において、コントローラは、処理モジュールによる基板の処理のスケジューリングを最適化するために、出力に基づいて基板処理ツールを制御するよう構成されている。
さらに別の特徴において、方法が、基板を処理するよう構成された複数の処理モジュールを備えた基板処理ツールの状態を受信する工程を備える。方法は、さらに、状態を、その状態に基づいて基板処理ツールによって以前に受信された入力と相関させる工程を備える。方法は、さらに、相関に基づいて基板処理ツールを制御するための出力を生成する工程を備える。
別の特徴にいて、方法は、さらに、基板処理ツールの複数の状態と複数の状態に基づいて基板処理ツールによって受信された対応する入力とをデータベースに格納する工程を備える。方法は、さらに、データベースを用いて相関を実行する工程を備える。
別の特徴において、方法は、さらに、基板処理ツールおよびさらなる基板処理ツールの1または複数に関連する履歴データに基づいてデータベースを更新する工程を備える。方法は、さらに、更新したデータベースを用いて相関を実行する工程を備える。
別の特徴において、方法は、さらに、さらなる基板処理ツールに関連するデータであってさらなる基板処理ツールの状態と状態に基づいてさらなる基板処理ツールによって受信された対応する入力とを含むデータをデータベースに格納する工程を備える。方法は、さらに、そのデータを用いて相関を実行する工程を備える。
別の特徴において、基板処理ツールの状態は、基板処理ツールに関連するエラーを示すデータを含み、入力は、エラーへの対応を示すデータを含む。
別の特徴において、基板処理ツールの状態は、基板処理ツールに関連する差し迫ったエラーを示すデータを含み、入力は、エラーを防止するための対応を示すデータを含む。
別の特徴において、方法は、さらに、基板処理ツールのオペレータの存在を検出する工程を備える。方法は、さらに、出力に基づいてオペレータによって受信された対応に従って基板処理ツールを制御する工程を備える。
別の特徴において、方法は、さらに、基板処理ツールのオペレータの不在を検出する工程を備える。方法は、さらに、出力に基づいてオペレータの不在時に基板処理ツールを制御する工程を備える。
別の特徴において、方法は、さらに、基板処理ツールのオペレータの不在を検出する工程を備える。方法は、さらに、出力に関してオペレータに通知する工程を備える。
別の特徴において、方法は、さらに、基板の処理の完了を確実にし、基板への損傷を防止し、処理モジュールのアイドリングを防止するために、出力に基づいて基板処理ツールを制御する工程を備える。
別の特徴において、方法は、さらに、処理モジュールによる基板の処理のスケジューリングを最適化するために、出力に基づいて基板処理ツールを制御する工程を備える。
さらに別の特徴において、システムが、プロセッサと、有形のマシン読み取り可能な媒体に格納されたマシン読み取り可能な命令と、を備える。プロセッサによって実行された時、マシン読み取り可能な命令は、基板を処理するよう構成された複数の処理モジュールを備えた基板処理ツールの状態を受信するように、プロセッサを構成する。マシン読み取り可能な命令は、状態を、その状態に基づいて基板処理ツールによって以前に受信された入力と相関させるように、プロセッサを構成する。マシン読み取り可能な命令は、相関に基づいて基板処理ツールを制御するための出力を生成するように、プロセッサを構成する。
別の特徴にいて、マシン読み取り可能な命令は、基板処理ツールの複数の状態と複数の状態に基づいて基板処理ツールによって受信された対応する入力とをデータベースに格納するように、プロセッサを構成する。マシン読み取り可能な命令は、データベースを用いて相関を実行するように、プロセッサを構成する。
別の特徴において、マシン読み取り可能な命令は、基板処理ツールおよびさらなる基板処理ツールの1または複数に関連する履歴データに基づいてデータベースを更新するように、プロセッサを構成する。マシン読み取り可能な命令は、更新したデータベースを用いて相関を実行するように、プロセッサを構成する。
別の特徴において、マシン読み取り可能な命令は、さらなる基板処理ツールに関連するデータであってさらなる基板処理ツールの状態と状態に基づいてさらなる基板処理ツールによって受信された対応する入力とを含むデータをデータベースに格納するように、プロセッサを構成する。マシン読み取り可能な命令は、そのデータを用いて相関を実行するように、プロセッサを構成する。
別の特徴において、基板処理ツールの状態は、基板処理ツールに関連するエラーを示すデータを含み、入力は、エラーへの対応を示すデータを含む。
別の特徴において、基板処理ツールの状態は、基板処理ツールに関連する差し迫ったエラーを示すデータを含み、入力は、エラーを防止するための対応を示すデータを含む。
別の特徴において、マシン読み取り可能な命令は、基板処理ツールのオペレータの存在を検出するように、プロセッサを構成する。マシン読み取り可能な命令は、出力に基づいてオペレータによって受信された対応に従って基板処理ツールを制御するように、プロセッサを構成する。
別の特徴において、マシン読み取り可能な命令は、基板処理ツールのオペレータの不在を検出するように、プロセッサを構成する。マシン読み取り可能な命令は、出力に基づいてオペレータの不在時に基板処理ツールを制御するように、プロセッサを構成する。
別の特徴において、マシン読み取り可能な命令は、基板処理ツールのオペレータの不在を検出するように、プロセッサを構成する。マシン読み取り可能な命令は、出力に関してオペレータに通知するように、プロセッサを構成する。
別の特徴において、マシン読み取り可能な命令は、基板の処理の完了を確実にし、基板への損傷を防止し、処理モジュールのアイドリングを防止するために、出力に基づいて基板処理ツールを制御するように、プロセッサを構成する。
別の特徴において、マシン読み取り可能な命令は、処理モジュールによる基板の処理のスケジューリングを最適化するために、出力に基づいて基板処理ツールを制御するように、プロセッサを構成する。
詳細な説明、特許請求の範囲、および、図面から、本開示を適用可能なさらなる領域が明らかになる。詳細な説明および具体的な例は、単に例示を目的としており、本開示の範囲を限定するものではない。
本開示は、詳細な説明および以下に説明する添付図面から、より十分に理解できる。
本開示に従って、基板処理システムの一例を示す機能ブロック図。
本開示に従って、図1の基板処理システムの処理モジュールの一例を示す機能ブロック図。
本開示に従って、図1の基板処理システムの処理モジュールの別の例を示す機能ブロック図。
本開示に従って、図1の基板処理システムの基板処理ツールを制御するコントローラを示す機能ブロック図。
本開示に従って、図1の基板処理システムと、基板処理システムの上流および下流の複数のシステムと、測定システムと、ホストとを備える分散型ネットワークシステムを示す機能ブロック図。
本開示に従って、さらに図1の基板処理システムを複数備えた図5の分散型ネットワークシステムを示す機能ブロック図。
本開示に従って、人工知能を用いて図1の基板処理システムのためのユーザ相互作用を自動化するための方法を示すフローチャート。
本開示に従って、複数の基板処理ツールから受信したデータに基づいて、ツール状態および基板処理ツールのための対応のデータベースを構築するための方法を示すフローチャート。
本開示に従って、複数の基板処理ツールから受信したデータを再生して、シミュレーションを用いることにより、ツール状態および基板処理ツールのための対応のデータベースを構築するための方法を示すフローチャート。
図面において、同様および/または同一の要素を特定するために、同じ符号を用いる場合がある。
本開示は、人工知能を用いて半導体処理ツールのためのユーザ相互作用を自動化するためのシステムおよび方法に関する。半導体処理ツールは、フィーチャ、オプション、および、処理に関して高い可変性を有する。顧客相互作用を自動化する現在の方法は、顧客の要求を要件に翻訳し、その後、顧客の半導体処理ツールの制御システム上にフィーチャを実装することを含む。現在の方法は、多くの課題に直面している。例えば、一部の顧客要件は、非常に特殊で一時的である。これらの要求に対して、カスタムプログラミングを含む従来の開発サイクルに対する所要時間は長く、費用が高くなる。
各半導体処理ツールを制御するための手順およびシーケンスをハードコーディングする代わりに、本開示は、基板処理ツールとのユーザ相互作用に基づいて半導体処理ツールが学習およびトレーニングすることを可能にするための制御システムを提案する。学習およびトレーニングは、基板処理ツールとのユーザ相互作用を自動化するために利用できる。例えば、学習およびトレーニングは、複雑なメンテナンスタスクを実行し、ウエハスループット分析を自動化し、処理モジュールのアイドルタイムを削減するようスケジュールを最適化するために利用できる。学習およびトレーニングは、エラーを検出してエラー回復手順を提案するために利用できる。学習およびトレーニングは、エラー回復手順を自動的に実行してウエハおよび/または基板処理ツールへの損傷を防ぐために利用することもできる。
例えば、制御システムは、ツール動作/エラー回復中に実行されたユーザ動作/介入からリアルタイムで学習することができる。制御システムがトレーニングされ、自動的にタスクを実行する準備ができると、ユーザは、制御システムが製造中に引き継ぐ自動モードを選択できる。自動モードがアクティブである時、制御システムは、介入するユーザが存在しなければ、ウエハ/ツールへの損傷が発生する可能性がある時に介入する。ユーザが存在する場合、制御システムは、ユーザへの支援を提案できる。ユーザは、制御システムに引き継いで自動的に対応させてもよいし、制御システムの動作を制御してもよい。したがって、制御システムは、ユーザと独立して動作してもよいし、動作を示唆して、ユーザ相互作用でタスクを実行してもよい。
制御システムは、様々なセンサからのデータおよび基板処理ツールの様々な状態に関連するデータをリアルタイムで解析する。ツールの状態の例は、ツールがアイドル中、メンテナンス中、エネルギ節約モード時などを含むが、これらに限定されない。概して、ツール(すなわち、基板処理ツール)の状態は、所与の瞬間のツールの動作パラメータまたは条件のスナップショットである。制御システムは、ユーザがどのようにツール状態に反応または対応するのかを学習できる。
例えば、ユーザがツール状態に所定の回数(例えば、3~5回)同様に反応した後(例えば、ユーザがツール状態に応じて一連の動作またはコマンドを実行した後)、制御システムは、ツール状態に応じてユーザによって実行された動作シーケンスを学習できる。その後、学習に基づいて、そのツール状態に遭遇した時に、制御システムは、そのツール状態について学習したユーザ行動または対応とツール状態を相関させる。制御システムは、全くユーザ介入なしに、学習した動作シーケンスを実行してもよいし、シーケンスを実行するよう提案してもよい。
制御システムは、様々な基板処理ツールから入手可能な履歴データ(例えば、イベントログ、警告ログなど)から学習することもできる。例えば、履歴データは、シミュレータ内で再生することができ、ツール状態およびツール状態へのユーザ対応のデータベースが、生成または蓄積されてよい。こうして、リアルタイムでツール情報へアクセスできるため、制御システムは、製造中に起こりうる任意の状況に対して学習済みの知識を適用できる。他のツールから得られた知識を用いて構築されたデータベースは、特定の基板処理ツールの制御システム用にカスタマイズできる。他のツールから得られた知識は、基板処理ツールの制御システムの使用中のデータベースを更新するために利用されてもよい。本開示のシステムおよび方法のこれらおよびその他の特徴について、以下に詳細に説明する。
本開示は以下のように構成される。基板処理ツールを備えた基板処理システムが、図1を参照して説明される。基板処理ツールの処理モジュール(処理チャンバ)の例が、図2および図3を参照して説明される。基板処理ツールを制御するコントローラが、図4を参照して説明される。基板処理システム、複数の上流および下流システム、測定システム、および、ホストを含むネットワーク化されたシステムが、図5を参照して説明される。複数の基板処理システムをさらに含むネットワーク化されたシステムが、図6を参照して説明される。コントローラを用いて基板処理ツールを制御するための様々な方法が、その後に図7~図9を参照して説明される。
図1は、基板処理システムの一例1の上面図である。基板処理システム1は、基板処理ツール2およびコントローラ10を備える。基板処理ツール2(本開示を通してツールとも呼ばれる)は、複数の処理モジュール4を備える。例えば、処理モジュール4の各々は、基板に対して1または複数のそれぞれの処理を実行するように構成されうる。処理される基板は、装置フロントエンドモジュール(EFEM)6のロードステーションのポートを介して基板処理ツール2へロードされる。ロボット8が、処理モジュール4によって基板が処理される順に処理モジュール4の内の1または複数へ基板を移動させる。コントローラ10は、図4を参照して後述するように、基板処理ツール2を制御する。コントローラ10は、記載した機能を実行できるサーバまたは任意のその他の適切なコンピュータデバイスを含みうる。
図2は、処理モジュール4の一例としてシステム20を示す。以下の例は、プラズマ強化化学蒸着(PECVD)の文脈で説明されているが、本開示の教示は、原子層蒸着(ALD)、PEALD、CVD、または、その他の処理などのその他の基板処理システムに適用されてもよい。システム20は、システム20の他の構成要素を収容すると共にRFプラズマを(利用する場合に)閉じ込める処理チャンバ22を備える。システム20は、上側電極24と、静電チャック(ESC)26またはその他の基板支持体と、を備える。動作中、基板28が、ESC26上に配置される。
例えば、上側電極24は、処理ガスを導入して分散させるガス分配装置29(シャワーヘッドなど)を備えてよい。ガス分配装置29は、処理チャンバの上面に接続された一端を備えるステム部分を備えてよい。ベース部分は、略円筒形であり、処理チャンバの上面から離れた位置でステム部分の反対側の端部から半径方向外向きに広がる。シャワーヘッドのベース部分の基板対向面すなわちフェースプレートは、気化した前駆体、処理ガス、または、パージガスが流れる複数の穴を備える。あるいは、上側電極24は、導電性のプレートを備えてもよく、処理ガスは、別の方法で導入されてもよい。
ESC26は、下側電極として機能するベースプレート30を備える。ベースプレート30は、加熱プレート32を支持しており、加熱プレート32は、セラミックのマルチゾーン加熱プレートに対応してよい。熱抵抗層34が、加熱プレート32とベースプレート30との間に配置されてよい。ベースプレート30は、ベースプレート30に冷却材を流すための1または複数の流路36を備えてよい。
プラズマが利用される場合、RF発生システム40が、RF電圧を生成して、上側電極24および下側電極(例えば、ESC26のベースプレート30)の一方に出力する。上側電極24およびベースプレート30のもう一方は、DC接地、AC接地されるか、または、浮遊していてよい。単に例として、RF発生システム40は、整合/配電ネットワーク44によって上側電極24またはベースプレート30に供給されるRF電力を生成するRF発生器42を備えてよい。他の例において、プラズマは、誘導的にまたは遠隔で生成されてよい。
ガス供給システム50は、1または複数のガス源52-1、52-2、・・・、および、52-N(集合的に、ガス源52)を備えており、ここで、Nはゼロより大きい整数である。ガス源52は、バルブ54-1、54-2、・・・、および、54-N(集合的に、バルブ54)ならびにマスフローコントローラ56-1、56-2、・・・、および、56-N(集合的に、マスフローコントローラ56)によってマニホルド60に接続されている。蒸気供給システム61が、マニホルド60または処理チャンバ22に接続された別のマニホルド(図示せず)に気化した前駆体を供給する。マニホルド60の出力は、処理チャンバ22に供給される。
温度コントローラ63が、加熱プレート32上に配置された複数の熱制御素子(TCE:thermal control element)64に接続されてよい。温度コントローラ63は、複数のTCE64を制御してESC26および基板28の温度を制御するために用いられる。温度コントローラ63は、流路36を通る冷却材の流れを制御するための冷却材アセンブリ66と連通してよい。例えば、冷却材アセンブリ66は、冷却材ポンプと、リザーバと、1または複数の温度センサと、を備えてよい。温度コントローラ63は、ESC26を冷却するために流路36を通して冷却材を選択的に流すように、冷却材アセンブリ66を作動させる。
バルブ70およびポンプ72が、処理チャンバ22から反応物質を排出するために用いられてよい。システムコントローラ80が、システム20の構成要素を制御するために用いられてよい。
図3は、処理モジュール4の一例として、基板の層をエッチングするための処理チャンバ100を示す。具体的なチャンバを図示して説明しているが、本開示の教示は、他の基板処理装置に適用されてもよい。
処理チャンバ100は、下側チャンバ領域102および上側チャンバ領域104を備える。下側チャンバ領域102は、チャンバ側壁面108、チャンバ底面110、および、ガス分配装置114の下面によって規定される。
上側チャンバ領域104は、ガス分配装置114の上面およびドーム118の内面によって規定される。いくつかの例において、ドーム118は、第1環状支持体121の上にある。いくつかの例において、第1環状支持体121は、上側チャンバ領域104に処理ガスを供給するための1または複数の離間された穴123を備える。いくつかの例において、処理ガスは、ガス分配装置114を含む平面に対して鋭角に上方向に1または複数の離間した穴123によって供給されるが、その他の角度/方向が用いられてもよい。いくつかの例において、第1環状支持体121内のガス流路134が、1または複数の離間した穴123にガスを供給する。
第1環状支持体121は、ガス流路129から下側チャンバ領域102へ処理ガスを供給するための1または複数の離間した穴127を規定する第2環状支持体125上にあってよい。いくつかの例において、ガス分配装置114の穴131は、穴127と整列する。別の例において、ガス分配装置114は、より小さい直径を有しており、穴131は必要ない。いくつかの例において、処理ガスは、ガス分配装置114を含む平面に対して鋭角に基板126に向かって下方向に1または複数の離間した穴127によって供給されるが、その他の角度/方向が用いられてもよい。
別の例において、上側チャンバ領域104は、平坦な上面を備えた円筒形であり、1または複数の平坦な誘導コイルが用いられてよい。さらに別の例において、単一のチャンバが、シャワーヘッドと基板支持体との間に配置されたスペーサと共に用いられてもよい。
基板支持体122が、下側チャンバ領域104内に配置されている。いくつかの例において、基板支持体122は、静電チャック(ESC)を備えるが、その他のタイプの基板支持体が用いられてもよい。基板126は、エッチング中に基板支持体122の上面に配置される。いくつかの例において、基板126の温度は、ヒータプレート130と、流体流路を備えた任意選択的な冷却プレートと、1または複数のセンサ(図示せず)とによって制御されてよいが、任意のその他の適切な基板支持体温度制御システムが用いられてもよい。
いくつかの例において、ガス分配装置114は、シャワーヘッド(例えば、複数の離間した穴127を有するプレート128)を備える。複数の離間した穴127は、プレート128の上面からプレート128の下面まで伸びる。いくつかの例において、離間した穴127は、0.4インチ(10.16mm)から0.75インチ(19.05mm)の範囲の直径を有し、シャワーヘッドは、導電材料製の埋め込み電極を備えた導電材料(アルミニウムなど)または非導電材料(セラミックなど)で製造される。
1または複数の誘導コイル140が、ドーム118の外側部分の周りに配列されている。励起されると、1または複数の誘導コイル140は、ドーム118の内部に電磁場を生成する。いくつかの例では、上側コイルおよび下側コイルが用いられる。ガスインジェクタ142が、ガス供給システム150-1から1または複数のガス混合物を注入する。
いくつかの例において、ガス供給システム150-1は、1または複数のガス源152と、1または複数のバルブ154と、1または複数のマスフローコントローラ(MFC)156と、混合マニホルド158とを備えるが、その他のタイプのガス供給システムが用いられてもよい。ガススプリッタ(図示せず)が、ガス混合物の流量を変化させるために用いられてよい。別のガス供給システム150-2が、(ガスインジェクタ142からのエッチングガスに加えてまたはその代わりに)エッチングガスまたはエッチングガス混合物をガス流路129および/または134に供給するために用いられる。
いくつかの例において、ガスインジェクタ142は、下方向にガスを方向付ける中央注入位置と、下方向に対して或る角度でガスを注入する1または複数の側方注入位置とを備える。いくつかの例において、ガス供給システム150-1は、中央注入位置に第1流量でガス混合物の第1部分を供給し、ガスインジェクタ142の側方注入位置へ第2流量でガス混合物の第2部分を供給する。別の例では、異なるガス混合物が、ガスインジェクタ142によって供給される。いくつかの例において、ガス供給システム150-1は、後述するように、ガス流路129および134ならびに/もしくは処理チャンバの他の位置へ調節ガスを供給する。
プラズマ発生器170が、1または複数の誘導コイル140に出力されるRF電力を生成するために用いられる。プラズマ190が、上側チャンバ領域104で生成される。いくつかの例において、プラズマ発生器170は、RF発生器172および整合回路網174を備える。整合回路網174は、RF発生器172のインピーダンスを1または複数の誘導コイル140のインピーダンスに整合させる。いくつかの例において、ガス分配装置114は、接地などの基準電位に接続される。バルブ178およびポンプ180が、下側および上側チャンバ領域102、104の内部の圧力を制御すると共に、反応物質を排出するために用いられてよい。
コントローラ176が、ガス供給システム150-1および150-2、バルブ178、ポンプ180、ならびに、プラズマ発生器170と通信して、処理ガス、パージガスの流量、RFプラズマ、および、チャンバ圧を制御する。いくつかの例において、プラズマは、1または複数の誘導コイル140によってドーム118内で維持される。1または複数のガス混合物が、ガスインジェクタ142(および/または穴123)を用いてチャンバの上部から導入され、プラズマは、ガス分配装置114を用いてドーム118内に閉じこめられる。
ドーム118におけるプラズマの閉じこめは、プラズマ種の体積再結合を可能にし、ガス分配装置114を通して所望のエッチング種を放出する。いくつかの例において、基板126にはRFバイアスが印加されない。結果として、基板126上に活性シースはなく、イオンが任意の有限エネルギで基板に衝突することがない。或る程度の量のイオンが、ガス分配装置114を通してプラズマ領域から拡散する。しかしながら、拡散するプラズマの量は、ドーム118内に位置するプラズマよりも桁が小さい。プラズマ内のイオンのほとんどは、高圧で体積再結合によって失われる。ガス分配装置114の上面での表面再結合の損失も、ガス分配装置114の下のイオン密度を低下させる。
いくつかの例において、RFバイアス発生器184が設けられており、RF発生器186および整合回路網188を備える。RFバイアスは、ガス分配装置114と基板支持体との間でプラズマを生成するため、または、イオンを引きつけるために基板126上で自己バイアスを生成するために利用されうる。コントローラ176は、RFバイアスを制御するために用いられてよい。
図4は、図1に示した基板処理ツール2を制御するコントローラ10の簡単な例を示す。例えば、コントローラ10は、記載した機能を実行できるサーバまたは任意のその他の適切なコンピュータデバイスを含みうる。コントローラ10は、通例、1または複数のCPUまたはプロセッサ200と、1または複数の入力デバイス202(例えば、キーパッド、タッチパッド、マウスなど)と、ディスプレイ206を備えたディスプレイサブシステム204と、ネットワークインターフェース208と、メモリ210と、バルクストレージ212と、を備える。
ネットワークインターフェース208は、コントローラ10を分散型ネットワークシステム12に接続する。分散型ネットワークシステム12は、ローカルエリアネットワーク(LAN)、インターネットなどのワイドエリアネットワーク(WAN)、または、その他のタイプのネットワークを含んでよい。例えば、ネットワークインターフェース208は、有線インターフェース(例えば、イーサネット(登録商標)インターフェース)および/または無線インターフェース(例えば、Wi-Fi、Bluetooth(登録商標)、近距離無線通信(NFC)、または、その他の無線インターフェース)を含んでよい。メモリ210は、揮発性または不揮発性メモリ、キャッシュ、もしくは、その他のタイプのメモリを含んでよい。バルクストレージ212は、フラッシュメモリ、1または複数のハードディスクドライブ(HDD)、または、その他のバルクストレージデバイスを含んでよい。
コントローラ10のプロセッサ200は、オペレーティングシステム(OS)214と、1または複数のアプリケーション216と、を実行する。アプリケーション216は、基板処理ツール2を制御する制御システムを実装する。アプリケーション216は、人工知能によって基板処理ツール2のためのユーザ相互作用を自動化するために、 図7~図9を参照して後に説明する方法を実施する。アプリケーション216は、基板処理ツール2のためのユーザ相互作用を自動化する人工知能(AI)エンジンを実装する。バルクストレージ212は、それぞれの機能を実行するためにアプリケーション216によって用いられるデータ構造を格納する1または複数のデータベース218を格納してよい。
コントローラ10は、コントローラ10を処理モジュール4およびロボット8にインターフェース接続するインターフェース220を備える。コントローラ10は、インターフェース220を介して基板処理ツール2のロボット8および処理モジュール4を制御する。コントローラ10は、インターフェース220を介して図2および図3に示した処理モジュール4のコントローラ80および176と通信する。処理モジュール4のコントローラ80および176も、記載した機能を実行できるサーバまたは任意のその他の適切なコンピュータデバイスを含みうる。コントローラ10は、インターフェース220を介して、基板処理ツール2によって用いられる様々なセンサと通信する。コントローラ10は、インターフェース220を介して処理モジュール4およびロボット8に関連する様々なセンサからデータを受信する。
コントローラ10は、基板処理ツール2の様々な構成要素を制御するために、インターフェース220を通して信号を送信する。例えば、信号は、処理モジュール4内のバルブ、ポンプなどを制御してよい;信号は、処理モジュール4内の様々な処理パラメータ(圧力、温度、電力、ガスなど)を制御してよい;信号は、ロボット8を制御してよい、などである。
コントローラ10は、処理モジュール4と基板処理ツール2によって用いられる様々なセンサとから受信したデータに基づいて、任意の所与の時点の基板処理ツール2の状態を決定する。コントローラ10は、基板処理ツール2の状態に基づいて、基板処理ツール2を制御する。
コントローラ10は、ディスプレイサブシステム204および/または入力デバイス202を介して(またはネットワークインターフェース208を介して遠隔で)受信したオペレータ入力に基づいて、基板処理ツール2を制御する(例えば、基板処理ツール2に信号を送信する)。コントローラ10は、後に詳述するような人工知能(AI)エンジンを実装するアプリケーション216およびデータベース218の制御下で、基板処理ツール2のためのユーザ相互作用を自動化する。コントローラ10は、ユーザ相互作用のあるなしに関わらず、AIエンジンを用いて基板処理ツール2を制御できる。
コントローラ10は、基板処理ツール2の状態に基づいて、警告を生成できる。例えば、コントローラ10は、基板処理ツール2内のイベント、エラー(発生したエラーおよび差し迫ったエラー)などに基づいて、警告を生成できる。警告は、基板処理ツール2のユーザ(すなわち、オペレータ)に警告するために、ディスプレイサブシステム204を介して出力されうる。警告は、基板処理ツール2の音声サブシステム(図示せず)を介して出力されてもよい。警告は、ネットワークインターフェース208を介して、メッセージとして通信されてもよい。
アプリケーション216は、基板処理ツール2の具体的な状態に応じて実行された具体的なユーザ動作から学習する。例えば、アプリケーション216は、警告に応じて実行されたユーザ動作(例えば、エラーから回復するために実行された動作)から学習する。基板処理ツール2において発生したイベントへのユーザによる同じ反応を観察した後(例えば、特定のイベントに対して3~5回同じセットの入力でユーザが反応した後)、アプリケーション216は、基板処理ツール2の状態と、その状態に応じてオペレータによって実行された対応する動作とを、データベース218に格納する。
いくつかの実施例において、データベース218は、基板処理ツール2の状態とそれらの状態に応じてオペレータによって実行された対応する動作とに関連する関係性をすでに格納していてもよい。かかる実施例において、アプリケーション216は、基板処理ツール2の継続動作からの学習に基づいて、データベース218を更新し続けてよい。
別の実施例において、データベース218は、様々な基板処理ツールの状態とそれらの状態に応じてオペレータによって実行された対応する動作とに関連する関係性をすでに格納していてもよい。例えば、関係性は、様々な基板処理ツールからの履歴データ(例えば、イベントログ、警告ログなど)を再生することによって導出されてよい。再生は、 (例えば、図1~図3に示したのと同様の基板処理システムをシミュレートすることによって)シミュレートされた環境で実行されてよい。シミュレーションプロセスは、他の基板処理システムから受信した生産データ(ツール状態および対応)を再生することに加えて、様々な他の動作条件および対応をシミュレートして学習プロセスをさらに改善できるというさらなる利点を提供する。例えば、シミュレートされた条件下でエラー検出を改善することによって、誤警告(例えば、エラーによって誤ってトリガされる不必要なメンテナンスタスク)を最小限に抑えるかまたは回避することができる。
かかる実施例において、データベース218が様々な基板処理システムから受信したデータに基づいて生成される場合、アプリケーション216は、基板処理ツール2の実際の構成に従ってデータベース218を構成(例えば、適合またはカスタマイズ)してよい。アプリケーション216は、基板処理ツール2の継続動作からの学習に基づいて、データベース218を更新し続けてよい。
学習に基づいて、コントローラ10は、基板処理ツール2の状態に応じて動作を実行するようユーザに指示できる。例えば、コントローラ10は、基板処理ツール2の状態を、データベース218に格納された状態への対応と相関させることができる。相関に基づいて、コントローラ10は、基板処理ツール2におけるエラーの検出時に、データベース218で見つかった修正または回復動作を示唆できる。別の例として、コントローラ10は、基板処理ツール2内の1または複数のセンサからのデータを用いて、予防または修正のメンテナンス動作を実行する必要のあることを決定できる。コントローラ10は、ツール状態をデータベース218に格納されたデータと相関させ、その相関に基づいて、実行すべき適切な動作を示唆できる。
コントローラ10は、ユーザ相互作用なしに、提示された動作を自動的に実行するよう提案できる(例えば、ディスプレイサブシステム204上のGUIで提案できる)。コントローラ10は、基板処理ツール2と相互作用するオペレータが存在するか否かを判定できる。コントローラ10は、日時に基づく(例えば、スケジュールされたシフトのタイミングまたは労働時間に基づく)、ユーザがコントローラ10に現在ログインしているか否かに基づくなどして、オペレータの存否を検出できる。ユーザが存在しない場合(すなわち、基板処理ツール2に人が付いていない場合)、コントローラ10は、自動的にタスクを実行し、基板処理ツール2の状態およびその状態に応じて実行される動作についてメッセージを介してユーザに通知することができる。
タスクのタイプおよび/またはアプリケーション216の構成に応じて、ユーザは、ユーザからのさらなる入力は全くなしに動作を実行することを、遠隔で(すなわち、基板処理ツール2の近くにユーザが存在しない場合に)コントローラ10に許可することもできる。あるいは、ユーザは、動作を実行し、コントローラによるのタスクの実行を監視し、必要であれば介入することを、遠隔で(またはローカルに、すなわち、ユーザが基板処理ツール2の近くに存在する場合に)コントローラ10に許可することもできる。
上述のように、アプリケーション216は、基板処理ツール2のユーザ(すなわち、オペレータ)の動作から、エラーからの回復方法、(エラー修正手段およびスケジュールされた予防手段としてトリガされた)複雑なメンテナンスタスクを実行する方法などを学習する。学習に基づいて、コントローラ10は、エラーを検出し、エラーから回復し、ウエハの損傷または廃棄を防止することができる。
一部の状況は、基板処理ツール2などの半導体処理装置に特有または独特であり、人工知能(AI)エンジンの構築を困難にすることに注意されたい。例えば、基板処理ツール2の処理モジュール4は、様々な処理のための様々な化学物質:様々な気体および液体(集合的に流体);様々な圧力、温度、および、電力;流体、圧力、温度、および、電力の様々な順序、などを利用しうる。かかる多様で幅広い範囲の電気的、機械的、および、化学的制御を含む基板処理ツールのためのユーザ相互作用を学習および自動化することは困難でありうる。
時には、ウエハが基板処理ツール2などの半導体処理装置内で損傷または廃棄されることを防ぐために、迅速な対応(例えば、数秒ないし数分の1秒)が重要になる場合がある。本開示に従った人工知能(AI)エンジンは、人間のオペレータよりもはるかに迅速にかかる状況に対応できる。
基板処理ツール2などの半導体処理装置に固有の別の特有または独特なパラメータは、待ち時間であり:一連の処理を用いて処理されるウエハは、待ち時間と呼ばれる所定の時間内に、或る処理モジュールから別の処理モジュールへ移送される必要がある。待ち時間は、或る処理モジュール内でウエハに対してすでに実行された処理のタイプおよび別の処理モジュール内でウエハに対して次に実行される処理のタイプに依存して変化しうる。ウエハが待ち時間内に処理されなかった場合、そのウエハは、廃棄される必要がありうる。AIエンジンは、ユーザが存在しない場合に、学習した待ち時間データを用いて、待ち時間内(すなわち、待ち時間が切れる前)に介入し、ウエハの廃棄を防ぐ。
AIエンジンは、基板処理ツール2内のエラーを検出する。AIエンジンは、学習に基づいて(すなわち、ツール状態とデータベース218に格納されたデータとの相関に基づいて)、ツール状態に対する過去の回復手順を認識した場合、以下の1つを行う:ユーザがツールを操作している場合(例えば、ユーザが存在する場合)、AIエンジンは、ユーザへの支援を提供できる。ユーザは、AIエンジンに回復シーケンスを自動的に実行させることができる。ユーザはAIエンジンによる回復シーケンスの自動実行が必要であれば、介入できる。あるいは、ユーザが存在せず、AIエンジンがウエハ廃棄の可能性を検出した場合、AIエンジンは、自動的に介入して、ウエハが廃棄されるのを防ぐことができる。
別の例の状況またはシナリオ(AIエンジンは、そこから学習し、後にその中で動作できる)は、以下を含む。例えば、基板処理ツール2は、ロボットグリッパエラーに見舞われる場合がある。例えば、ツールは、ロボットグリッパエラーにより生産中に1日2回停止しうる。交換部品が発注されうるが、届くのに何週間もかかる場合があり、これは、ツールの稼働停止時間および24時間週7日体制の技術サポートにつながりうる。
ロボットグリッパエラーの回復は複雑であり、熟練した介入が必要である。回復におけるエラーは、ロボットの故障につながり、ウエハの廃棄を引き起こしうる。ウエハは、待ち時間の制約も受ける。したがって、ウエハは、所与の時間内に回復される必要があり、さもなければ、ウエハは廃棄されなければならない。この状況は、ツールの稼働停止時間を引き起こし、24時間週7日体制の技術サポートを必要としうる。
その代わりに、AIエンジンは、以下のように対処できる。AIエンジンは、リアルタイムでツール情報にアクセスできる。AIエンジンは、エラーおよびツール状態へのユーザの介入を学習する。上記の状況へのユーザの対応を複数回(例えば、3~5回)記録した後、AIエンジンは、データベース218に格納されたシーケンスを実行して、エラーから回復することができる。例えば、生産中、AIエンジンは、自動回復モードに設定されることができる。このモードがアクティブであり、上記のエラーが発生した時、AIエンジンは、待ち時間がウエハをリスクにさらしうる場合に介入する。このように、ツールは、交換部品の取り付けまでは生産に用いることができる。AIエンジンは、ロボット8に関連するセンサから受信したデータに基づいてエラーを予測することができ、エラーが発生する前に先を見越して部品を発注できる。かかる先を見越した動作は、ツールの稼働停止時間、24時間週7日体制の技術サポートの必要性、および、ウエハ廃棄の可能性を回避または最小化することができる。
図5は、分散型ネットワークシステム12を介して互いに通信する基板処理システム1、システムA250-1およびシステムB250-2(集合的に、他のシステム250)、測定システム260、ならびに、ホスト270を含むネットワークを示す。例えば、システムA250-1およびB250-2は、基板処理システム1に対して上流および下流にそれぞれ配置されてよい。例えば、基板処理システム1の上流に配置されたシステムA250-1は、基板処理システム1による処理に向けて基板の準備をしてよい。システムA250-1は、基板に関するデータを提供してよい。システムA250-1から受信したデータに応じて、基板処理システム1は、基板処理に向けて、基板処理ツール2の1または複数の処理モジュール4およびロボット8の設定および/または処理パラメータを最適化できる。したがって、基板処理システム1は、基板処理ツール2の状態を改善するために、システムA250-1からのデータを利用できる。基板処理システム1は学習して、将来的に類似のデータを期待できる。さらに、基板処理システム1は、下流に配置されたシステムB250-2からデータを受信してよく、そのデータから学習できる(すなわち、データに基づいて、1または複数の処理モジュール4およびロボット8の設定および/または処理パラメータを最適化できる)。
測定システム260は、1または複数の光学測定法および質量測定法を用いて、基板処理システム1による基板の処理の前、間、および/または、後に、多くの測定を実行することができる。例えば、質量測定システムは、処理の前後で質量を測定して質量の変化を決定するために用いられてよい。光学測定法は、表面のスペクトルモデルを作成して厚さを決定するために利用できる。質量変化および厚さは、フィードバックとして利用できる。測定値は、基板の状態と、基板に実行された1または複数の処理(例えば、蒸着、エッチング、洗浄など)の成功とを示唆しうる。例えば、測定値に応じて、基板の状態は、以下の内の1または複数を示唆しうる:基板が基板処理システム1による処理の準備ができているか否か、基板が1または複数の処理モジュール4によって正確に処理されているか否か(例えば、基板が適切にエッチング;洗浄されているか否か;および/または、蒸着が正確に実行されているか否か、など)、基板処理システム1による基板の処理が成功して完了したか否か、など。測定データは、基板処理ツール2の1または複数の処理モジュール4およびロボット8の設定および/または処理パラメータを最適化するために利用できる。したがって、測定データは、基板処理ツール2の状態をさらに改善するために利用できる。説明の簡単のために測定システムを1つだけ図示しているが、2以上の測定システムを利用してもよい。例えば、或る測定システムが、基板処理システム1による基板の処理前に用いられてよく、別の測定システムが、基板処理システム1による基板の処理後に用いられてよい。
ホスト270は、1または複数のサーバを備えてよい。各サーバは、図4に示したコントローラ10の構成要素をすべて備えてよい。ホスト270は、コントローラ10の機能の一部または全部を実行してよい。ホスト270は、コントローラ10を用いてまたは用いないで基板処理ツール2を制御してよい。ホスト270は、コントローラ10を介しておよび/または直接的に(すなわち、コントローラ10を通して通信することなしに)基板処理ツール2と通信できる。ホスト270は、基板処理ツール2の任意の構成要素(例えば、ロボット8および任意の処理モジュール4)と直接通信できる。コントローラ80および176(図2および図3を参照)は、処理モジュール4の例であり、図4に示したコントローラ10と同様であってよい。各処理モジュール4は、ネットワークインターフェース208を介して直接的にホスト270と通信してよい。各処理モジュール4は、インターフェース220を介して、他の処理モジュール4、ロボット8、および/または、コントローラ10と通信してよい。ロボット8は、コントローラ10と同様のコントローラを備えてもよい。ロボット8は、ネットワークインターフェース208を介して直接的にホスト270と通信してよい。ロボット8は、インターフェース220を介して、処理モジュール4および/またはコントローラ10と通信してよい。したがって、処理モジュール4およびロボット8は、ホスト270と直接通信することができ、ホスト270によって直接制御されうる。ホスト270は、オンプレミスで配置されてもよいし、クラウド内に配置されてもよい。ホスト270は、ユーザ相互作用の有無にかかわらず、基板処理ツール2の制御に関連するさらなるデータを格納できる。さらなるデータは、他のシステム250、測定システム260、および、他の基板処理システム(図6および対応する以下の記載を参照)からのデータを含みうるが、これらに限定されない。
図6は、分散型ネットワークシステム12を介して互いに通信できる複数の基板処理システム1-1、1-2、1-3、・・・、および、1-N(ここで、Nは1より大きい整数)(集合的に、基板処理システム1)を示す。基板処理システム1は、単一の位置に配置されてもよいし、複数の位置にわたって分散されてもよい。基板処理システム1は、それらの状態および状態に対する対応に関するデータを互いに共有してよい。基板処理システム1のいずれも、共有したデータに基づいて(例えば、上述のシミュレーション処理を用いて共有データを再生することによって)、基板処理システム1の中または外にある1または複数の基板処理システムのアプリケーション216およびデータベース218を生成、更新、および/または、カスタマイズできる。
使用時、AIエンジン(すなわち、アプリケーション216およびデータベース218)は、リアルタイムでツールのすべての状態にアクセスできる。AIエンジンは、データベース218に格納されたツール状態およびユーザ動作を相関させることができる。AIエンジンは、ユーザがツール状態に反応する方法を学習できる(例えば、AIエンジンは、個々の警告からユーザが回復する方法を学習する)。例えば、ユーザがツール状態に同じように反応することがおよそ3~5回発生した後に、AIエンジンは、ユーザに対してそのシーケンスを実行できる。
シーケンスが認識された場合(すなわち、現在のツール状態が、データベース内の学習済みの対応を伴う状態に相関する場合)、AIエンジンは、ツールがユーザによって現在作動されているか否か(すなわち、ユーザが存在するか否か)を検出する。ユーザが存在する場合、AIエンジンは、(例えば、メッセージまたは(相関に基づいて見出された)推奨される対応をGUI上に表示することによって)ユーザに援助を提案する。あるいは、シーケンスが認識され、かつ、ユーザが存在しない場合、AIエンジンは、制御を引き継ぐように設定(すなわち、構成)されている場合には、そのようにすることができる。
AIエンジンは、待ち時間についても学習できる。AIエンジンは、ユーザが存在せず、かつ、ウエハが廃棄になりうる場合に、このデータを用いて介入できる。顧客(すなわち、他のツール)および実験ツール(例えば、再生、シミュレーション、など)から学習したシナリオが、マスタデータベースに格納、収集、および、蓄積されてよく、このデータベースは、その後、他のツール上の個々のデータベースをインストール、カスタマイズ、または、更新するために利用できる。AIエンジンの基本的な能力は、AIエンジンがインストールされたツールからの学習により、および、上述したように他のツールからの学習により、時間と共に向上し続けることができる。自身の学習を積んだAIエンジンについてのデータを共有しようと思わない顧客でも、ツール群(すなわち、彼らのツールのセット)にわたってAI学習を集約する機能を有する。
半導体製造装置のためのユーザ相互作用を自動化する方法について、図7~図9を参照して以下で説明する。以下の記載において、コントロールという用語は、以下に記載の方法を実施する図1~図6を参照して上述したアプリケーション216の内の1または複数を指す。換言すると、コントロールという用語は、記載した機能を実行するために図1~図6に示した基板処理システム1の1または複数の構成要素によって実行されるコードまたは命令を表す。例えば、以下に記載の方法は、図1および図4に示したコントローラ10および/または図5に示したホスト270によって実行されてよい。
図7は、人工知能を用いて半導体製造装置(例えば、図1の基板処理システム1)のためのユーザ相互作用を自動化する方法300を示す。工程302で、コントロール(例えば、アプリケーション216の内の1または複数)は、基板処理ツール(例えば、図1の基板処理ツール2)の状態を監視する。工程304で、コントロールは、ツール状態へのオペレータの対応を監視する。工程306で、コントロールは、ツール状態およびツール状態へのオペレータの対応のデータベース(例えば、データベース218の内の1または複数)を蓄積(すなわち、生成または構築)する。例えば、コントロールは、オペレータが、所定の回数(例えば、3~5回)同じ対応を用いてツール状態に反応した後に、ツール状態およびそのツール状態へのオペレータの対応をデータベースに格納する。
工程308で、コントロールは、リアルタイムに基板処理ツールから受信したデータに基づいて、基板処理ツールの現在のツール状態を決定し、現在のツール状態に対する対応がデータベース内にあるか否かを判定する。例えば、コントロールは、現在のツール状態を、データベースに格納された既知の対応を伴うツール状態と相関させることによって、この判定を行う。コントロールは、相関がデータベース内で現在のツール状態と一致するツール状態を見出すことができない場合には、工程302に戻る。
工程310で、現在のツール状態への一致がデータベース内で見つかった場合、コントロールは、現在のツール状態に対応するオペレータが存在するか否かを判定する。例えば、コントロールは、日時およびオペレータのシフトスケジュール、オペレータがシステム(例えば、コントローラ10)にログインしたか否か、などに基づいて、オペレータの存否を検出する。
工程312で、オペレータが存在する場合、コントロールは、基板処理ツールのディスプレイ上のデータベース(例えば、ディスプレイ上のGUI)に、データベース内で見つかった一致するツール状態に対応する対応を出力する。コントロールは、その対応に従って基板処理ツールを制御するよう提案する。
工程314で、コントロールは、オペレータが、全くオペレータ入力なしにその対応に従って基板処理ツールを自動的に制御することをコントロールに許可しているか否かを判定する。工程316で、オペレータが、全くオペレータ入力なしにその対応に従った基板処理ツールの自動的制御を行うことを許可している場合、コントロールは、オペレータの介入なしに基板処理ツールを自動的に制御し、コントロールは工程302に戻る。
工程318で、オペレータが、全くオペレータ入力なしにその対応に従った基板処理ツールの自動的制御を行うことを許可していない場合、コントロールは、オペレータから1または複数の入力を受信し、オペレータの1または複数の入力に従って基板処理ツールを制御し、コントロールは工程302に戻る。
工程320で、オペレータが存在しないとコントロールが工程310で判定した場合、コントロールは、現在のツール状態および現在のツール状態への対応に関してオペレータに通知し、コントロールは工程316に進む。例えば、コントロールは、現在のツール状態および現在のツール状態への対応に関するメッセージをオペレータへ送信する。図示していないが、コントロールは、工程316へ進む代わりに、工程314へ進むこともできる。コントロールが工程314へ進む場合、オペレータは、全くオペレータ入力なしに対応に従って基板処理ツールを自動的に制御することをコントロールに遠隔で許可することができ、その場合、コントロールは工程316へ進む。あるいは、コントロールが工程314へ進み、オペレータが、全くオペレータ入力なしに対応に従って基板処理ツールを自動的に制御することをコントロールに許可しない場合、コントロールは工程318へ進む。
図8は、複数の基板処理ツールから受信したデータに基づいて、ツール状態および特定の基板処理ツールのための対応のデータベースを構築する方法400を示す。工程402で、コントロールは、複数の基板処理ツールから受信したデータに基づいて、ツール状態およびツール状態に対応するオペレータ対応のマスタデータベースを構築する。工程404で、コントロールは、特定の基板処理ツールの構成に従って、(複数の基板処理ツールから受信したデータから工程402で生成された)マスタデータベースを構成することによって、特定の基板処理ツールのデータベースをカスタマイズする。あるいは、特定の基板処理ツールがすでにデータベースを有する場合、コントロールは、(複数の基板処理ツールから受信したデータから工程402で生成された)マスタデータベースから関連情報を追加することによって、データベースを更新する。コントロールは、特定の基板処理ツールの構成に基づいて、関連情報を選択する。工程406で、コントロールは、図7に示した方法300に従って、カスタマイズ/更新されたデータベースを用いて特定の基板処理ツールの制御動作を実行する。
図9は、シミュレートされた環境において複数の基板処理ツールから受信したデータを再生することによって、ツール状態および特定の基板処理ツールのための対応のデータベースを構築する方法450を示す。工程452で、コントロールは、複数の基板処理ツール(例えば、図6を参照)からデータを収集する。工程454で、コントロールは、シミュレートされた基板処理ツールにおいてデータを再生し、ここで、ツール状態への対応がシミュレートされうる。工程456で、コントロールは、シミュレートされた環境において複数の基板処理ツールから受信したデータを再生することに基づいて、ツール状態およびツール状態に対応する対応のマスタデータベースを構築する。
工程458で、コントロールは、特定の基板処理ツールの構成に従って、(複数の基板処理ツールから受信したデータから工程456で生成された)マスタデータベースを構成することによって、特定の基板処理ツールのデータベースをカスタマイズする。あるいは、特定の基板処理ツールがすでにデータベースを有する場合、コントロールは、(複数の基板処理ツールから受信したデータから工程456で生成された)マスタデータベースから関連情報を追加することによって、データベースを更新する。コントロールは、特定の基板処理ツールの構成に基づいて、関連情報を選択する。工程460で、コントロールは、図7に示した方法300に従って、カスタマイズ/更新されたデータベースを用いて特定の基板処理ツールの制御動作を実行する。
本開示を通して、コンピュータ(例えば、サーバ)、アプリケーション(例えば、コンピュータプログラム)などの用語への言及は、単に例示のためである。コンピュータ(例えば、サーバ)などの用語は、マシン読み取り可能な命令を実行するよう構成された1または複数のプロセッサおよびメモリを備えるコンピュータデバイスを表すものとして広く理解されるべきである。アプリケーション(例えば、コンピュータプログラム)などの用語は、コンピュータデバイスによって実行できるマシン読み取り可能な命令を表すものとして広く理解されるべきである。
本開示を通して、処理モジュールは、単に例として用いられている。本開示の教示は、任意のタイプの処理装置(例えば、バッチリアクタ、イオン注入装置など)に適用可能である。例えば、本開示の教示は、生物サンプル、化学サンプル、医学サンプルなどのサンプルを処理する任意の装置に適用できる。さらに、本開示を通して、基板は、単に例として用いられている。本開示の教示は、任意の対象物またはワークピースを処理するために適用できる。例えば、本開示の教示は、光学的、熱的、化学的、磁気的、および、機械的な処理を用いて対象物またはワークピースを処理するために適用できる。換言すると、本開示の教示は、複数の処理を用いて対象物を処理する任意の装置の動作から学習し、学習に基づいて装置および対象物の処理を制御することで、人的相互作用を最小化すると共に対象物への損傷のリスクを低減するために適用できる。
上述の記載は、本質的に例示に過ぎず、本開示、応用例、または、利用法を限定する意図はない。本開示の広範な教示は、様々な形態で実施されうる。したがって、本開示には特定の例が含まれるが、図面、明細書、および、以下の特許請求の範囲を研究すれば他の変形例が明らかになるため、本開示の真の範囲は、それらの例には限定されない。方法に含まれる1または複数の工程が、本開示の原理を改変することなく、異なる順序で(または同時に)実行されてもよいことを理解されたい。さらに、実施形態の各々は、特定の特徴を有するものとして記載されているが、本開示の任意の実施形態に関して記載された特徴の内の任意の1または複数の特徴を、他の実施形態のいずれかに実装することができる、および/または、組み合わせが明確に記載されていないとしても、他の実施形態のいずれかの特徴と組み合わせることができる。換言すると、上述の実施形態は互いに排他的ではなく、1または複数の実施形態を互いに置き換えることは本開示の範囲内にある。
要素の間(例えば、モジュールの間、回路要素の間、半導体層の間)の空間的関係および機能的関係性が、「接続される」、「係合される」、「結合される」、「隣接する」、「近接する」、「の上部に」、「上方に」、「下方に」、および、「配置される」など、様々な用語を用いて記載されている。第1および第2要素の間の関係性を本開示で記載する時に、「直接」であると明確に記載されていない限り、その関係性は、他に介在する要素が第1および第2の要素の間に存在しない直接的な関係性でありうるが、1または複数の介在する要素が第1および第2の要素の間に(空間的または機能的に)存在する間接的な関係性でもありうる。本明細書で用いられているように、「A、B、および、Cの少なくとも1つ」という表現は、非排他的な論理和ORを用いて、論理(AまたはBまたはC)を意味すると解釈されるべきであり、「Aの少なくとも1つ、Bの少なくとも1つ、および、Cの少なくとも1つ」という意味であると解釈されるべきではない。
いくつかの実施例において、コントローラは、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。
概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。
コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして、製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、もしくは、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。
限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。
上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。
本発明は、たとえば、以下のような態様で実現することもできる。
適用例1:
システムであって、
基板を処理するよう構成された複数の処理モジュールを備えた基板処理ツールの状態を受信するためのインターフェースと、
前記状態を、前記状態に基づいて前記インターフェースから前記基板処理ツールによって以前に受信された入力と相関させ、前記相関に基づいて前記基板処理ツールを制御するための出力を生成するためのコントローラと、
を備える、システム。
適用例2:
適用例1のシステムであって、前記コントローラは、前記基板処理ツールの複数の状態と前記複数の状態に基づいて前記基板処理ツールによって受信された対応する入力とをデータベースに格納し、前記データベースを用いて前記相関を実行するよう構成されている、システム。
適用例3:
適用例2のシステムであって、前記コントローラは、前記基板処理ツールおよびさらなる基板処理ツールの1または複数に関連する履歴データに基づいて前記データベースを更新し、前記更新したデータベースを用いて前記相関を実行するよう構成されている、システム。
適用例4:
適用例2のシステムであって、前記コントローラは、さらなる基板処理ツールに関連するデータであって、前記さらなる基板処理ツールの状態と、前記状態に基づいて前記さらなる基板処理ツールによって受信された対応する入力と、を含むデータを、前記データベースに格納し、前記データを用いて前記相関を実行するよう構成されている、システム。
適用例5:
適用例1のシステムであって、前記基板処理ツールの前記状態は、前記基板処理ツールに関連するエラーを示すデータを含み、前記入力は、前記エラーへの対応を示すデータを含む、システム。
適用例6:
適用例1のシステムであって、前記基板処理ツールの前記状態は、前記基板処理ツールに関連する差し迫ったエラーを示すデータを含み、前記入力は、前記エラーを防止するための対応を示すデータを含む、システム。
適用例7:
適用例1のシステムであって、前記コントローラは、前記基板処理ツールのオペレータの存在を検出し、前記出力に基づいて前記オペレータによって受信された対応に従って前記基板処理ツールを制御するよう構成されている、システム。
適用例8:
適用例1のシステムであって、前記コントローラは、前記基板処理ツールのオペレータの不在を検出し、前記出力に基づいて前記オペレータの不在時に前記基板処理ツールを制御するよう構成されている、システム。
適用例9:
適用例1のシステムであって、前記コントローラは、前記基板処理ツールのオペレータの不在を検出し、前記出力に関して前記オペレータに通知するよう構成されている、システム。
適用例10:
適用例1のシステムであって、前記コントローラは、前記基板の処理の完了を確実にし、前記基板への損傷を防止し、前記処理モジュールのアイドリングを防止するために、前記出力に基づいて前記基板処理ツールを制御するよう構成されている、システム。
適用例11:
適用例1のシステムであって、前記コントローラは、前記処理モジュールによる前記基板の処理のスケジューリングを最適化するために、前記出力に基づいて前記基板処理ツールを制御するよう構成されている、システム。
適用例12:
方法であって、
基板を処理するよう構成された複数の処理モジュールを備えた基板処理ツールの状態を受信する工程と、
前記状態を、前記状態に基づいて前記基板処理ツールによって以前に受信された入力と相関させる工程と、
前記相関に基づいて前記基板処理ツールを制御するための出力を生成する工程と、
を備える、方法。
適用例13:
適用例12の方法であって、さらに、
前記基板処理ツールの複数の状態と、前記複数の状態に基づいて前記基板処理ツールによって受信された対応する入力とを、データベースに格納する工程と、
前記データベースを用いて前記相関を実行する工程と、
を備える、方法。
適用例14:
適用例13の方法であって、さらに、
前記基板処理ツールおよびさらなる基板処理ツールの1または複数に関連する履歴データに基づいて前記データベースを更新する工程と、
前記更新したデータベースを用いて前記相関を実行する工程と、
を備える、方法。
適用例15:
適用例13の方法であって、さらに、
さらなる基板処理ツールに関連するデータであって、前記さらなる基板処理ツールの状態と、前記状態に基づいて前記さらなる基板処理ツールによって受信された対応する入力と、を含むデータを、前記データベースに格納する工程と、
前記データを用いて前記相関を実行する工程と、
を備える、方法。
適用例16:
適用例12の方法であって、前記基板処理ツールの前記状態は、前記基板処理ツールに関連するエラーを示すデータを含み、前記入力は、前記エラーへの対応を示すデータを含む、方法。
適用例17:
適用例12の方法であって、前記基板処理ツールの前記状態は、前記基板処理ツールに関連する差し迫ったエラーを示すデータを含み、前記入力は、前記エラーを防止するための対応を示すデータを含む、方法。
適用例18:
適用例12の方法であって、さらに、
前記基板処理ツールのオペレータの存在を検出する工程と、
前記出力に基づいて前記オペレータによって受信された対応に従って前記基板処理ツールを制御する工程と、を備える、方法。
適用例19:
適用例12の方法であって、さらに、
前記基板処理ツールのオペレータの不在を検出する工程と、
前記出力に基づいて前記オペレータの不在時に前記基板処理ツールを制御する工程と、
を備える、方法。
適用例20:
適用例12の方法であって、さらに、
前記基板処理ツールのオペレータの不在を検出する工程と、
前記出力に関して前記オペレータに通知する工程と、
を備える、方法。
適用例21:
適用例12の方法であって、さらに、前記基板の処理の完了を確実にし、前記基板への損傷を防止し、前記処理モジュールのアイドリングを防止するために、前記出力に基づいて前記基板処理ツールを制御する工程を備える、方法。
適用例22:
適用例12の方法であって、さらに、前記処理モジュールによる前記基板の処理のスケジューリングを最適化するために、前記出力に基づいて前記基板処理ツールを制御する工程を備える、方法。
適用例23:
システムであって、
プロセッサと、
有形のマシン読み取り可能な媒体に格納されたマシン読み取り可能な命令であって、前記プロセッサによって実行された時に、
基板を処理するよう構成された複数の処理モジュールを備えた基板処理ツールの状態を受信し、
前記状態を、前記状態に基づいて前記基板処理ツールによって以前に受信された入力と相関させ、
前記相関に基づいて前記基板処理ツールを制御するための出力を生成するように、前記プロセッサを構成する、マシン読み取り可能な命令と、
を備える、システム。
適用例24:
適用例23のシステムであって、前記マシン読み取り可能な命令は、
前記基板処理ツールの複数の状態と、前記複数の状態に基づいて前記基板処理ツールによって受信された対応する入力と、をデータベースに格納し、
前記データベースを用いて前記相関を実行するように、前記プロセッサを構成する、システム。
適用例25:
適用例24のシステムであって、前記マシン読み取り可能な命令は、
前記基板処理ツールおよびさらなる基板処理ツールの1または複数に関連する履歴データに基づいて、前記データベースを更新し、
前記更新したデータベースを用いて前記相関を実行するように、前記プロセッサを構成する、システム。
適用例26:
適用例24のシステムであって、前記マシン読み取り可能な命令は、
さらなる基板処理ツールに関連するデータであって、前記さらなる基板処理ツールの状態と前記状態に基づいて前記さらなる基板処理ツールによって受信された対応する入力とを含むデータを、前記データベースに格納し、
前記データを用いて前記相関を実行するように、前記プロセッサを構成する、システム。
適用例27:
適用例23のシステムであって、前記基板処理ツールの前記状態は、前記基板処理ツールに関連するエラーを示すデータを含み、前記入力は、前記エラーへの対応を示すデータを含む、システム。
適用例28:
適用例23のシステムであって、前記基板処理ツールの前記状態は、前記基板処理ツールに関連する差し迫ったエラーを示すデータを含み、前記入力は、前記エラーを防止するための対応を示すデータを含む、システム。
適用例29:
適用例23のシステムであって、前記マシン読み取り可能な命令は、
前記基板処理ツールのオペレータの存在を検出し、
前記出力に基づいて前記オペレータによって受信された対応に従って前記基板処理ツールを制御するように、前記プロセッサを構成する、システム。
適用例30:
適用例23のシステムであって、前記マシン読み取り可能な命令は、
前記基板処理ツールのオペレータの不在を検出し、
前記出力に基づいて前記オペレータの不在時に前記基板処理ツールを制御するように、前記プロセッサを構成する、システム。
適用例31:
適用例23のシステムであって、前記マシン読み取り可能な命令は、
前記基板処理ツールのオペレータの不在を検出し、
前記出力に関して前記オペレータに通知するように、前記プロセッサを構成する、システム。
適用例32:
適用例23のシステムであって、前記マシン読み取り可能な命令は、前記基板の処理の完了を確実にし、前記基板への損傷を防止し、前記処理モジュールのアイドリングを防止するために、前記出力に基づいて前記基板処理ツールを制御するように、前記プロセッサを構成する、システム。
適用例33:
適用例23のシステムであって、前記マシン読み取り可能な命令は、前記処理モジュールによる前記基板の処理のスケジューリングを最適化するために、前記出力に基づいて前記基板処理ツールを制御するように、前記プロセッサを構成する、システム。

Claims (34)

  1. 半導体製造装置のためのユーザ相互作用を自動化するシステムであって、
    基板を処理するよう構成された複数の処理モジュールを備えた基板処理ツールの状態を受信するためのインターフェースであって、前記基板処理ツールの前記状態は、前記基板処理ツールの前記処理モジュールから、および前記基板処理ツールに関連付けられた複数のセンサから、受け取ったデータに基づいて、決定される、インターフェースと、
    前記インターフェースに接続されたコントローラであって、
    前記インターフェースによって受け取られた前記基板処理ツールの前記状態と、前記状態の以前の発生に応じて前記基板処理ツールを制御するために、前記インターフェースから前記基板処理ツールによって以前に受信された入力と、の相関を生成し、前記相関は、前記状態の以前の発生に応じて前記基板処理ツールによって以前に受け取られた、あらかじめ定められた回数の入力に、少なくとも部分的に基づいており、
    前記インターフェースによって受け取られた前記基板処理ツールの前記状態と、前記状態の以前の発生に応じて前記インターフェースから前記基板処理ツールによって以前に受信された入力と、の前記相関に基づいて、以前に受信された前記入力と類似の応答を含む出力であって、前記基板処理ツールを制御するための出力を、生成する、コントローラと、
    を備える、システム。
  2. 請求項1に記載のシステムであって、前記コントローラは、前記基板処理ツールの複数の状態と前記複数の状態に基づいて前記基板処理ツールによって受信された対応する入力とをデータベースに格納し、前記データベースを用いて前記相関を実行するよう構成されている、システム。
  3. 請求項2に記載のシステムであって、前記コントローラは、前記基板処理ツールおよびさらなる基板処理ツールの1または複数に関連する履歴データに基づいて前記データベースを更新し、前記更新したデータベースを用いて前記相関を実行するよう構成されている、システム。
  4. 請求項2に記載のシステムであって、前記コントローラは、さらなる基板処理ツールに関連するデータであって、前記さらなる基板処理ツールの状態と、前記状態に基づいて前記さらなる基板処理ツールによって受信された対応する入力と、を含むデータを、前記データベースに格納し、前記データを用いて前記相関を実行するよう構成されている、システム。
  5. 請求項1に記載のシステムであって、前記基板処理ツールの前記状態は、前記基板処理ツールに関連するエラーを示すデータを含み、前記入力は、前記エラーへの対応を示すデータを含む、システム。
  6. 請求項1に記載のシステムであって、前記基板処理ツールの前記状態は、前記基板処理ツールに関連する差し迫ったエラーを示すデータを含み、前記入力は、前記差し迫ったエラーを防止するための対応を示すデータを含む、システム。
  7. 請求項1に記載のシステムであって、前記コントローラは、前記基板処理ツールのオペレータの存在を検出し、前記出力に基づいて前記オペレータによって受信された対応に従って前記基板処理ツールを制御するよう構成されている、システム。
  8. 請求項1に記載のシステムであって、前記コントローラは、前記基板処理ツールのオペレータの不在を検出し、前記出力に基づいて前記オペレータの不在時に前記基板処理ツールを制御するよう構成されている、システム。
  9. 請求項1に記載のシステムであって、前記コントローラは、前記基板処理ツールのオペレータの不在を検出し、前記出力に関して前記オペレータに通知するよう構成されている、システム。
  10. 請求項1に記載のシステムであって、前記コントローラは、前記基板の処理の完了を確実にし、前記基板への損傷を防止し、前記処理モジュールのアイドリングを防止するために、前記出力に基づいて前記基板処理ツールを制御するよう構成されている、システム。
  11. 請求項1に記載のシステムであって、前記コントローラは、前記処理モジュールによる前記基板の処理のスケジューリングを最適化するために、前記出力に基づいて前記基板処理ツールを制御するよう構成されている、システム。
  12. 半導体製造装置のためのユーザ相互作用を自動化する方法であって、
    基板処理ツールの複数の処理モジュールから、および前記基板処理ツールに関連付けられた複数のセンサから、データを受け取る工程と、
    前記処理モジュールおよび前記センサから受け取った前記データに基づいて、前記基板処理ツールの状態を決定する工程と、
    前記基板処理ツールの前記状態と、前記状態の以前の発生に応じて前記基板処理ツールを制御するために前記基板処理ツールによって以前に受信された入力と、の相関を生成する工程であって、前記相関は、前記状態の以前の発生に応じて前記基板処理ツールによって以前に受け取られた、あらかじめ定められた回数の入力に、少なくとも部分的に基づいている工程と、
    前記基板処理ツールの前記状態と、前記状態の以前の発生に応じて前記基板処理ツールによって以前に受信された入力と、の前記相関に基づいて、以前に受信された前記入力と類似の応答を含む出力であって、前記基板処理ツールを制御するための出力を、生成する工程と、
    を備える、方法。
  13. 請求項12に記載の方法であって、さらに、
    前記基板処理ツールの複数の状態と、前記複数の状態に基づいて前記基板処理ツールによって受信された対応する入力とを、データベースに格納する工程と、
    前記データベースを用いて前記相関を実行する工程と、
    を備える、方法。
  14. 請求項13に記載の方法であって、さらに、
    前記基板処理ツールおよびさらなる基板処理ツールの1または複数に関連する履歴データに基づいて前記データベースを更新する工程と、
    前記更新したデータベースを用いて前記相関を実行する工程と、
    を備える、方法。
  15. 請求項13に記載の方法であって、さらに、
    さらなる基板処理ツールに関連するデータであって、前記さらなる基板処理ツールの状態と、前記状態に基づいて前記さらなる基板処理ツールによって受信された対応する入力と、を含むデータを、前記データベースに格納する工程と、
    前記データを用いて前記相関を実行する工程と、
    を備える、方法。
  16. 請求項12に記載の方法であって、前記基板処理ツールの前記状態は、前記基板処理ツールに関連するエラーを示すデータを含み、前記入力は、前記エラーへの対応を示すデータを含む、方法。
  17. 請求項12に記載の方法であって、前記基板処理ツールの前記状態は、前記基板処理ツールに関連する差し迫ったエラーを示すデータを含み、前記入力は、前記差し迫ったエラーを防止するための対応を示すデータを含む、方法。
  18. 請求項12に記載の方法であって、さらに、
    前記基板処理ツールのオペレータの存在を検出する工程と、
    前記出力に基づいて前記オペレータによって受信された対応に従って前記基板処理ツールを制御する工程と、を備える、方法。
  19. 請求項12に記載の方法であって、さらに、
    前記基板処理ツールのオペレータの不在を検出する工程と、
    前記出力に基づいて前記オペレータの不在時に前記基板処理ツールを制御する工程と、
    を備える、方法。
  20. 請求項12に記載の方法であって、さらに、
    前記基板処理ツールのオペレータの不在を検出する工程と、
    前記出力に関して前記オペレータに通知する工程と、
    を備える、方法。
  21. 請求項12に記載の方法であって、さらに、基板の処理の完了を確実にし、前記基板への損傷を防止し、前記処理モジュールのアイドリングを防止するために、前記出力に基づいて前記基板処理ツールを制御する工程を備える、方法。
  22. 請求項12に記載の方法であって、さらに、前記処理モジュールによる基板の処理のスケジューリングを最適化するために、前記出力に基づいて前記基板処理ツールを制御する工程を備える、方法。
  23. 半導体製造装置のためのユーザ相互作用を自動化するシステムであって、
    プロセッサと、
    有形のマシン読み取り可能な媒体に格納されたマシン読み取り可能な命令であって、前記プロセッサによって実行された時に、
    基板処理ツールの複数の処理モジュールから、および前記基板処理ツールに関連付けられた複数のセンサから、データを受け取り、
    前記処理モジュールおよび前記センサから受け取った前記データに基づいて、前記基板処理ツールの状態を決定し、
    前記基板処理ツールの前記状態と、前記状態の以前の発生に応じて前記基板処理ツールを制御するために前記基板処理ツールによって以前に受信された入力と、の相関を生成し、前記相関は、前記状態の以前の発生に応じて前記基板処理ツールによって以前に受け取られた、あらかじめ定められた回数の入力に、少なくとも部分的に基づいており、
    前記基板処理ツールの前記状態と、前記状態の以前の発生に応じて前記基板処理ツールによって以前に受信された入力と、の前記相関に基づいて、以前に受信された前記入力と類似の応答を含む出力であって、前記基板処理ツールを制御するための出力を、生成するように、前記プロセッサを構成する、マシン読み取り可能な命令と、
    を備える、システム。
  24. 請求項23に記載のシステムであって、前記マシン読み取り可能な命令は、
    前記基板処理ツールの複数の状態と、前記複数の状態に基づいて前記基板処理ツールによって受信された対応する入力と、をデータベースに格納し、
    前記データベースを用いて前記相関を実行するように、前記プロセッサを構成する、システム。
  25. 請求項24に記載のシステムであって、前記マシン読み取り可能な命令は、
    前記基板処理ツールおよびさらなる基板処理ツールの1または複数に関連する履歴データに基づいて、前記データベースを更新し、
    前記更新したデータベースを用いて前記相関を実行するように、前記プロセッサを構成する、システム。
  26. 請求項24に記載のシステムであって、前記マシン読み取り可能な命令は、
    さらなる基板処理ツールに関連するデータであって、前記さらなる基板処理ツールの状態と前記状態に基づいて前記さらなる基板処理ツールによって受信された対応する入力とを含むデータを、前記データベースに格納し、
    前記データを用いて前記相関を実行するように、前記プロセッサを構成する、システム。
  27. 請求項23に記載のシステムであって、前記基板処理ツールの前記状態は、前記基板処理ツールに関連するエラーを示すデータを含み、前記入力は、前記エラーへの対応を示すデータを含む、システム。
  28. 請求項23に記載のシステムであって、前記基板処理ツールの前記状態は、前記基板処理ツールに関連する差し迫ったエラーを示すデータを含み、前記入力は、前記差し迫ったエラーを防止するための対応を示すデータを含む、システム。
  29. 請求項23に記載のシステムであって、前記マシン読み取り可能な命令は、
    前記基板処理ツールのオペレータの存在を検出し、
    前記出力に基づいて前記オペレータによって受信された対応に従って前記基板処理ツールを制御するように、前記プロセッサを構成する、システム。
  30. 請求項23に記載のシステムであって、前記マシン読み取り可能な命令は、
    前記基板処理ツールのオペレータの不在を検出し、
    前記出力に基づいて前記オペレータの不在時に前記基板処理ツールを制御するように、前記プロセッサを構成する、システム。
  31. 請求項23に記載のシステムであって、前記マシン読み取り可能な命令は、
    前記基板処理ツールのオペレータの不在を検出し、
    前記出力に関して前記オペレータに通知するように、前記プロセッサを構成する、システム。
  32. 請求項23に記載のシステムであって、前記マシン読み取り可能な命令は、基板の処理の完了を確実にし、前記基板への損傷を防止し、前記処理モジュールのアイドリングを防止するために、前記出力に基づいて前記基板処理ツールを制御するように、前記プロセッサを構成する、システム。
  33. 請求項23に記載のシステムであって、前記マシン読み取り可能な命令は、前記処理モジュールによる基板の処理のスケジューリングを最適化するために、前記出力に基づいて前記基板処理ツールを制御するように、前記プロセッサを構成する、システム。
  34. 請求項1に記載のシステムであって、
    前記相関は、データベースに格納されている、状態と入力の関係性に基づいて、生成され、前記関係性は、シミュレーション環境において、複数の基板処理ツールからのデータと、他の状態と入力とをシミュレーションすることにより生成されたデータと、を再生されることにより取得される、システム。
JP2018168409A 2017-09-11 2018-09-10 半導体製造装置のためのユーザ相互作用を自動化するシステムおよび方法 Active JP7311253B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762556733P 2017-09-11 2017-09-11
US62/556,733 2017-09-11
US16/119,202 2018-08-31
US16/119,202 US10747210B2 (en) 2017-09-11 2018-08-31 System and method for automating user interaction for semiconductor manufacturing equipment

Publications (3)

Publication Number Publication Date
JP2019083309A JP2019083309A (ja) 2019-05-30
JP2019083309A5 JP2019083309A5 (ja) 2021-10-21
JP7311253B2 true JP7311253B2 (ja) 2023-07-19

Family

ID=63720456

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018168409A Active JP7311253B2 (ja) 2017-09-11 2018-09-10 半導体製造装置のためのユーザ相互作用を自動化するシステムおよび方法

Country Status (7)

Country Link
US (1) US10747210B2 (ja)
EP (1) EP3454141B1 (ja)
JP (1) JP7311253B2 (ja)
KR (1) KR102637272B1 (ja)
CN (1) CN109637947B (ja)
SG (1) SG10201807788QA (ja)
TW (1) TWI782085B (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3200037A1 (en) * 2016-01-26 2017-08-02 Basf Se System and method for risk based control of a process performed by production equipment
US11133204B2 (en) * 2019-01-29 2021-09-28 Applied Materials, Inc. Chamber matching with neural networks in semiconductor equipment tools
KR20210134823A (ko) 2019-03-29 2021-11-10 램 리써치 코포레이션 기판 프로세싱 시스템들을 위한 모델 기반 스케줄링
JP7244367B2 (ja) * 2019-06-20 2023-03-22 ファナック株式会社 加工指令改良システム及び加工指令改良方法
US11782397B2 (en) * 2019-11-27 2023-10-10 Johnson Controls Tyco IP Holdings LLP Operator automation system
CN113642819A (zh) * 2020-05-11 2021-11-12 上海华力集成电路制造有限公司 连环许容时间区段站点异常时产品自动调度装置和方法
US11545379B2 (en) * 2020-07-31 2023-01-03 Nanya Technology Corporation System and method for controlling semiconductor manufacturing equipment
US20220351997A1 (en) * 2021-04-28 2022-11-03 Tel Manufacturing And Engineering Of America, Inc. Automated Fault Detection in Microfabrication
KR102587791B1 (ko) * 2021-12-30 2023-10-12 한국세라믹기술원 미세채널을 갖거나 다공성재질을 갖는 피증착물에 대한 원자층증착 시뮬레이션 방법

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005113904A (ja) 2003-09-18 2005-04-28 Suzuki Motor Corp シフト操作制御装置
JP2013041448A (ja) 2011-08-17 2013-02-28 Hitachi Ltd 異常検知・診断方法、および異常検知・診断システム
JP2013518449A (ja) 2010-01-29 2013-05-20 東京エレクトロン株式会社 半導体製造ツールを自己学習及び自己改善するための方法及びシステム
JP2014519182A (ja) 2011-03-21 2014-08-07 東京エレクトロン株式会社 生物学に基づくチャンバマッチング
JP2017016632A (ja) 2015-06-30 2017-01-19 パナソニック インテレクチュアル プロパティ コーポレーション オブ アメリカPanasonic Intellectual Property Corporation of America 需要予測方法、需要予測装置及び需要予測プログラムを記録したコンピュータ読み取り可能な記録媒体
JP2017504872A (ja) 2013-12-05 2017-02-09 東京エレクトロン株式会社 製造プロセスを学習及び/又は最適化するためのシステム並びに方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6122566A (en) * 1998-03-03 2000-09-19 Applied Materials Inc. Method and apparatus for sequencing wafers in a multiple chamber, semiconductor wafer processing system
KR100672632B1 (ko) * 2001-11-06 2007-02-09 엘지.필립스 엘시디 주식회사 액정표시소자의 약액교환방법 및 그 장치
US8821099B2 (en) * 2005-07-11 2014-09-02 Brooks Automation, Inc. Load port module
DE102006004408B4 (de) * 2006-01-31 2010-03-18 Advanced Micro Devices, Inc., Sunnyvale Verfahren und System zum Analysieren von standardmäßigen Anlagennachrichten in einer Fertigungsumgebung
US20070260420A1 (en) * 2006-05-03 2007-11-08 Data I/O Corporation Automated calibration system
US7801635B2 (en) * 2007-01-30 2010-09-21 Tokyo Electron Limited Real-time parameter tuning for etch processes
US7571074B2 (en) * 2007-01-30 2009-08-04 Tokyo Electron Limited Method of using a wafer-thickness-dependant profile library
US7642102B2 (en) * 2007-01-30 2010-01-05 Tokyo Electron Limited Real-time parameter tuning using wafer thickness
US8271103B2 (en) * 2007-05-02 2012-09-18 Mks Instruments, Inc. Automated model building and model updating
US8078552B2 (en) 2008-03-08 2011-12-13 Tokyo Electron Limited Autonomous adaptive system and method for improving semiconductor manufacturing quality
US8501499B2 (en) * 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
US9727049B2 (en) * 2012-09-04 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Qualitative fault detection and classification system for tool condition monitoring and associated methods
US9471873B1 (en) 2012-09-20 2016-10-18 Amazon Technologies, Inc. Automating user patterns on a user device
JP6250406B2 (ja) * 2014-01-15 2017-12-20 株式会社荏原製作所 基板処理装置の異常検出装置、及び基板処理装置
US9673071B2 (en) * 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US11569138B2 (en) * 2015-06-16 2023-01-31 Kla Corporation System and method for monitoring parameters of a semiconductor factory automation system
CN106815115B (zh) * 2017-01-13 2020-02-21 苏州浪潮智能科技有限公司 一种服务器运行状态监控系统

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005113904A (ja) 2003-09-18 2005-04-28 Suzuki Motor Corp シフト操作制御装置
JP2013518449A (ja) 2010-01-29 2013-05-20 東京エレクトロン株式会社 半導体製造ツールを自己学習及び自己改善するための方法及びシステム
JP2014519182A (ja) 2011-03-21 2014-08-07 東京エレクトロン株式会社 生物学に基づくチャンバマッチング
JP2013041448A (ja) 2011-08-17 2013-02-28 Hitachi Ltd 異常検知・診断方法、および異常検知・診断システム
JP2017504872A (ja) 2013-12-05 2017-02-09 東京エレクトロン株式会社 製造プロセスを学習及び/又は最適化するためのシステム並びに方法
JP2017016632A (ja) 2015-06-30 2017-01-19 パナソニック インテレクチュアル プロパティ コーポレーション オブ アメリカPanasonic Intellectual Property Corporation of America 需要予測方法、需要予測装置及び需要予測プログラムを記録したコンピュータ読み取り可能な記録媒体

Also Published As

Publication number Publication date
KR102637272B1 (ko) 2024-02-15
TWI782085B (zh) 2022-11-01
JP2019083309A (ja) 2019-05-30
US10747210B2 (en) 2020-08-18
TW201921241A (zh) 2019-06-01
CN109637947A (zh) 2019-04-16
US20190079503A1 (en) 2019-03-14
EP3454141B1 (en) 2023-11-15
KR20190029465A (ko) 2019-03-20
EP3454141A1 (en) 2019-03-13
SG10201807788QA (en) 2019-04-29
CN109637947B (zh) 2023-06-20

Similar Documents

Publication Publication Date Title
JP7311253B2 (ja) 半導体製造装置のためのユーザ相互作用を自動化するシステムおよび方法
KR102643782B1 (ko) 샤워헤드 전압 변동을 사용한 결함 검출
JP7510436B2 (ja) 基板処理システムのためのモデルベースのスケジュール設定
KR20210080300A (ko) 반도체 웨이퍼 프로세싱 동안 에지 프로세스 제어를 위한 이동식 에지 커플링 링
US9859088B2 (en) Inter-electrode gap variation methods for compensating deposition non-uniformity
US20160211165A1 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10971384B2 (en) Auto-calibrated process independent feedforward control for processing substrates
JP2018011056A (ja) 基板処理システムにおける再循環を低減するためのカラー、円錐形シャワーヘッド、および/または、トッププレート
KR20180106931A (ko) 기판 프로세싱 시스템의 전구체 증기 공급 시스템에서 플로우 모니터링을 위한 시스템들 및 방법들
US10121709B2 (en) Virtual metrology systems and methods for using feedforward critical dimension data to predict other critical dimensions of a wafer
WO2023059988A1 (en) Selective control of multi-station processing chamber components
JP7454509B2 (ja) 基板処理システムのモデルベースの制御
US11429409B2 (en) Software emulator for hardware components in a gas delivery system of substrate processing system
TW202430703A (zh) 處理腔室構件的自動化控制

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210908

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210908

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221115

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230214

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230606

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230706

R150 Certificate of patent or registration of utility model

Ref document number: 7311253

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150