TW202029028A - 計算系統以及設計與製造記憶體系統的方法 - Google Patents

計算系統以及設計與製造記憶體系統的方法 Download PDF

Info

Publication number
TW202029028A
TW202029028A TW108136537A TW108136537A TW202029028A TW 202029028 A TW202029028 A TW 202029028A TW 108136537 A TW108136537 A TW 108136537A TW 108136537 A TW108136537 A TW 108136537A TW 202029028 A TW202029028 A TW 202029028A
Authority
TW
Taiwan
Prior art keywords
power
power supply
memory system
supply circuit
model
Prior art date
Application number
TW108136537A
Other languages
English (en)
Other versions
TWI805858B (zh
Inventor
朱健
申才榮
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW202029028A publication Critical patent/TW202029028A/zh
Application granted granted Critical
Publication of TWI805858B publication Critical patent/TWI805858B/zh

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/06Power analysis or power optimisation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E60/00Enabling technologies; Technologies with a potential or indirect contribution to GHG emissions mitigation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y04INFORMATION OR COMMUNICATION TECHNOLOGIES HAVING AN IMPACT ON OTHER TECHNOLOGY AREAS
    • Y04SSYSTEMS INTEGRATING TECHNOLOGIES RELATED TO POWER NETWORK OPERATION, COMMUNICATION OR INFORMATION TECHNOLOGIES FOR IMPROVING THE ELECTRICAL POWER GENERATION, TRANSMISSION, DISTRIBUTION, MANAGEMENT OR USAGE, i.e. SMART GRIDS
    • Y04S40/00Systems for electrical power generation, transmission, distribution or end-user application management characterised by the use of communication or information technologies, or communication or information technology specific aspects supporting them
    • Y04S40/20Information technology specific aspects, e.g. CAD, simulation, modelling, system security

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Memory System (AREA)

Abstract

本發明提供一種計算系統以及設計與製造記憶體系統的方法,記憶體系統包含半導體器件和經由板配電網向半導體器件供電的供電電路,方法包含:通過使用供電電路的功率特性模型來分析供電電路的相應元件的功率特性;以及分析記憶體系統的功率特性。供電電路的功率特性模型包含加密模型。

Description

計算系統以及設計與製造記憶體系統的方法
根據本公開的方法涉及一種設計記憶體系統的方法、一種製造記憶體系統的方法以及一種用於設計記憶體系統的計算系統,且更特定來說,涉及一種通過考慮記憶體系統的功率特性來設計記憶體系統的方法、一種製造記憶體系統的方法以及一種用於設計記憶體系統的計算系統。 [相關申請的交叉引用]
本申請要求2018年10月12日在韓國智慧財產權局申請的韓國專利申請第10-2018-0122045號和2019年7月31日在韓國智慧財產權局申請的韓國專利申請第10-2019-0093369號的優先權,所述申請中的每一個的公開以引用的方式全文併入本文中。
大量元件佈置(arranged)在記憶體系統中,且記憶體系統包含用於向記憶體晶片供電的供電電路和配電網(power distribution network;PDN)。隨著記憶體系統的大小已逐漸減小且其整合度已提高,由配電網產生的雜訊已越來越影響配置在記憶體系統中的電路。由配電網產生的雜訊可由配電網的寄生電阻和寄生電容引起,且為了檢查雜訊對半導體器件的操作的影響,已進行分析配電網的功率特性的操作。然而,通過僅分析配電網的功率特性來分析整個記憶體系統的功率特性已存在限制。
一個方面是提供一種通過經由分析供電電路的功率特性考慮記憶體系統的功率特性來設計記憶體系統的方法、一種製造記憶體系統的方法以及一種用於設計記憶體系統的計算系統。
根據實例實施例的一個方面,提供一種設計記憶體系統的方法,所述記憶體系統包含半導體器件和經由板配電網向半導體器件供電的供電電路,所述方法包含:通過使用供電電路的功率特性模型來分析供電電路的相應元件的功率特性;以及分析記憶體系統的功率特性,其中供電電路的功率特性模型包含加密模型。
根據實例實施例的另一方面,提供一種製造記憶體系統的方法,所述記憶體系統包含半導體器件和經由板配電網向半導體器件供電的供電電路,所述方法包含:通過使用供電電路的功率特性模型來分析供電電路的相應元件的功率特性;通過使用供電電路的功率特性模型、板配電網的板配電網模型以及半導體器件的功率特性模型來分析記憶體系統的功率特性;以及基於記憶體系統所分析的功率特性,將半導體器件和供電電路安裝在板上。
根據實例實施例的又一方面,提供一種用於設計記憶體系統的計算系統,所述記憶體系統包含半導體器件和向半導體器件供電的供電電路,所述計算系統包含:記憶體,儲存合成工具、功率分析工具、供電電路的功率特性模型以及半導體器件的功率特性模型;以及處理器,通過存取記憶體來執行合成工具和功率分析工具,其中處理器通過使用供電電路的功率特性模型來分析供電電路的相應元件的功率特性,且分析記憶體系統的功率特性,且供電電路的功率特性模型包含加密模型。
圖1是示出根據實例實施例的製造記憶體系統的方法的流程圖。圖2是示出根據實例實施例的分析記憶體系統的功率特性的簡圖。
製造記憶體系統的方法可包含設計記憶體系統(S10)和製造記憶體系統(S20)。設計記憶體系統(S10)可包含分析記憶體系統的功率特性且可通過用於設計記憶體系統的計算系統使用功率特性工具來進行。此處,功率特性工具可以是由處理器執行的包含程式碼(例如,多個指令)的程式(program)。因此,可通過用於設計記憶體系統的計算系統來進行設計記憶體系統的方法的以下操作中的每一個,且設計記憶體系統的方法可稱為電腦實施的方法。
參考圖1和圖2,在操作S100中,可分析供電電路的相應元件的功率特性。舉例來說,供電電路的每一元件的功率特性可包含以下特性,例如每一元件的功耗量(power consumption)、由每一元件輸出的電壓紋波(voltage ripple)、由每一元件導致的電壓IR降、由每一元件輸出的功率的開/關(ON/OFF)開關順序、每一元件的靜態電流(quiescent current)、每一元件的湧入電流(inrush current)或類似物。功率特性的這些實例是示出性的,且也可分析其它功率特性。
供電電路可供應驅動構成記憶體系統的半導體器件所需的功率。構成供電電路的相應元件的功率特性可通過使用供電電路庫D10來分析。構成操作S100的操作將在下文參看圖7具體描述。
供電電路庫D10可包含構成供電電路的每一元件的功率特性模型。舉例來說,供電電路可包含電源管理電路、供電主動元件(power supply active element)以及供電被動元件(power supply passive element)等中的一個或多個。供電電路庫D10可包含電源管理積體電路(power management integrated circuit;PMIC)模型D100、供電主動元件模型D200以及供電被動元件模型D300。舉例來說,構成供電電路的每一元件的功率特性模型可以是SPICE模型。
舉例來說,PMIC可包含直流到直流(direct current to direct current;DC-DC)轉換器(例如降壓轉換器、升壓轉換器或降壓-升壓轉換器)和DC線性穩壓器,例如低壓差(low-dropout;LDO)穩壓器。因此,包含於PMIC中的組件的特性可反映在PMIC模型中,且PMIC模型可包含例如DC-DC轉換器模型、DC線性穩壓器模型以及類似物。然而,本公開不限於此,且PMIC可進一步包含除DC-DC轉換器和DC線性穩壓器之外的其它元件,且PMIC模型可進一步包含除DC-DC轉換器模型和DC線性穩壓器模型之外的其它模型。因為PMIC可實施為一個晶片且可實施各種功能,所以在實例實施例中可獨立於其它供電主動元件關於功率特性來單獨地分析PMIC。舉例來說,供電主動元件可包含電壓穩壓器模組(voltage regulator module;VRM)、負載開關、電流限制器、電壓檢測器、邏輯電路、二極體或類似物。因此,供電主動元件模型D200可包含電壓穩壓器模型、負載開關模型、電流限制器模型、電壓檢測器模型、邏輯電路模型、二極體模型或類似物。
舉例來說,供電被動元件可包含電阻器、電感器、電容器或類似物。因此,供電被動元件模型D300可包含電阻器模型、電感器模型或電容器模型。
在實例實施例中,包含於供電電路庫D10中的功率特性模型中的至少一個可以是加密模型(encrypted model)。也就是說,關於PMIC、供電主動元件以及供電被動元件中的至少一個(其為構成供電電路的元件)的內部配置的資訊可經過加密。然而,加密模型可包含關於當對應元件連接到另一元件時由對應元件根據輸入到其中的功率來輸出的功率的資訊。
在操作S200中,可分析記憶體系統的功率特性。可通過使用對應於記憶體系統的相應元件的模型來分析記憶體系統的功率特性,所述模型在PMIC模型D100、供電主動元件模型D200、供電被動元件模型D300、板配電網模型D400、封裝配電網模型D500以及半導體晶片功率模型D600當中。如上文所論述,記憶體系統的功率特性可包含以下特性,例如記憶體系統的功耗量、記憶體系統中的電壓紋波、電壓IR降、缺陷電源(defective power)開/關開關順序、靜態電流、湧入電流或類似物。
在實例實施例中,記憶體系統可包含供電電路和半導體器件,且可包含使供電電路電連接到半導體器件的板(board)。因此,可基於供電電路且基於板的配電網、封裝的配電網以及半導體晶片的功率特性來分析記憶體系統的功率特性。操作S200將在下文參看圖8具體描述。
舉例來說,包含於記憶體系統中的半導體器件和供電電路中的每一個可視記憶體系統的種類而變化。舉例來說,當記憶體系統是固態驅動器(solid state drive;SSD)時,記憶體系統可包含反及(NAND)記憶體晶片、動態隨機存取記憶體(DRAM)晶片以及控制器晶片作為半導體晶片。替代性地,例如當記憶體系統包含雙列直插式記憶體模組(dual in-line memory module;DIMM)時,記憶體系統可包含DRAM晶片和緩衝晶片作為半導體晶片。然而,根據本公開的記憶體系統不限於此,且可包含相變RAM(phase change RAM;PRAM)晶片、鐵電RAM(ferroelectric RAM;FRAM)晶片或磁RAM(magnetic RAM;MRAM)晶片。此處,半導體晶片功率模型D600可包含DRAM晶片模型、反及記憶體晶片模型、PRAM晶片模型、FRAM晶片模型、MRAM晶片模型、控制器晶片模型或緩衝晶片模型等。
在實例實施例中,由於進行操作S100,當供電電路的每一元件的功率特性滿足記憶體系統的操作條件時,可進行操作S200,且由於進行操作S200,當記憶體系統的功率特性滿足記憶體系統的操作條件時,可進行操作S20。操作S20可通過將半導體器件和供電電路安裝在板上來進行。
在製造記憶體系統的方法中,根據實例實施例,PMIC模型D100、供電主動元件模型D200以及供電被動元件模型D300中的至少一個可以是加密模型。在製造記憶體系統的方法中,儘管供電電路庫D10包含加密模型,但PMIC、供電主動元件以及供電被動元件中的每一個可關於視其與其它元件的連接關係而變化的輸出功率的特性來分析,且分析的結果可用以分析記憶體系統的功率特性。因此,相較於在由供電電路供應的功率無論板和記憶體器件中的每一個的功率特性如何都是恆定的假設下,分析整個記憶體系統的功率特性的現有技術情況,可更精確地分析記憶體系統的功率特性且可基於分析的結果來製造呈現改良功率特性的記憶體系統。
圖3是示出根據實例實施例的記憶體系統的方塊圖。圖4是根據實例實施例的圖3的記憶體系統的電路圖。
參考圖3和圖4,記憶體系統10可包含供電電路100、板200以及半導體器件300。板200可以是例如印刷電路板(printed circuit board;PCB)。
供電電路100可包含PMIC 110和電力傳輸電路120。PMIC 110可電連接到電力傳輸電路120且可實體上與半導體器件300隔開佈置。PMIC 110可將特定準位下的輸出電壓VO提供到電力傳輸電路120。構成供電電路100的PMIC 110是供電主動元件的實例,且在一些實例實施例中,供電電路100可包含其它供電主動元件。
電力傳輸電路120可包含供電被動元件。可將電力傳輸電路120理解為包含電阻器、電感器以及電容器中的至少一個的等效電路。舉例來說,電力傳輸電路120可由電感器L1和電感器L2、電容器C1和電容器C2以及電阻器R1表示,如圖4中所繪示。然而,本公開不限於此,且在一些實例實施例中,電力傳輸電路120可進一步包含供電主動元件。
半導體器件300是通過消耗功率來進行特定功能的元件且可包含電晶體、導線和/或被動元件。舉例來說,半導體器件300可包含半導體晶片320,所述半導體晶片320包含微處理器、應用處理器、記憶體器件或類似物。此處,記憶體器件可以是例如靜態RAM(static RAM;SRAM)或DRAM的揮發性記憶體器件,或例如PRAM、MRAM、電阻式RAM(resistive RAM;ReRAM)、FRAM或類似物的非揮發性記憶體器件。
半導體器件300可包含半導體晶片320和半導體晶片320外部的封裝310。封裝310可包含封裝配電網310N,所述封裝配電網310N包含導線,且封裝配電網310N可使半導體晶片320電連接到板200。在實例實施例中,可將封裝配電網310N理解為包含電阻器、電感器以及電容器中的至少一個的等效電路。半導體器件300可進一步包含再分佈層(redistribution layer;RDL)使得便於在半導體器件300中佈線,且半導體晶片320可經由RDL連接到封裝配電網310N。
供電電路100和半導體器件300可安裝在板200上。板200可包含將供應的功率從供電電路100傳輸到半導體器件300的板配電網200N。因此,可經由板配電網200N向與供電電路100隔開佈置的半導體器件300供應來自供電電路100功率。
可將板配電網200N理解為包含電阻器、電感器以及電容器中的至少一個的等效電路。舉例來說,在一些實例實施例中,板配電網200N可由電阻器R2、電感器L3以及電容器C3表示。
在根據實例實施例的設計記憶體系統10的方法中,可在製造記憶體系統10之前分析記憶體系統10的功率特性,且可基於分析的結果來設計記憶體系統10。此處,在分析記憶體系統10的功率特性中,可反映供電電路100的功率特性模型,例如PMIC模型(例如圖2的PMIC模型D100)和供電被動元件模型(例如圖2的供電被動元件模型D300)。舉例來說,可取決於供應有來自供電電路100的功率的目標來分析由供電電路100供應的功率的特性(例如,輸出電壓VO的特性),例如半導體晶片320的種類、半導體晶片320的操作和/或從供電電路100連接到半導體晶片320的佈線的佈置。因此,相較於在由供電電路100供應的功率的特性不視板200和半導體器件300中的每一個的功率特性而變化的假設下,分析板200和半導體器件300中的每一個的功率特性的現有技術情況,可精確地分析記憶體系統10內部的功率特性。
圖5和圖6各自示出根據實例實施例的記憶體系統的電路圖。關於圖5和圖6中的每一個,將省略參看圖4給出的重複描述。
參考圖5,記憶體系統10a可包含供電電路100、多個板配電網200N_1到板配電網200N_3以及多個半導體器件300_1到半導體器件300_3。雖然圖5示出記憶體系統10a包含三個半導體器件300_1到半導體器件300_3以及分別連接到三個半導體器件300_1到半導體器件300_3的三個板配電網200N_1到板配電網200N_3,但是這僅僅是為了描述的便利性且本公開不限於此。在一些實施例中,半導體器件300的數目和相關聯的板配電網200N的數目可多於或少於圖5中所繪示的三個。多個板配電網200N_1到板配電網200N_3可包含分別使供電電路100電連接到多個半導體器件300_1到半導體器件300_3的佈線(wiring line)。
為了將由供電電路100供應的功率分別傳送到多個半導體器件300_1到半導體器件300_3,多個板配電網200N_1到板配電網200N_3可包含分別連接到多個半導體器件300_1到半導體器件300_3的電路。包含於多個板配電網200N_1到板配電網200N_3中的電路中的每一個可具有隨著與其連接的半導體器件和/或半導體器件的佈置的特性而變化的功率特性。因此,多個板配電網200N_1到板配電網200N_3中的每一個的板配電網模型可隨著與其對應的半導體器件而變化。
可將多個板配電網200N_1到板配電網200N_3中的每一個理解為包含電阻器、電感器以及電容器中的至少一個的等效電路。舉例來說,多個板配電網200N_1到板配電網200N_3中的每一個可由電阻器(例如,電阻器R21到電阻器R23中的一個)、電感器(例如,電感器L31到電感器L33中的一個)以及電容器(例如,電容器C31到電容器C33中的一個)表示。然而,本公開不限於此,且在一些實例實施例中,多個板配電網200N_1到板配電網200N_3中的每一個可進一步包含主動元件。
多個半導體器件300_1到半導體器件300_3中的每一個可包含半導體晶片(例如,半導體晶片320_1到半導體晶片320_3中的一個)和封裝配電網(例如,封裝配電網310N_1到封裝配電網310N_3中的一個)。多個半導體器件300_1到半導體器件300_3可分別是彼此不同種類的半導體器件,且多個半導體晶片320_1到半導體晶片320_3可分別是彼此不同種類的半導體晶片。替代性地,在實例實施例中,多個半導體器件300_1到半導體器件300_3中的至少一些可分別是相同種類的半導體器件。
舉例來說,記憶體系統10a可包含DIMM,第一半導體器件300_1和第二半導體器件300_2中的每一個可包含DRAM記憶體晶片,且第三半導體器件300_3可包含緩衝晶片。替代性地,例如,記憶體系統10a可包含SSD,第一半導體器件300_1可包含反及記憶體晶片,第二半導體器件300_2可包含DRAM記憶體晶片,且第三半導體器件300_3可包含控制器晶片。
在根據實例實施例的製造記憶體系統10a的方法中,可反映供電電路100的PMIC模型(例如,圖2的PMIC模型D100)和供電被動元件模型(例如,圖2的供電被動元件模型D300)、多個板配電網200N_1到板配電網200N_3中的每一個的板配電網模型(例如,圖2的板配電網模型D400)以及多個半導體器件300_1到半導體器件300_3中的每一個的功率特性模型。此處,多個半導體器件300_1到半導體器件300_3中的每一個的功率特性模型可包含封裝配電網模型(例如,圖2的封裝配電網模型D500)和半導體晶片功率模型(例如,圖2的半導體晶片功率模型D600)。即使在供電電路100向多個半導體器件300_1到半導體器件300_3供電時,也可通過使用PMIC模型D100和供電被動元件模型D300來分析記憶體系統10a的功率特性。因此,根據實例實施例,可更精確地預測記憶體系統10a的功率特性且可製造呈現改良功率特性的記憶體系統10a。
參考圖6,記憶體系統10b可包含PMIC 110b、第一電力傳輸電路120b_1和第二電力傳輸電路120b_2、第一板配電網200Nb_1和第二板配電網200Nb_2,以及第一半導體器件300b_1和第二半導體器件300b_2。在第一板配電網200Nb_1和第二板配電網200Nb_2中,可分別佈置進行供電電路100b與第一半導體器件300b_1和第二半導體器件300b_2的電連接的不同佈線。
PMIC 110b可輸出第一輸出電壓VO1和第二輸出電壓VO2,其分別具有彼此不同的電壓幅值(magnitude)。第一輸出電壓VO1可經由第一電力傳輸電路120b_1和第一板配電網200Nb_1傳輸到第一半導體器件300b_1。第二輸出電壓VO2可經由第二電力傳輸電路120b_2和第二板配電網200Nb_2傳輸到第二半導體器件300b_2。
PMIC 110b可將兩個第一輸出電壓VO1和第二輸出電壓VO2一起輸出,或在一些情況下,可僅輸出第一輸出電壓VO1或僅輸出第二輸出電壓VO2。雖然圖6示出PMIC 110b輸出具有彼此不同的幅值的兩個電壓,但是本公開不限於此,且在一些實例實施例中,PMIC 110b可輸出具有彼此不同的幅值的三個或大於三個電壓或可經由彼此不同的輸出管腳(pin)輸出具有實質上相同幅值的電壓。
可將第一電力傳輸電路120b_1和第二電力傳輸電路120b_2中的每一個理解為包含電阻器、電感器以及電容器中的至少一個的等效電路。舉例來說,第一電力傳輸電路120b_1可包含電感器L11和電感器L21、電容器C11和電容器C21以及電阻器R11,且第二電力傳輸電路120b_2可包含電感器L12和電感器L22、電容器C12和電容器C22以及電阻器R12。然而,本公開不限於此,且在一些實例實施例中,第一電力傳輸電路120b_1和第二電力傳輸電路120b_2中的每一個可進一步包含主動元件。
可將第一板配電網200Nb_1和第二板配電網200Nb_2中的每一個理解為包含電阻器、電感器以及電容器中的至少一個的等效電路。舉例來說,第一板配電網200Nb_1可包含電阻器R21b、電感器L31b以及電容器C31b,且第二板配電網200Nb_2可包含電阻器R22b、電感器L32b以及電容器C32b。
第一半導體器件300b_1與第二半導體器件300b_2可分別是彼此不同種類的半導體器件。舉例來說,記憶體系統10b可包含SSD,第一半導體器件300b_1可包含記憶體器件,且第二半導體器件300b_2可包含記憶體控制器。然而,本公開不限於此,且在一些實例實施例中,第一半導體器件300b_1和第二半導體器件300b_2中的每一個可包含記憶體器件。
第一半導體器件300b_1可包含第一半導體晶片320b_1和第一封裝配電網310Nb_1,且第二半導體器件300b_2可包含第二半導體晶片320b_2和第二封裝配電網310Nb_2。可將第一封裝配電網310Nb_1和第二封裝配電網310Nb_2中的每一個理解為包含電阻器、電感器以及電容器中的至少一個的等效電路。然而,本公開不限於此,且在一些實例實施例中,第一封裝配電網310Nb_1和第二封裝配電網310Nb_2中的每一個可進一步包含主動元件。
在根據實例實施例的製造記憶體系統10b的方法中,可基於關於第一電力傳輸電路120b_1、第一板配電網200Nb_1以及第一半導體器件300b_1中的每一個的資訊來提前分析從PMIC 110b輸出的第一輸出電壓VO1的特性。此外,在製造記憶體系統10b的方法中,可基於關於第二電力傳輸電路120b_2、第二板配電網200Nb_2以及第二半導體器件300b_2中的每一個的資訊來提前分析從PMIC 110b輸出的第二輸出電壓VO2的特性。因此,根據實例實施例,可更精確地預測記憶體系統10b的功率特性且可製造呈現改良功率特性的記憶體系統10b。
圖7是示出根據實例實施例的設計記憶體系統的方法的流程圖,且特別的是,圖7是示出圖1的操作S100的流程圖。操作S100可包含操作S110到操作S160。在實例實施例中,可對包含於供電電路中的單獨元件依序進行操作S110到操作S160。可通過用於設計記憶體系統的計算系統來進行設計記憶體系統的方法的以下操作中的每一個。
參考圖7,在操作S110中,可提取供電電路的每一元件的網表(netlist)。可通過使用供電電路庫D10和分析環境資訊D20來進行網表提取操作。分析環境資訊D20可包含用以提取構成供電電路的每一元件的網表的關於參考被動元件(reference passive element)的資訊。
網表提取可指在供電電路連接到與其對應的參考被動元件的假設下,產生關於供電電路與對應於供電電路的參考被動元件的連接關係的資料。在實例實施例中,可通過使用合成工具(synthesis tool)來進行網表提取操作。此處,合成工具可以是由處理器執行的包含程式碼(例如,多個指令)的程式。
供電電路庫D10可包含構成供電電路的每一元件的功率特性模型。舉例來說,供電電路庫D10可包含PMIC模型D100、供電主動元件模型D200以及供電被動元件模型D300。在實例實施例中,包含於供電電路庫D10中的功率特性模型中的至少一些可以是加密模型。每一加密模型可包含關於當對應元件連接到另一元件時,由對應元件根據輸入到其中的功率來輸出的功率的資訊。
供電電路可包含PMIC、供電主動元件以及供電被動元件中的至少一個。供電主動元件可包含電壓穩壓器模組、負載開關、電流限制器、電壓檢測器、邏輯電路以及二極體中的至少一個,且供電被動元件可包含電阻器、電感器、電容器或類似物中的至少一個。舉例來說,當供電電路包含PMIC、負載開關以及電容器時,在操作S110中,可提取指示PMIC與對應於PMIC的參考被動元件之間的連接關係的網表,可提取指示負載開關與對應於負載開關的參考被動元件之間的連接關係的網表,且可提取指示電容器與對應於電容器的參考被動元件之間的連接關係的網表。
在操作S120中,可轉換所提取的網表中的每一個以供功率分析工具使用。可通過合成工具來進行網表提取操作,且可通過功率分析工具來進行供電電路的每一元件的功率特性分析。因此,在操作S120中,可轉換在操作S110中產生的每一網表以能夠供功率分析工具使用。也就是說,網表轉換操作可指將網表轉換成可通過功率分析工具來存取的格式(format)。
網表轉換操作可通過使用分析條件資訊D30來進行。在實例實施例中,分析條件資訊D30可包含關於輸入到由功率特性分析所針對的元件的電壓、在其下操作由功率特性分析所針對的元件的溫度以及類似物的資訊。然而,這僅僅是為了描述的便利性而提供為實例,且分析條件資訊D30可包含影響供電電路的元件的特性的各種條件。也就是說,分析條件資訊D30可包含關於引起供電電路的元件的功率特性的變化的條件的資訊。
舉例來說,包含於供電電路中的電容器的電容特性可隨著溫度而變化且也可隨著供應到電容器的電壓的幅值而變化。因此,基於關於在其下操作電容器的溫度的資訊和關於供應到電容器的電壓的資訊,可修改針對包含於供電電路中的電容器所提取的網表以供功率分析工具使用。
在操作S130中,可分析供電電路的每一元件的功率特性。功率特性分析可指在供電電路的每一元件連接到與其對應的參考被動元件的假設下,分析根據輸入到供電電路的每一元件的功率從供電電路的每一元件輸出的功率的特性。舉例來說,供電電路的每一元件的功率特性可包含功耗量、電壓紋波、電壓IR降、缺陷電源開/關順序、開始延遲時間、靜態電流、湧入電流或類似物中的一個或多個。
在操作S140中,可確定所分析的功率特性是否滿足操作條件。在一些實例實施例中,確定所分析的功率特性是否滿足記憶體系統的操作條件。在一些實施例中,可確定供電電路的每一元件的所分析的功率特性是否滿足針對元件的相應操作條件。當確定所分析的功率特性滿足元件的相應操作條件(操作S140,是)時,在操作S150中,可確定是否存在除所分析的功率特性之外的待分析的額外的功率特性。當確定不存在待分析的額外的功率特性(操作S150,否)時,方法結束。另一方面,當所分析的功率特性不滿足操作條件(操作S140,否)或存在待分析的額外的功率特性(操作S150,是)時,可在操作S160中改變分析條件資訊,且可再次進行操作S120和操作S130。然而,本公開不限於此,且在一些實施例中,當存在待分析的額外的功率特性時,可在不進行操作S160的情況下再次進行操作S120和操作S130。
根據設計記憶體系統的方法,根據實例實施例,在分析包含於供電電路中的元件中的每一個的功率特性中,儘管提供了元件的加密模型,但可提取針對每一組件的網表且可將所述網表修改成對應於功率分析工具,由此可分析每一元件的功率特性。因此,可分析由供電電路供應的功率的特性,且可提前檢查是否滿足正常操作供電電路的要求。
圖8是示出根據實例實施例的設計記憶體系統的方法的流程圖,且特別的是,圖8是示出圖2的操作S200的流程圖。操作S200可包含操作S210到操作S260。可通過用於設計記憶體系統的計算系統來進行設計記憶體系統的方法的以下操作中的每一個。
參考圖8,在操作S210中,可基於構成記憶體系統的相應元件的功率特性模型來提取記憶體系統的網表。舉例來說,如在圖3中所繪示的記憶體系統10中,當記憶體系統包含PMIC 100、包含被動元件的電力傳輸電路120、板200以及半導體器件300時,可通過使用圖2中的PMIC模型D100、供電被動元件模型D300、板配電網模型D400、封裝配電網模型D500以及半導體晶片功率模型D600來提取記憶體系統10的網表。網表提取可指產生關於記憶體系統的相應元件之間的連接關係的資料。
在實例實施例中,構成記憶體系統的元件的功率特性模型中的至少一些可以是加密模型。當對應元件連接到另一元件時,每一加密模型可包含關於根據輸入到對應元件的功率來從對應元件輸出的功率的資訊。因此,儘管提供了記憶體系統的元件的加密模型,但可獲得關於供電電路的輸出功率的資訊,所述輸出功率基於供電電路與另一元件之間的連接關係來變化。
在操作S220中,可轉換所提取的網表以供功率分析工具使用。可通過合成工具來進行網表提取操作,且可通過功率分析工具來進行功率特性分析。因此,在操作S220中,可轉換在操作S210中所產生的網表以能夠供功率分析工具使用。
網表轉換操作可通過使用分析條件資訊D40來進行。在實例實施例中,分析條件資訊D40可包含關於由功率特性分析所針對的元件的特性的資料。舉例來說,分析條件資訊D40可包含構成板配電網的佈線的設計資料、構成半導體器件的封裝配電網的佈線的設計資料以及半導體晶片的內部設計資料中的一個或多個。然而,這僅僅是為了描述的便利性而提供為實例,且分析條件資訊D40可包含關於構成記憶體系統的各種元件的設計資訊。
在操作S230中,可分析記憶體系統的功率特性。可通過使用功率分析工具通過計算系統的處理器來進行記憶體系統的功率特性分析。舉例來說,記憶體系統的功率特性可包含以下特性,例如記憶體系統的功耗量、記憶體系統中的電壓紋波、電壓IR降、缺陷電源開/關開關順序、靜態電流、湧入電流或類似物。
在操作S240中,可確定所分析的功率特性是否滿足操作條件。在一些實例實施例中,確定所分析的功率特性是否滿足記憶體系統的操作條件。當確定所分析的功率特性滿足操作條件(操作S240,是)時,在操作S250中,可確定是否存在除所分析的功率特性之外的待分析的額外的功率特性。當確定不存在待分析的額外的功率特性(操作S250,否)時,方法結束。另一方面,當所分析的功率特性不滿足操作條件(操作S240,否)或存在待分析的額外的功率特性(操作S250,是)時,可在操作S260中改變分析條件資訊,且可再次進行操作S220和操作S230。然而,本公開不限於此,且在一些實施例中,當存在待分析的額外的功率特性時,可在不進行操作S260的情況下再次進行操作S220和操作S230。
改變分析條件資訊的操作S260可包含改變構成板配電網的佈線的設計資料、構成半導體器件的封裝配電網的佈線的設計資料以及半導體晶片的內部設計資料中的至少一個。在實例實施例中,可基於分析記憶體系統的功率特性的結果來改變板的設計資料、半導體器件的封裝設計資料以及半導體晶片的設計資料中的至少一個。舉例來說,可改變包含於佈置在板中的板配電網中的一層佈線,或可改變包含於板配電網中的電阻器、電感器或電容器的特性。
根據根據實例實施例的設計記憶體系統的方法,在分析記憶體系統的功率特性中,儘管提供了構成記憶體系統的元件中的一些的加密模型,但可根據上文所闡述的一些元件與其它元件之間的連接關係來提取網表,且可將所述網表轉換成對應於功率分析工具,由此可分析記憶體系統的功率特性。因此,由於可提前檢查是否滿足正常操作記憶體系統的要求,且在不滿足要求時可修改記憶體系統內部的元件的設計,所以可製造呈現改良功率特性的記憶體系統。
圖9是示出根據實例實施例的設計記憶體系統的方法的流程圖。可在圖7的操作S140或圖8的操作S240之後(具體來說在確定功率特性不滿足操作條件(操作S140或操作S240,否)時)進行操作S270。
參考圖9,在操作S271中,可選擇構成記憶體系統的元件中的一些且可提取所選擇的組件的網表。網表提取可指產生關於所選擇的元件之間的連接關係的資料。
在實例實施例中,所選擇的元件可包含包含於供電電路中的元件。舉例來說,當圖3的記憶體系統10包含PMIC 100、包含被動元件的電力傳輸電路120、板200以及半導體器件300時,在操作S271中,可選擇PMIC 100和電力傳輸電路120,且可基於圖2中的PMIC模型D100和供電被動元件模型D300來提取網表。由於已通過操作S100來分析包含於供電電路中的每一元件的功率特性且包含於供電電路中的每一元件已滿足操作條件,所以可進行在包含於供電電路中的元件連接到記憶體系統的其它元件之後分析記憶體系統的一部分的功率特性的操作。
在操作S273中,可通過使用分析條件資訊(例如,圖7的分析條件資訊D30和圖8的分析條件資訊D40)來轉換所提取的網表以供功率分析工具使用。在實例實施例中,分析條件資訊D40可包含關於由功率特性分析所針對的元件的特性的資料。
在操作S275中,可分析記憶體系統的部分的功率特性。也就是說,可分析處於其中操作S271中的所選擇的元件經電連接的狀態的記憶體系統的部分的功率特性。
在操作S277中,可確定記憶體系統的部分的所分析的功率特性是否滿足記憶體系統的操作條件。當滿足記憶體系統的操作條件(操作S277,是)時,可再次進行操作S271以找出導致不滿足操作S140、操作S240的元件,且可通過在構成記憶體系統的元件當中選擇包含先前尚未選擇的元件的一些元件來提取網表。接下來,可再次進行操作S273到操作S275。
當記憶體系統的部分的所分析的功率特性不滿足記憶體系統的操作條件(操作S277,否)時,可在操作S279中修改記憶體系統的所選擇的元件的功率特性模型。雖然記憶體系統的所選擇的元件中的每一個的功率特性可滿足所選擇的元件中的每一個的操作條件,但相應的功率特性模型之間可能因所選擇的元件之間的連接而發生衝突(conflict)。因此,在修改記憶體系統的所選擇的元件的功率特性模型之後,用於設計記憶體系統的計算系統可再次進行圖8的操作S200。
圖10是示出根據實例實施例的包含儲存程式的記憶體的計算系統的方塊圖。可通過計算系統1000來進行包含於根據實例實施例的製造記憶體系統的方法中的操作中的至少一些(例如,圖1的操作S10、圖7的操作S100、圖8的操作S200以及圖9的操作S270)。
計算系統1000可以是固定的計算系統,例如臺式電腦、工作站、伺服器或類似物,或可以是可擕式計算系統,例如筆記型電腦或類似物。如圖10中所繪示,計算系統1000可包含處理器1100、輸入/輸出(input/output;I/O)器件1200、網路介面1300、RAM 1400、唯讀記憶體(read-only memory;ROM)1500以及儲存裝置1600。處理器1100、I/O器件1200、網路介面1300、RAM 1400、ROM 1500以及儲存裝置1600可連接到匯流排1700且可經由匯流排1700彼此通信。
處理器1100可稱為處理單元,且(類似於例如微處理器、應用處理器(application processor;AP)、數位訊號處理器(digital signal processor;DSP)或圖形處理單元(graphic processing unit;GPU))可包含能夠執行任何指令集(例如,英特爾架構-32(Intel Architecture-32;IA-32)、64位延伸IA-32、x86-64、PowerPC、Sparc、MIPS、ARM、IA-64或類似物)的至少一個核。舉例來說,處理器1100可經由匯流排1700存取記憶體(也就是RAM 1400或ROM 1500),且可執行儲存在RAM 1400或ROM 1500中的指令。
RAM 1400可儲存用於設計和製造根據實例實施例的記憶體系統的程式1400_1或其至少一部分,且在由處理器1100執行時,程式1400_1可使得處理器1100進行包含於製造記憶體系統的方法中的操作中的至少一些(例如,圖1的操作S10、圖7的操作S100、圖8的操作S200以及圖9的操作S270)。
程式1400_1可包含功率分析工具和合成工具。功率分析工具可包含可由處理器1100執行的程式碼,例如多個指令,且在由處理器1100執行時,包含於功率分析工具中的程式碼可使得處理器1100進行圖1的操作S100和操作S200、圖7的操作S130、圖8的操作S230以及圖9的操作S275。合成工具可包含可由處理器1100執行的程式碼,例如多個指令。在由處理器1100執行時,包含於合成工具中的程式碼可使得處理器1100進行圖7的操作S110、圖8的操作S210以及圖9的操作S271。
在實例實施例中,處理器1100可執行功率分析工具且因此通過使用供電電路的功率特性模型(例如,PMIC模型、供電主動元件模型以及供電被動元件模型)、板配電網模型以及半導體器件的功率特性模型(例如,封裝配電網模型和半導體晶片功率模型)來進行功率特性分析操作。因此,在根據實例實施例的製造記憶體系統的方法中,可反映供電的供電電路的功率特性模型以及半導體器件或消耗功率的類似物的功率特性模型,由此更精確地預測記憶體系統的功率特性。由於可基於所預測的功率特性來改變記憶體系統的配置,所以根據實例實施例的製造記憶體系統的方法可允許製造呈現改良功率特性的記憶體系統。
儲存裝置1600即使在供應到計算系統1000的電源切斷時也可不丟失儲存於其中的資料。舉例來說,儲存裝置1600可包含非揮發性記憶體器件或可包含例如磁帶(magnetic tape)、光碟(optical disk)或磁片(magnetic disk)的儲存介質。此外,儲存裝置1600可以是可附接(attachable)到計算系統1000的且可從所述計算系統拆卸(detachable)。儲存裝置1600可儲存根據實例實施例的程式1400_1,且在程式1400_1由處理器1100執行之前,可將程式1400_1或其至少一部分從儲存裝置1600載入到RAM 1400上。替代性地,儲存裝置1600可儲存以程式設計語言寫入的文件,且可將通過編譯器或類似物由文件產生的程式1400_1或程式1400_1的至少一部分載入到RAM 1400上。此外,如圖10中所繪示,儲存裝置1600可儲存資料庫(database;DB),且資料庫可包含用以設計記憶體系統的資訊,所述記憶體系統例如圖1的供電電路庫D10,以及圖2的PMIC模型D100、供電主動元件模型D200、供電被動元件模型D300、板配電網模型D400、封裝配電網模型D500以及半導體晶片功率模型D600。
儲存裝置1600可儲存將由處理器1100處理的資料或已由處理器1100處理的資料。也就是說,處理器1100可根據程式1400_1來通過處理儲存在儲存裝置1600中的資料以產生資料或儲存在儲存裝置1600中產生的資料。
I/O器件1200可包含例如鍵盤、指向器件或類似物的輸入器件和例如顯示器器件、印刷機或類似物的輸出器件。舉例來說,使用者可經由I/O器件1200觸發通過處理器1100對程式1400_1的執行,且可經由I/O器件1200輸入分析環境資訊D20以及圖7的分析條件資訊D30和圖8的分析條件資訊D40。
網路介面1300可提供對計算系統1000外部的網路的存取。舉例來說,網路可包含大量計算系統和通信鏈路,且通信鏈路可包含有線鏈路、光學鏈路、無線鏈路或任何其它類型的鏈路。
儘管已參看本發明概念的實施例具體地繪示並描述了本發明概念,但應理解,可在不脫離所附申請專利範圍的精神和範圍的情況下在其中進行形式和細節上的各種變化。
10、10a、10b:記憶體系統 100:供電電路 110、110b:電源管理積體電路 120、120b_1、120b_2:電力傳輸電路 200:板 200N、200N_1~200N_3、200Nb_1、200Nb_2:板配電網 300、300_1~300_3、300b_1、300b_2:半導體器件 310、310N_1~310N_3:封裝 310N、310Nb_1、310Nb_2:封裝配電網 320、320_1~320_3、320b_1、320b_2:半導體晶片 1000:計算系統 1100:處理器 1200:輸入/輸出器件 1300:網路介面 1400:RAM 1400_1:程式 1500:ROM 1600:儲存裝置 D10:供電電路庫 D20:分析環境資訊 D30:分析條件資訊 D40:分析條件資訊 D100:電源管理積體電路模型 D200:供電主動元件模型 D300:供電被動元件模型 D400:板配電網模型 D500:封裝配電網模型 D600:半導體晶片功率模型 S10、S20、S100、S110、S120、S130、S140、S150、S160、S200、S21、S220、S230、S240、S250、S260、S270、S271、S273、S275、S277、S279:操作 VO、VO1、VO2:輸出電壓 C1、C2、C3、C11、C12、C21、C22、C31、C32、C33、C31b、C32b:電容器 R1、R2、R11、R12、R21、R22、R23、R21b、R22b:電阻器 L1、L2、L3、L11、L12、L21、L22、L31、L32、L33、L31b、L32b:電感器
從以下結合附圖進行的詳細描述中將更清楚地理解實施例,在附圖中: 圖1是示出根據實例實施例的製造記憶體系統的方法的流程圖。 圖2是示出根據實例實施例的分析記憶體系統的功率特性的簡圖。 圖3是示出根據實例實施例的記憶體系統的方塊圖。 圖4是根據實例實施例的記憶體系統的電路圖。 圖5是根據實例實施例的記憶體系統的電路圖。 圖6是根據實例實施例的記憶體系統的電路圖。 圖7是示出根據實例實施例的設計記憶體系統的方法的流程圖。 圖8是示出根據實例實施例的設計記憶體系統的方法的流程圖。 圖9是示出根據實例實施例的設計記憶體系統的方法的流程圖。 圖10是示出根據實例實施例的包含儲存程式的記憶體的計算系統的方塊圖。
D10:供電電路庫
S10、S20、S100、S200:操作

Claims (10)

  1. 一種設計記憶體系統的方法,所述記憶體系統包括半導體器件和經由板配電網向所述半導體器件供電的供電電路,所述方法包括: 通過使用所述供電電路的功率特性模型來分析所述供電電路的相應元件的功率特性;以及 分析所述記憶體系統的功率特性, 其中所述供電電路的所述功率特性模型包括加密模型。
  2. 如申請專利範圍第1項所述的方法,其中 所述功率特性模型包括電源管理積體電路模型、供電主動元件模型以及供電被動元件模型,且 所述電源管理積體電路模型、所述供電主動元件模型以及所述供電被動元件模型中的至少一個是所述加密模型。
  3. 如申請專利範圍第1項所述的方法,其中所述分析所述供電電路的所述相應元件的所述功率特性包括: 提取網表,所述網表是關於所述供電電路的每一元件與對應於所述供電電路的每一元件的參考被動元件之間的連接關係的資料; 轉換所提取的所述網表以供功率分析工具使用;以及 通過使用所述功率分析工具來分析所述相應元件的所述功率特性。
  4. 如申請專利範圍第1項所述的方法,其中所述分析所述記憶體系統的所述功率特性包括: 通過使用所述記憶體系統的每一元件的功率特性模型來提取網表,所述網表是關於所述記憶體系統的元件之間的連接關係的資料; 轉換所提取的所述網表以供功率分析工具使用;以及 通過使用所述功率分析工具來分析所述記憶體系統的所述功率特性。
  5. 一種製造記憶體系統的方法,所述記憶體系統包括半導體器件和經由板配電網向所述半導體器件供電的供電電路,所述方法包括: 通過使用所述供電電路的功率特性模型來分析所述供電電路的相應元件的功率特性; 通過使用所述供電電路的所述功率特性模型、所述板配電網的板配電網模型以及所述半導體器件的功率特性模型來分析所述記憶體系統的功率特性;以及 基於所述記憶體系統所分析的所述功率特性,將所述半導體器件和所述供電電路安裝在板上。
  6. 如申請專利範圍第5項所述的方法,其中 所述半導體器件的所述功率特性模型包括半導體晶片的半導體晶片功率模型和封裝配電網的封裝配電網模型,所述封裝配電網使所述半導體晶片連接到所述板配電網。
  7. 如申請專利範圍第5項所述的方法,其中 所述分析所述記憶體系統的所述功率特性包括: 通過使用所述供電電路的所述功率特性模型、所述板配電網模型以及所述半導體器件的所述功率特性模型來提取網表,所述網表是關於所述記憶體系統的元件之間的連接關係的資料; 轉換所提取的所述網表以供功率分析工具使用;以及 通過使用所述功率分析工具來分析所述記憶體系統的所述功率特性。
  8. 一種用於設計記憶體系統的計算系統,所述記憶體系統包括半導體器件和向所述半導體器件供電的供電電路,所述計算系統包括: 記憶體,儲存合成工具、功率分析工具、所述供電電路的功率特性模型以及所述半導體器件的功率特性模型;以及 處理器,通過存取所述記憶體來執行所述合成工具和所述功率分析工具, 其中所述處理器通過使用所述供電電路的所述功率特性模型來分析所述供電電路的相應元件的功率特性,且分析所述記憶體系統的功率特性,且 所述供電電路的所述功率特性模型包括加密模型。
  9. 如申請專利範圍第8項所述的計算系統,其中 所述處理器 通過執行所述合成工具來提取第一網表,所述第一網表是關於所述供電電路的每一元件與對應於所述供電電路的每一元件的參考被動元件之間的連接關係的資料, 轉換所提取的所述第一網表以供所述功率分析工具使用,以及 通過執行所述功率分析工具來分析所述供電電路的所述相應元件的所述功率特性。
  10. 如申請專利範圍第9項所述的計算系統,其中 所述處理器 通過執行所述合成工具來提取第二網表,所述第二網表是關於所述記憶體系統的元件之間的連接關係的資料, 轉換所提取的所述第二網表以供所述功率分析工具使用,以及 通過使用所述功率分析工具來分析所述記憶體系統的所述功率特性。
TW108136537A 2018-10-12 2019-10-09 計算系統以及設計與製造記憶體系統的方法 TWI805858B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR20180122045 2018-10-12
KR10-2018-0122045 2018-10-12
KR10-2019-0093369 2019-07-31
KR1020190093369A KR20200041771A (ko) 2018-10-12 2019-07-31 전력 특성을 고려한 메모리 시스템의 설계 방법, 상기 메모리 시스템의 제조 방법, 및 상기 메모리 시스템을 설계하기 위한 컴퓨팅 시스템

Publications (2)

Publication Number Publication Date
TW202029028A true TW202029028A (zh) 2020-08-01
TWI805858B TWI805858B (zh) 2023-06-21

Family

ID=70160805

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108136537A TWI805858B (zh) 2018-10-12 2019-10-09 計算系統以及設計與製造記憶體系統的方法

Country Status (4)

Country Link
US (1) US10963619B2 (zh)
KR (1) KR20200041771A (zh)
CN (1) CN111046620B (zh)
TW (1) TWI805858B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111859845B (zh) * 2020-06-16 2024-01-19 眸芯科技(上海)有限公司 芯片内部顶层到外部顶层连线的检测系统及应用

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2298990A1 (en) * 2000-02-18 2001-08-18 Cloakware Corporation Method and system for resistance to power analysis
US6745145B2 (en) 2002-06-24 2004-06-01 Lsi Logic Corporation Methods and systems for enhanced automated system testing
US20060058994A1 (en) * 2004-09-16 2006-03-16 Nec Laboratories America, Inc. Power estimation through power emulation
US7587559B2 (en) * 2006-08-10 2009-09-08 International Business Machines Corporation Systems and methods for memory module power management
US20080307240A1 (en) * 2007-06-08 2008-12-11 Texas Instruments Incorporated Power management electronic circuits, systems, and methods and processes of manufacture
US20090113220A1 (en) * 2007-10-26 2009-04-30 Sang Han Lee Encrypted backup data storage device and storage system using the same
US8386229B1 (en) 2009-04-17 2013-02-26 Xilinx, Inc. Integrated circuit package component and ball grid array simulation model
CN102014051B (zh) * 2010-09-20 2013-01-02 成都星宇节能技术股份有限公司 一种工业以太网交换机
US8286110B1 (en) 2010-12-27 2012-10-09 Cadence Design Systems, Inc. System and method for adapting electrical integrity analysis to parametrically integrated environment
US8949102B2 (en) 2011-02-24 2015-02-03 Cadence Design Systems, Inc. Method and system for power delivery network analysis
US20140074449A1 (en) 2012-09-07 2014-03-13 Lsi Corporation Scalable power model calibration
TWI620094B (zh) * 2013-05-31 2018-04-01 凱爾拉吉克斯股份有限公司 電荷分布控制系統、加密系統和藉由操作其防止以旁通道攻擊之方法
CN104217043A (zh) 2013-05-31 2014-12-17 国际商业机器公司 分析电源网络的方法和装置
KR102284656B1 (ko) 2014-07-31 2021-08-02 삼성전자 주식회사 전하 펌프를 포함하는 전자 회로를 시뮬레이션하는 방법
US9729562B2 (en) * 2015-03-02 2017-08-08 Harris Corporation Cross-layer correlation in secure cognitive network
US9454636B1 (en) * 2015-04-02 2016-09-27 Esilicon Corporation Integrated circuit design optimization
JP6617924B2 (ja) * 2015-06-18 2019-12-11 パナソニックIpマネジメント株式会社 耐タンパ性を有する不揮発性メモリ装置および集積回路カード、不揮発性メモリ装置の認証方法、個体識別情報生成方法
US9767240B2 (en) 2015-11-19 2017-09-19 Globalfoundries Inc. Temperature-aware integrated circuit design methods and systems
US10935604B2 (en) 2017-02-22 2021-03-02 Abb Schweiz Ag Power distribution systems and methods of testing responses to electrical conditions using a communication network

Also Published As

Publication number Publication date
KR20200041771A (ko) 2020-04-22
TWI805858B (zh) 2023-06-21
US10963619B2 (en) 2021-03-30
US20200117769A1 (en) 2020-04-16
CN111046620B (zh) 2024-05-24
CN111046620A (zh) 2020-04-21

Similar Documents

Publication Publication Date Title
US11675942B2 (en) Optimization of parameters for synthesis of a topology using a discriminant function module
US20140181778A1 (en) Integrated circuit optimization
US11030383B2 (en) Integrated device and method of forming the same
US10509886B2 (en) Method, system, and storage medium for RC extraction using hierarchical modeling architecture
TWI805858B (zh) 計算系統以及設計與製造記憶體系統的方法
TWI604326B (zh) 基於fpga之系統功率評估裝置與方法
US7590952B2 (en) Compact chip package macromodels for chip-package simulation
US20240047966A1 (en) Systems, devices and methods for power management and power estimation
US8302063B2 (en) Method and system to optimize semiconductor products for power, performance, noise, and cost through use of variable power supply voltage compression
US20160085900A1 (en) Activity-Driven Capacitance Reduction to Reduce Dynamic Power Consumption in an Integrated Circuit
Cho et al. AB9: A neural processor for inference acceleration
EP2691829A1 (en) Method for ranking paths for power optimization of an integrated circuit design and corresponding computer program product
US20230205949A1 (en) Full chip power estimation using machine learning
US11320849B2 (en) Voltage regulation using local feedback
Fan et al. Graph-Transformer-based Surrogate Model for Accelerated Converter Circuit Topology Design
Chakravarthi et al. System on Chips (SOC)
US20240063715A1 (en) Merged Power Delivery
CN112859982B (zh) 用于芯片的自适应电压与频率调节的测试电路的实现方法
CN117272918B (zh) 在gui界面中进行时钟树规则配置的方法及相关设备
US20240161003A1 (en) Machine learning systems and methods
JP2022119512A (ja) 回路設計支援装置および回路設計支援方法
CN115527981A (zh) 集成电路封装模型和电压调节器模块模型
CN117436232A (zh) 用于半导体设计工艺中的仿真的神经网络的建模方法
JP2009038234A (ja) 半導体集積回路装置
Xing et al. Floorplan‐Driven Multivoltage High‐Level Synthesis