TW202026409A - 化學機械研磨後清潔組合物 - Google Patents

化學機械研磨後清潔組合物 Download PDF

Info

Publication number
TW202026409A
TW202026409A TW108141569A TW108141569A TW202026409A TW 202026409 A TW202026409 A TW 202026409A TW 108141569 A TW108141569 A TW 108141569A TW 108141569 A TW108141569 A TW 108141569A TW 202026409 A TW202026409 A TW 202026409A
Authority
TW
Taiwan
Prior art keywords
composition
acid
hydroxide
ether
choline
Prior art date
Application number
TW108141569A
Other languages
English (en)
Other versions
TWI821455B (zh
Inventor
阿特奴 K 達斯
邁克 懷特
丹尼拉 懷特
Original Assignee
美商恩特葛瑞斯股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商恩特葛瑞斯股份有限公司 filed Critical 美商恩特葛瑞斯股份有限公司
Publication of TW202026409A publication Critical patent/TW202026409A/zh
Application granted granted Critical
Publication of TWI821455B publication Critical patent/TWI821455B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0047Other compounding ingredients characterised by their effect pH regulated compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0042Reducing agents
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/042Acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2006Monohydric alcohols
    • C11D3/201Monohydric alcohols linear
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2041Dihydric alcohols
    • C11D3/2048Dihydric alcohols branched
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2068Ethers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/30Amines; Substituted amines ; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/33Amino carboxylic acids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/361Phosphonates, phosphinates or phosphonites
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/36Organic compounds containing phosphorus
    • C11D3/364Organic compounds containing phosphorus containing nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Organic Chemistry (AREA)
  • Wood Science & Technology (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Emergency Medicine (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Detergent Compositions (AREA)

Abstract

本發明提供一種用於自上面有氧化鈰粒子及化學機械研磨(CMP)後污染物之微電子裝置清潔該等污染物及粒子的移除組合物及方法。該組合物達成自該微電子裝置之表面高度有效移除氧化鈰粒子及CMP副產物污染物材料而不損害低k介電材料、氮化矽材料或含鎢材料。

Description

化學機械研磨後清潔組合物
本發明大體上關於用於自上面有氧化鈰粒子及其他化學機械研磨漿料污染物之微電子裝置移除該等粒子及污染物的組合物。
微電子裝置晶圓用於形成積體電路。微電子裝置晶圓包括諸如矽之基板,在基板中區域經圖案化用以沈積具有絕緣、導電或半導電之特性之不同材料。
為獲得恰當之圖案化,必須移除用於在基板上形成層之過量材料。此外,為製造功能性且可靠之電路,在後續加工之前製備平整或平坦之微電子晶圓表面很重要。因此,必需移除及/或研磨微電子裝置晶圓之某些表面。
化學機械研磨或平坦化(「CMP」)為一種方法,在該方法中,自微電子裝置晶圓之表面移除材料,且藉由聯合物理方法(諸如磨耗)與化學方法(諸如氧化或螯合)研磨(例如,平坦化)該表面。CMP在其最基本之形式中涉及將具有活性化學物質之研磨漿料施加至研磨墊,該研磨墊在移除、平坦化及研磨製程期間磨光微電子裝置晶圓之表面。為達成快速、均勻移除,使用純粹物理或純粹化學操作之移除或研磨方法並不如使用兩者之協同組合一般有效。另外,在積體電路的製造中,CMP漿料亦應能夠優先移除包含金屬及其他材料之錯合層之膜,從而可產生用於後續光微影、或圖案化、蝕刻及薄膜處理之高度平坦之表面。
在用於使用淺溝槽隔離(STI)方法在矽基板中形成隔離區域之前段製程(front-end-of-the-line,FEOL)方法中,將墊氧化物膜及墊氮化物膜沈積於半導體基板上且圖案化以暴露基板中對應於隔離區域之部分。接著,蝕刻基板之暴露區域以形成溝槽。其後,使基板經受犧牲性氧化處理以移除由基板蝕刻引起之損壞,隨後在溝槽之表面上形成壁氧化物膜。接著,以如內埋於溝槽中之方式將內埋溝槽的氧化物膜(例如,由高密度電漿化學氣相沈積形成之氧化物膜,稱為HDP-氧化物膜)沈積於基板之表面上。隨後,對HDP-氧化物膜之表面進行化學機械研磨直至使墊氮化物膜暴露。隨後清潔所得基板且移除在溝槽蝕刻期間用作蝕刻障壁之墊氮化物膜,從而完成隔離區域之形成。
對於絕緣體而言,相對於含矽漿料,使用氧化鈰粒子之CMP漿料通常達成較快研磨速度。此外,基於氧化鈰之漿料由於其在最小氧化侵蝕的情況下達成STI圖案平坦化之能力而為最常使用的。不利的是,由於氧化鈰粒子相對於氧化矽及氮化矽表面帶相反電荷的ζ電位,故基於氧化鈰粒子之漿料難以自STI結構移除。若製造具有殘留於晶圓上之此等殘餘物的裝置,則該等殘餘物將導致短路以及電阻增大。在使用氧化鈰漿料進行CMP處理之後,氧化鈰粒子亦為FinFET結構之問題。
目前,用於移除氧化鈰粒子之最有效濕清潔調配物為稀氫氟酸(DHF)。然而,DHF不利地蝕刻氧化矽及其他低k介電材料。
因此,仍需要一種氧化鈰粒子移除組合物及方法,該組合物及方法可有效地自微電子裝置之表面移除氧化鈰粒子,同時不損害諸如氮化矽層、低k介電(例如,氧化矽)層及含鎢層之底層材料。氧化鈰粒子移除組合物亦應有效地自微電子裝置之表面移除CMP漿料污染物。
本發明大體上關於一種移除組合物及方法,其尤其適用於自上面有氧化鈰粒子及CMP污染物之微電子裝置,尤其具有PETEOS、氮化矽及多晶矽基板之微電子裝置清潔該等粒子及CMP污染物。在一個態樣中,描述水性移除組合物,該組合物包含親核試劑或還原劑(有效促進鈰之移除)、某些界面活性劑及錯合劑、及視情況選用之其他成分。在另一態樣中,提供一種自上面有氧化鈰粒子及CMP污染物之微電子裝置移除該等粒子及污染物的方法,該方法包含使微電子裝置與移除組合物接觸足以至少部分地自微電子裝置清潔該等粒子及組合物的時間,其中該移除組合物包含還原劑或親核化合物、某些界面活性劑、錯合劑及視情況選用之其他成分。界面活性劑用於防止氧化鈰在裝置表面上之再沈積,且在某些情況下由於反應平衡向氧化鈰物種錯合轉移而促進移除。
本發明大體上關於適用於自上面有氧化鈰粒子及CMP污染物之微電子裝置移除該一或多種材料的組合物。有利的是,使用該等組合物有效移除氧化鈰粒子及CMP污染物,且另外,該等組合物與氮化矽及低k介電(例如氧化矽)層相容。在較低pH值範圍下,利用還原劑來破壞鈰-氧鍵,且在較高pH值下,利用親核試劑來破壞鈰-氧鍵。
為易於參考,「微電子裝置」對應於半導體基板、平板顯示器、相變記憶體裝置、太陽電池板及其他包括太陽能基板、光伏打及微機電系統(MEMS)之產品,經製造用於微電子應用、積體電路應用或電腦晶片應用。太陽能基板包括但不限於矽、非晶矽、多晶矽、單晶矽、CdTe、硒化銅銦、硫化銅銦及鎵上砷化鎵(gallium arsenide on gallium)。太陽能基板可經摻雜或未經摻雜。應理解,術語「微電子裝置」並不意欲以任何方式限制,且包括將最終變為微電子裝置或微電子組件之任何基板。
如本文所使用,「氧化鈰粒子」對應於基於鈰之研磨粒子,其可用於化學機械研磨漿料,其包括(例如)具有式Ce2 O3 及CeO2 之氧化鈰。應瞭解,「氧化鈰粒子」可包含氧化鈰、由氧化鈰組成或基本上由氧化鈰組成。
如本文所使用,「污染物」對應於存在於CMP漿料中之化學物質、研磨漿料之反應副產物、CMP後殘餘物、存在於濕式蝕刻組合物中之化學物質、濕式蝕刻組合物之反應副產物,及為CMP製程、濕式蝕刻、電漿蝕刻或電漿灰化製程之副產物之任何其他材料。
如本文所使用,「CMP後殘餘物」對應於來自研磨漿料之粒子,例如存在於漿料中之化學物質、研磨漿料之反應副產物、富碳粒子、研磨墊粒子、刷去載粒子、建構粒子之裝備材料、金屬、有機殘餘物及為CMP製程之副產物之任何其他材料。此外,若在CMP製程期間移除鎢,則CMP後殘餘物可進一步包含含鎢粒子。
如本文所定義,「低k介電材料」對應於在分層微電子裝置中用作介電材料的任何材料,其中該材料具有小於約3.5之介電常數。一般而言,低k介電材料包括低極性材料,諸如含矽有機聚合物、含矽雜交有機/無機材料、有機矽酸鹽玻璃(OSG)、TEOS、氟化矽酸鹽玻璃(FSG)、二氧化矽及摻碳氧化物(CDO)玻璃。應瞭解低k介電材料可具有不同密度及不同孔隙率。
如本文所定義,「錯合劑」包括彼等熟習此項技術者理解為錯合劑、螯合劑及/或鉗合劑之化合物。錯合劑將與待使用本文中所描述之組合物移除之金屬原子及/或金屬離子化學組合或以物理方式夾持該金屬原子及/或金屬離子。
「實質上不含」在本文中定義為小於2 wt. %、小於1 wt. %、小於0.5 wt. %或小於0.1 wt. %。「不含」意欲對應於小於0.001 wt %以顧及環境污染。
如本文所用,「約」意欲對應於所陳述值之+/- 0.5%。
如本文所用,「氧化劑」對應於氧化暴露之金屬導致金屬腐蝕或金屬上形成氧化物的化合物。氧化劑包括(但不限於):過氧化氫;其他過化合物,諸如含有過氧單硫酸根、過硼酸根、過氯酸根、過碘酸根、過硫酸根、過錳酸根及過乙酸根陰離子之鹽及酸;及胺-N-氧化物。
如本文所用,「含氟化物之化合物」對應於包含以離子方式鍵結至另一原子之氟離子(F- )的鹽或酸化合物。
如本文所定義,術語「障壁材料」對應於此項技術中用以密封金屬線(例如,銅互連件)以使該金屬(例如,銅)向介電材料之擴散降至最低之任何材料。較佳之障壁層材料包括鉭、鈦、釕、鉿、鎢及其他耐火金屬及其氮化物及矽化物。
如本文所用,「界面活性劑」對應於具有疏水性基團及親水性基團之兩親媒性物種,如熟習此項技術者容易理解,但不包括聚合界面活性劑。如本文所定義,「聚合物」對應於具有重複單體基團之物種,且可為天然或合成的。應瞭解,「聚合物」可為具有僅一種類型之重複單體之均聚物或具有超過一種類型之重複單體之共聚物。用於本文中所描述之組合物的界面活性劑包括(但不限於)兩性鹽、陽離子界面活性劑、陰離子界面活性劑、兩性離子界面活性劑、非離子界面活性劑及其組合,包括(但不限於)癸基膦酸、十二烷基膦酸(DDPA)、十四烷基膦酸、十六烷基膦酸、雙(2-乙基己基)磷酸酯、十八烷基膦酸、全氟庚酸、全氟癸酸、三氟甲磺酸、膦醯基乙酸、十二烷基苯磺酸(DDBSA)、其他R1-苯磺酸或其鹽(其中R1為直鏈或分支鏈C8 -C18 烷基)、十二烯基丁二酸、二(十八烷基)磷酸氫鹽、十八烷基磷酸二氫鹽、十二胺、十二烯基丁二酸單二乙醇醯胺、月桂酸、棕櫚酸、油酸、杜松酸、12羥基硬脂酸、十八烷基膦酸(ODPA)、十二烷基磷酸酯。所涵蓋之非離子型界面活性劑包括(但不限於)聚氧化乙烯月桂基醚、十二烯基丁二酸單二乙醇醯胺、乙二胺肆(乙氧基化物-嵌段-丙氧基化物)四醇、聚乙二醇、聚丙二醇、聚乙烯或聚丙二醇醚、基於環氧乙烷及環氧丙烷之嵌段共聚物、聚氧丙烯蔗糖醚、第三辛基苯氧基聚乙氧基乙醇、10-乙氧基-9,9-二甲基癸-1-胺、分支鏈聚氧乙烯(9)壬基苯基醚、分支鏈聚氧乙烯(40)壬基苯基醚、二壬基苯基氧化乙烯、壬基苯酚烷氧基化物、聚氧化乙烯山梨糖醇六油酸酯、聚氧化乙烯山梨糖醇四油酸酯、聚乙二醇脫水山梨糖醇單油酸酯、脫水山梨糖醇單油酸酯、醇烷氧基化物、烷基-聚葡萄糖苷、全氟丁酸乙酯、1,1,3,3,5,5-六甲基-1,5-雙[2-(5-降冰片烯-2-基)乙基]三矽氧烷、單十八烷基矽烷衍生物、矽氧烷改質之聚矽氮烷、矽氧烷-聚醚共聚物及乙氧基化含氟界面活性劑。所涵蓋之陽離子型界面活性劑包括(但不限於)溴化鯨蠟基三甲銨(CTAB)、十七烷氟辛烷磺酸、四乙銨、氯化硬脂基三甲銨、溴化4-(4-二乙胺基苯基氮雜)-1-(4-硝基苯甲基)吡啶鎓、氯化十六烷基吡錠單水合物、苯紮氯銨、苄索氯銨、氯化苯甲基二甲基十二烷基銨、氯化苯甲基二甲基十六烷基銨、溴化十六烷基三甲銨、氯化二甲基二(十八烷基)銨、氯化十二烷基三甲銨、十六烷基三甲銨對甲苯磺酸酯、溴化二(十二烷基)二甲基銨、氯化二(氫化牛脂)二甲銨、溴化四(庚基)銨、溴化肆(癸基)銨、及奧芬溴銨、鹽酸胍(C(NH2 )3 Cl)、或三氟甲磺酸鹽(諸如三氟甲磺酸四丁銨)、氯化二甲基二(十八烷基)銨、溴化二甲基二(十六烷基)銨、氯化二(氫化牛脂)二甲銨、及聚氧乙烯(16)牛脂乙基銨乙基硫酸鹽。所涵蓋之陰離子界面活性劑包括(但不限於)聚(丙烯酸鈉鹽)、聚丙烯酸銨、聚氧乙烯月桂基醚鈉、二己基磺基丁二酸鈉、十二烷基硫酸鈉、二辛基磺基丁二酸鹽、2-磺基丁二酸鹽、2,4,7,9-四甲基-5-癸炔-4,7-二醇及其乙氧基衍生物、2,3-二巰基-1-丙磺酸鹽、二環己基磺基丁二酸鈉鹽、7-乙基-2-甲基-4-十一烷基硫酸鈉、磷酸鹽含氟界面活性劑、含氟界面活性劑及聚丙烯酸酯。兩性離子性界面活性劑包含(但不限於)炔二醇或經改質之炔二醇、環氧乙烷烷基胺、N,N-二甲基十二烷基胺N-氧化物、椰油胺丙酸鈉、3-(N,N-二甲基肉豆蔻基銨基)丙烷磺酸鹽及(3-(4-庚基)苯基-3-羥丙基)二甲基銨基丙烷磺酸鹽。
如本文所使用,用於自上面有氧化鈰粒子及CMP污染物之微電子裝置移除該等粒子及污染物之「適合性」對應於自微電子裝置至少部分移除該等粒子/污染物。清潔效果由在微電子裝置上物件之減少來評定。舉例而言,可使用原子力顯微鏡來進行清潔前分析及清潔後分析。樣品上之粒子可記錄為像素範圍。直方圖(例如,Sigma Scan Pro)可應用於以特定強度(例如,231-235)過濾像素及計數粒子之數目。粒子減少可使用以下計算:
Figure 02_image001
值得注意的是,清潔功效之測定方法僅提供為實例且並不意欲受限於該實例。可替代地,清潔功效可視為由粒子物質所覆蓋之全部表面的百分比。舉例而言,可對AFM進行編程以執行z平面掃描以鑑定在某一高度臨限值以上所關注之表面形貌區域,且隨後計算由所關注之該等區域覆蓋之總表面面積。熟習此項技術者將容易理解,由清潔後所關注之該等區域覆蓋之面積愈小,移除組合物愈有效。在某些實施例中,使用本文所述之組合物,自微電子裝置移除至少75%之粒子/污染物,移除至少90%、至少95%或至少99%之粒子/污染物。
如下文更充分地描述,本文所述之組合物可以廣泛多種特定調配物形式體現。
在所有該等組合物中,其中參考重量百分比範圍(包括零下限)論述組合物之特定組分,應理解該等組分可存在或不存在於組合物之各種特定實施例中,且在存在該等組分之實例中,以採用該等組分之組合物的總重量計,其可以低至0.00001重量百分比之濃度存在。
在第一態樣中,本發明提供一種組合物,其包含 (i)至少一種界面活性劑; (ii)至少一種pH調節劑; (iii)至少一種錯合劑; (iv)至少一種有機添加劑;及 (v)替代地至少一種親核化合物或還原劑,其中當該組合物具有約11至13.7之pH時,存在該親核化合物,以及其中當該組合物具有低於6之pH時,存在該還原劑。
在另一實施例中,本發明提供一種組合物,其包含 (i)至少一種界面活性劑; (ii)至少一種pH調節劑; (iii)至少一種錯合劑; (iv)至少一種有機添加劑;及 (v)至少一種親核化合物,其中該組合物具有約11至13.7之pH。
在另一實施例中,本發明提供一種組合物,其包含 (i)至少一種界面活性劑; (ii)至少一種pH調節劑; (iii)至少一種錯合劑; (iv)至少一種有機添加劑;及替代地 (v)至少一種還原劑,其中該組合物具有約4至6之pH。
如本文所用,術語「親核化合物」係指理解為化學反應中充當親核試劑之化合物。換言之,親核試劑為可向親電子劑供給電子對以形成與反應有關之化學鍵的化學物種。在本發明之情況下,在約11至13.7之較高pH範圍下,利用親核化合物且其用於破壞鈰-氧鍵;伴隨氧化鈰粒子之錯合用於促進其自微電子裝置表面之移除。
在一個實施例中,親核化合物為胺。實例包括單乙醇胺(MEA)、嗎啉、異丙胺、二異丙醇胺、二乙二醇胺、三乙胺、N-甲基嗎啉、甲基乙醇胺、N-胺基丙基嗎啉及3-胺基-丙醇。
其他親核化合物包括具有通式NR1 R2 R3 之物種,其中R1 、R2 及R3 可彼此相同或不同且選自氫、直鏈或分支鏈C1 -C6 烷基(例如,甲基、乙基、丙基、丁基、戊基及己基)、直鏈或分支鏈C1 -C6 羥烷基(例如,羥甲基、羥乙基、羥丙基、羥丁基、羥戊基及羥己基)及直鏈或分支鏈C1 -C6 羥烷基之C1 -C6 烷基醚,如上文所定義。在某些實施例中,R1 、R2 及R3 中之至少一者為直鏈或分支鏈C1 -C6 羥基烷基。實例包括(但不限於)烷醇胺,諸如胺基乙基乙醇胺、N-甲胺基乙醇、胺基乙氧基乙醇、二甲胺基乙氧基乙醇、二乙醇胺、N-甲基二乙醇胺、單乙醇胺(MEA)、三乙醇胺(TEA)、1-胺基-2-丙醇、2-胺基-1-丁醇、異丁醇胺、三乙二胺、三伸乙基四胺、二伸乙基三胺、甲基嗎啉、乙基嗎啉、嗎啉、3-胺基丙醇、二甲胺基丙-3-醇、二乙二醇胺、三乙胺、苯甲基胺、胺基甲基丙醇、二甲胺基丙醇胺其他C1 -C8 烷醇胺及其組合。當胺包括烷基醚組分時,胺可視為烷氧基胺,例如1-甲氧基-2-胺基乙烷。
在本發明處於低於6之較低pH範圍之情況下,且此可在1至3或1至6範圍內,利用還原劑且其用於破壞鈰-氧鍵;伴隨氧化鈰粒子之錯合用以促進其自微電子裝置之表面移除。如本文所用,涵蓋之還原劑包括選自以下之化合物:次磷酸(H3 PO2 )、抗壞血酸、L(+)抗壞血酸、異抗壞血酸、抗壞血酸衍生物、DEHA(二乙基羥胺)、亞硫酸、硫代硫酸鈉、硫代硫酸鉀、硫代硫酸銨、二亞硫磺酸鉀及其組合。
在一個實施例中,組合物實質上不含或不含氟化合物及氧化劑。
在一個實施例中,組合物進一步包含溶劑,該溶劑包含水。亦可存在有機添加劑。有機添加劑之實例包括二醇及二醇醚,包括但不限於甲醇、乙醇、異丙醇、丁醇及高級醇(諸如C2 -C4 二醇及C2 -C4 三醇)、四氫糠醇(THFA)、鹵化醇(諸如3-氯-1,2-丙二醇、3-氯-1-丙硫醇、1-氯-2-丙醇、2-氯-1-丙醇、3-氯-1-丙醇、3-溴-1,2-丙二醇、1-溴-2-丙醇、3-溴-1-丙醇、3-碘-1-丙醇、4-氯-1-丁醇、2-氯乙醇)、二氯甲烷、三氯甲烷、乙酸、丙酸、三氟乙酸、四氫呋喃N-甲基吡咯啶酮(NMP)、環己基吡咯啶酮、N-辛基吡咯啶酮、N-苯基吡咯啶酮、甲基二乙醇胺、甲酸甲酯、二甲基甲醯胺(DMF)、二甲亞碸(DMSO)、四亞甲基碸(環丁碸)、二乙醚、苯氧基-2-丙醇(PPh)、苯丙酮、乳酸乙酯、乙酸乙酯、苯甲酸乙酯、乙腈、丙酮、乙二醇、丙二醇(PG)、1,3-丙二醇、二噁烷、丁醯基內酯、碳酸伸丁酯、碳酸伸乙酯、碳酸伸丙酯、二丙二醇、二乙二醇單甲醚、三乙二醇單甲醚、二乙二醇單乙醚、三乙二醇單乙醚、乙二醇單丙醚、乙二醇單丁醚、二乙二醇單丁醚(亦即,丁基卡必醇)、三乙二醇單丁醚、乙二醇單己醚、二乙二醇單己醚、乙二醇苯醚、丙二醇甲醚、二丙二醇甲醚(DPGME)、三丙二醇甲醚(TPGME)、二丙二醇二甲醚、二丙二醇乙醚、丙二醇正丙醚、二丙二醇正丙醚(DPGPE)、三丙二醇正丙醚、丙二醇正丁醚、二丙二醇正丁醚、三丙二醇正丁醚、丙二醇苯醚、二丙二醇甲醚乙酸酯、四乙二醇二甲醚(TEGDE)、二元酯、丙三醇碳酸酯、N-甲醯基嗎啉、磷酸三乙酯、聚苯乙烯磺酸(PSSA)及其組合。
在各個實施例中,移除組合物可實質上不含以下中之至少一者:氧化劑;含氟化物源;氫氧化四甲基銨;化學機械研磨磨耗材料(例如二氧化矽、氧化鋁等);及腐蝕抑制劑,其選自由以下組成之群:三聚氰酸、巴比妥酸及其衍生物、葡糖醛酸、方形酸、α-酮酸、腺苷及其衍生物、核糖基嘌呤及其衍生物、嘌呤化合物及其衍生物、腺苷及腺苷衍生物之降解產物、三胺基嘧啶及其他經取代嘧啶、嘌呤-醣錯合物、啡啉、甘胺酸、菸鹼醯胺及其衍生物、類黃酮(諸如黃酮醇及花青素及其衍生物)、槲皮苷及其衍生物及其組合,隨後自微電子裝置移除殘餘物質。另外,移除組合物不應固化以形成聚合固體。
錯合劑可為多官能胺,包括(但不限於) 4-(2-羥乙基)嗎啉(HEM)、1,2-環己二胺-N,N,N,N'-四乙酸(CDTA)、羥乙基乙二胺三乙酸(HEDTA)、乙二胺四乙酸(EDTA)、間二甲苯二胺(MXDA)、亞胺二乙酸(IDA)、三甲胺、異丙醇胺、二異丙醇胺、哌嗪、羥乙基哌嗪、二羥乙基哌嗪、還原葡糖胺、N-甲基還原葡糖胺、2-(羥乙基)亞胺二乙酸(HIDA)、氮基三乙酸、硫脲、1,1,3,3-四甲基脲、脲、脲衍生物、尿酸、丙胺酸、精胺酸、天冬醯胺、天冬胺酸、半胱胺酸、麩胺酸、麩醯胺酸、組胺酸、異白胺酸、白胺酸、離胺酸、甲硫胺酸、苯丙胺酸、脯胺酸、絲胺酸、蘇胺酸、色胺酸、酪胺酸、纈胺酸、牛磺酸、甜菜鹼及其組合。
替代地或除前述錯合劑以外,其他錯合劑可包括膦酸鹽(例如,1-羥基亞乙基-1,1-二膦酸(HEDP)、1,5,9-三氮雜環十二烷-N,N',N''-參(亞甲基膦酸) (DOTRP)、1,4,7,10-四氮雜環十二烷-N,N',N'',N'''-肆(亞甲基膦酸) (DOTP)、氮基參(亞甲基)三膦酸、二亞乙基三胺五(亞甲基膦酸) (DETAP)、胺基三(亞甲基膦酸)、雙(六亞甲基)三胺五亞甲基膦酸、1,4,7-三氮雜環壬烷-N,N',N''-參(亞甲基膦酸) (NOTP)、羥乙基二磷酸鹽、氮基參(亞甲基)膦酸、2-膦醯基-丁烷-1,2,3,4-四甲酸、羧乙基膦酸、胺乙基膦酸、嘉磷塞(glyphosate)、乙二胺四(亞甲基膦酸)苯基膦酸、其鹽及其衍生物)及/或羧酸(例如,草酸、丁二酸、順丁烯二酸、蘋果酸、丙二酸、己二酸、鄰苯二甲酸、檸檬酸、檸檬酸鈉、檸檬酸鉀、檸檬酸銨、丙三羧酸、三羥甲基丙酸、吡啶甲酸、二吡啶甲酸、水楊酸磺基水楊酸、磺基鄰苯二甲酸、磺基丁二酸、無水甜菜鹼、葡萄糖酸,酒石酸、葡糖醛酸、2-羧基吡啶)及/或磺酸,諸如試鈦靈(trion)(4,5-二羥基-1,3-苯二磺酸二鈉鹽)。在某些實施例中,錯合劑包括氮基(參-亞甲基膦酸)及亞胺二乙酸。在某些實施例中,至少一種錯合劑包含選自單乙醇胺、三乙醇胺、硫酸、檸檬酸及其組合之物種。在一個實施例中,按移除組合物之總重量計,移除組合物中之一或多種錯合劑之量在約0.01 wt%至約10 wt%範圍內。
適合之pH調節劑包括酸及/或鹼。鹼包括(但不限於)氫氧化鉀、氫氧化銨(亦即氨)及具有式NR4 R5 R6 R7 OH之氫氧化四烷基銨化合物,其中R4 、R5 、R6 及R7 可彼此相同或不同且係選自由以下組成之群:氫、直鏈或分支鏈C1 -C6 烷基(例如,甲基、乙基、丙基、丁基、戊基及己基)、C1 -C6 羥烷基(例如,羥甲基、羥乙基、羥丙基、羥丁基、羥戊基及羥己基)及經取代之或未經取代之C6 -C10 芳基(例如,苯甲基)。市售氫氧化四烷基銨包括氫氧化四甲銨(TMAH)、氫氧化四乙銨(TEAH)、氫氧化四丙銨(TPAH)、氫氧化四丁銨(TBAH)、氫氧化三丁基甲銨(TBMAH)、氫氧化苯甲基三甲銨(BTMAH)、氫氧化膽鹼、氫氧化乙基三甲銨、氫氧化參(2-羥乙基)甲銨、氫氧化二乙基二甲銨及其組合。替代地或另外,pH調節劑可為具有式(PR8 R9 R10 R11 )OH之四級鹼,其中R8 、R9 、R10 及R11 可彼此相同或不同且係選自由以下組成之群:氫、直鏈C1 -C6 烷基(例如,甲基、乙基、丙基、丁基、戊基及己基)、分支鏈C1 -C6 烷基、C1 -C6 羥烷基(例如,羥甲基、羥乙基、羥丙基、羥丁基、羥戊基及羥己基)、經取代之C6 -C10 芳基、未經取代之C6 -C10 芳基(例如苯甲基)及其任何組合,諸如氫氧化四丁基鏻(TBPH)、氫氧化四甲基鏻、氫氧化四乙基鏻、氫氧化四丙基鏻、氫氧化苯甲基三苯基鏻、氫氧化甲基三苯基鏻、氫氧化乙基三苯基鏻、氫氧化N-丙基三苯基鏻。酸包括(但不限於)硝酸、硫酸、磷酸、鹽酸、氫溴酸、甲磺酸、苯磺酸、對甲苯磺酸、三氟甲磺酸、乙酸、乳酸、乙醇酸及其任何組合。在一個實施例中,pH調節劑選自KOH及CsOH中之至少一者。在另一實施例中,pH調節劑包含氫氧化膽鹼。在另一實施例中,pH調節劑包含氫氧化銨。在另一實施例中,pH調節劑包含KOH及本文中所列舉之至少一種額外氫氧化物。在再一個實施例中,pH調節劑包含KOH及氫氧化膽鹼及氫氧化銨中之至少一者。對於此等枚舉實施例中之任一者,pH調節劑可進一步包括至少一種酸,例如硝酸、硫酸、磷酸或其組合。
在本發明之一個實施例中,pH值將調節至高於約9,及在另一實施例中,高於13或約13.1。在本發明之一個實施例中,pH值將調節至低於6,或約4至約6,及在另一實施例中約6。
聚合物(若存在)包括(但不限於)甲基丙烯酸均聚物及與例如丙烯醯胺基甲基丙烷磺酸及順丁烯二酸之共聚物;順丁烯二酸/乙烯基醚共聚物;聚(乙烯吡咯啶酮)/乙酸乙烯酯;均聚物,諸如膦酸化聚乙二醇寡聚物、聚(丙烯酸) (PAA)、聚(丙烯醯胺)、聚(乙酸乙烯酯)、聚(乙二醇) (PEG)、聚丙二醇) (PPG)、聚(苯乙烯磺酸)、聚(乙烯基磺酸)、聚(乙烯基膦酸)、聚(乙烯基磷酸)、聚(伸乙亞胺)、聚(伸丙亞胺)、聚烯丙胺、聚氧化乙烯(PEO)、聚乙烯吡咯啶酮(PVP)、PPG-PEG-PPG嵌段共聚物、PEG-PPG-PEG嵌段共聚物、聚(乙烯醇)、聚(羥乙基)丙烯酸酯、聚(羥乙基)甲基丙烯酸酯、羥乙基纖維素、甲基羥乙基纖維素、羥丙基纖維素、甲基羥丙基纖維素、三仙膠、海藻酸鉀、果膠、羧甲基纖維素、葡糖胺、聚(二烯丙基二甲銨)氯化物、聚AMPS、PVA AMPS共聚物(其中「AMPS」表示聚(2-丙烯醯胺基-2-甲基-1-丙磺酸)、PEG化(亦即聚乙二醇化)甲基丙烯酸酯/丙烯酸酯共聚物、聚MADQuat及其共聚物、甲基丙烯酸二甲胺基酯聚合物及其共聚物、三甲銨甲基丙烯酸甲酯聚合物及其共聚物,及其組合。以上共聚物可為無規共聚物或嵌段共聚物。若存在,組合物中之一或多種聚合物之量按該組合物之總重量計在約0.0001重量%至約5重量%範圍內。
藉由簡單添加相應成分且混合至均質狀況,容易地調配該等組合物。此外,組合物可易於調配為單一包裝調配物或在使用時或使用前混合之多部分調配物,例如,多部分調配物之個別部分可在工具處或在工具上游之儲槽中混合。各別成分之濃度可以組合物之特定倍數廣泛變化,亦即更稀或更濃,且應瞭解,本文中所描述之組合物可不同地且可替代地包含符合本文中之揭示內容之成分的任何組合、由其組成或基本上由其組成。
關於組成比例,在某些實施例中,界面活性劑以約0.01至0.5重量%之量存在,(i)、(ii)、(iii)、(iv)及(v)之總和等於100重量%。在某些實施例中,錯合劑以約1至5重量%之量存在,(i)、(ii)、(iii)、(iv)及(v)之總和等於100重量%。在某些實施例中,有機添加劑以約0.5重量%至2.5重量%之量存在。在某些實施例中,組分(v)以約1至5重量%之量存在,(i)、(ii)、(iii)、(iv)及(v)之總和等於100重量%。
基於本文所揭示之pH值及熟習此項技術者之知識,pH調節劑之量視製備供使用之移除組合物時所尋求之最終pH而定。
組分之重量%比率之範圍將涵蓋組合物之所有可能經濃縮或經稀釋之實施例。為此,在一個實施例中,提供經濃縮之移除組合物,其可經稀釋以用作清潔溶液。濃縮組合物或「濃縮物」有利地准許使用者(例如CMP製程工程師)在使用時將濃縮物稀釋至所需強度及pH。濃縮水性移除組合物之稀釋可在約1:1至約2500:1、約5:1至約200:1或約20:1至約120:1範圍內,其中水性移除組合物在工具處或恰好在工具之前用溶劑(例如,去離子水)稀釋。熟習此項技術者應瞭解,在稀釋之後,本文所揭示的組分之重量百分比之範圍應保持不變。
本文所述之組合物之應用包括但不限於:蝕刻後殘餘物移除、灰化後殘餘物移除表面處理、電鍍後清潔及CMP後殘餘物移除。另外,預期本文中所描述之水性清潔組合物可適用於清潔及保護其他金屬(例如,含銅及含鎢)產品,包括(但不限於)使用金屬或金屬合金之裝飾性金屬、金屬線接合、印刷電路板及其他電子封裝。
在又一實施例中,本文中所描述之移除組合物進一步包括氧化鈰粒子及/或CMP污染物。氧化鈰粒子及污染物在清潔已開始之後變成移除組合物之組分且將溶解及/或懸浮於組合物中。
藉由簡單添加各別成分且混合至均質狀況,容易地調配出移除組合物。此外,組合物可易於調配為單一包裝調配物或在使用時或使用前混合之多部分調配物,例如,多部分調配物之個別部分可在工具處或在工具上游之儲槽中混合。各別成分之濃度可以組合物之特定倍數廣泛變化,亦即更稀或更濃,且應瞭解,本文中所描述之組合物可不同地且可替代地包含符合本文中之揭示內容之成分的任何組合、由其組成或基本上由其組成。
因此,另一態樣係關於一種套組,該套組在一或多個容器中包括經調適以形成本文中所描述之組合物之一或多種組分。套組可在一或多個容器中包括(i)至少一種界面活性劑;(ii)至少一種pH調節劑;(iii)至少一種錯合劑;(iv)至少一種有機添加劑;及替代地(v)至少一種親核化合物或還原劑,用於在製造時或使用時與額外溶劑(例如水)組合。套組之容器必須適合於儲存及運送組合物,且可為例如NOWPak®容器(Entegris, Inc., Billerica, Mass., USA)。
在一個實施例中,含有水性移除組合物之組分的一或多個容器包括用於使該一或多個容器中之組分流體連通以便摻合及分配的構件。舉例而言,參看NOWPak®容器,可向該一或多個容器中內襯之外部施加氣體壓力以使得內襯之內容物的至少一部分釋放且因此使得能夠流體連通以便摻合及分配。替代地,可向可用於使得能夠流體連通之習知可加壓容器或泵的頂部空間施加氣體壓力。另外,在某些實施例中,系統包括用於將經摻合移除組合物分配至處理工具之施配口。
當應用於微電子製造操作時,有效地採用本文所描述之移除組合物以自微電子裝置之表面清潔氧化鈰粒子及/或CMP污染物(例如,CMP後殘餘物及污染物)。移除組合物並不損害裝置表面上之低k介電材料(例如,氧化矽)、氮化矽層或含鎢層。在一個實施例中,在粒子移除之前,水性移除組合物移除至少85%、至少90%、至少95%或至少99%之存在於裝置上之氧化鈰粒子。
因此,在另一態樣中,本發明提供一種自上面有氧化鈰粒子及化學機械研磨污染物之微電子裝置移除該等粒子及污染物的方法,該方法包含: (i)使微電子裝置與本發明之組合物接觸;及 (ii)至少部分自該微電子裝置移除該等粒子及污染物,其中該微電子裝置包含選自多晶矽、PETEOS及氮化矽之基板。
在CMP後粒子及污染物移除應用中,本文所描述之水性移除組合物可與多種習知清潔工具一起使用,該等習知清潔工具諸如超高頻音波及刷子洗滌,包括(但不限於)Verteq單晶圓超高頻音波Goldfinger、OnTrak系統DDS(雙側洗滌器)、SEZ或其他單晶圓噴霧沖洗液、Applied Materials Mirra-Mesa™/Reflexion™/Reflexion LK™及Megasonic分批濕式清洗台系統。
在使用本文中所描述之組合物用於自上面有氧化鈰粒子及CMP污染物之微電子裝置移除該等粒子及污染物時,通常在約20℃至約90℃或約20℃至約50℃範圍內之溫度下,使水性移除組合物與裝置接觸約5秒至約10分鐘,或約1秒至20分鐘,或約15秒至約5分鐘之時間。該等接觸時間及溫度為說明性的,且在該方法之廣泛實踐內,可採用有效地自裝置至少部分移除氧化鈰粒子及CMP污染物的任何其他適合之時間及溫度條件。「至少部分清潔」及「實質上移除」在某些實施例中均對應於在粒子移除之前移除存在於裝置上之至少85%、至少90%、至少95%或至少99%之氧化鈰粒子。
在達成所需粒子移除操作之後,水性移除組合物可易於自先前已施加有水性移除組合物之裝置移除,如在本文所述之組合物之既定最終用途應用中可為所需且有效的。在一個實施例中,沖洗溶液包括去離子水。其後,可使用氮氣或旋轉乾燥循環來乾燥該裝置。
又另一態樣係關於根據本文中所描述之方法製得之經改良微電子裝置且關於含有此類微電子裝置之產品。
另一態樣係關於再循環水性移除組合物,其中如熟習此項技術者容易確定,該移除組合物可再循環直至粒子及/或污染物負載達至水性移除組合物可容納之最大量為止。
又一態樣係關於製造包含微電子裝置之物品之方法,該方法包含使微電子裝置與水性移除組合物接觸,持續足以自上面有氧化鈰粒子及CMP污染物之微電子裝置移除該等粒子及污染物的時間,及將該微電子裝置併入至該物品中,使用本文所描述之移除組合物。
在另一態樣中,描述自上面有氧化鈰粒子及CMP污染物之微電子裝置移除該等粒子及污染物的方法。該方法包含用CMP漿料研磨該微電子裝置,其中該CMP漿料包含氧化鈰粒子;使該微電子裝置與水性移除組合物接觸,該水性移除組合物包含至少一種pH調節劑、至少一種還原劑、至少一種有機添加劑、水、視情況選用之至少一種錯合劑、視情況選用之至少一種聚合物及視情況選用之至少一種氧氣清除劑,持續足以自微電子裝置移除氧化鈰粒子及CMP污染物以形成CMP後含粒子之組合物的時間;及持續使微電子裝置與CMP後含粒子之組合物接觸持續足以實現微電子裝置之實質上清潔的時間量。上文所描述之組分中之任一者可用於本發明移除氧化鈰粒子及CMP污染物之方法中。
本發明可藉由其較佳實施例之以下實例進一步說明,但除非另外具體指示,否則應瞭解此等實例僅出於說明之目的包括在內且不意欲限制本發明之範疇。
實驗部分 在以下實例1至28中,除在此等實例中用作鈰-氧鍵破壞劑之親核化合物(嗎啉)之外,亦使用氫氧化膽鹼將各組合物調節至約13.1之pH。
實例 親核試劑 /pH 調節劑 錯合劑 小分子添加劑 小分子添加劑 / 界面活性劑 基板 / 總面積,藉由暗視野顯微鏡所測定
1 嗎啉+膽鹼 HEDP (0.75%) PnB (1%) ---- 10838
2 嗎啉+膽鹼 HEDP (2.5%) PnB (1%) ---- 31954
3 嗎啉+膽鹼 HEDP (0.75%) PnB (2.5%) ---- 20115
4 嗎啉+膽鹼 HEDP (0.75%) PnB (1%) H3PO2 (1.5%) 78587
5 嗎啉+膽鹼 HEDP (0.75%) PnB (1%) 檸檬酸(2%) 1117271
6 嗎啉+膽鹼 HEDP (0.75%)    苯氧基-2-丙醇(0.5%) 13564
7 嗎啉+膽鹼 HEDP (2.5%) PnB (2.5%) ---- 10067
8 嗎啉+膽鹼 HEDP (2.5%) ---- 2-[1-乙基-2-(16-甲基七癸基)-4,5-二氫咪唑-1-鎓-1-基]乙醇;硫酸乙酯 5197
9 嗎啉+膽鹼 HEDP (0.75%) ---- 聚(乙二醇)-嵌段-聚(丙二醇)-嵌段-聚(乙二醇) 1670
10 嗎啉+膽鹼 HEDP (0.75%) ---- 二丙二醇二甲醚 8312
11 嗎啉+膽鹼 HEDP (0.75%) ---- 二乙二醇苯基醚 6915
12 嗎啉+膽鹼 HEDP (0.75%) ---- 甲磺酸 20669
13 嗎啉+膽鹼 HEDP (0.75%) ---- 三乙二醇單丁醚 3000
14 嗎啉+膽鹼 HEDP (0.75%) ---- 二乙二醇單正丁基醚 7658
15 嗎啉+膽鹼 HEDP (0.75%) ---- 乙二醇單己基醚 4115
16 嗎啉+膽鹼 ---- ---- 胺基參(亞甲基膦酸),ATMP及鹽 9440
17 嗎啉+膽鹼 HEDP (0.75%) ---- 聚乙二醇對(1,1,3,3-四甲基丁基)苯基醚 252
18 嗎啉+膽鹼 HEDP (0.75%) ---- 聚乙二醇 28118
19 嗎啉+膽鹼 HEDP (0.75%) ---- 2,4,7,9-四甲基-5-癸炔-4,7-二醇 2248
20 嗎啉+膽鹼 HEDP (0.75%) ---- 二甲亞碸 23432
21 嗎啉+膽鹼 HEDP (0.75%) ---- 丙二醇甲醚 15384
22 嗎啉+膽鹼 HEDP (0.75%) ---- 2-羥乙基纖維素 93233
23 嗎啉+膽鹼 HEDP (0.75%) ---- 2,3,4,5-四氫噻吩-1,1-二氧化物 21484
24 嗎啉+膽鹼 HEDP (0.75%) ---- 乙氧基化2,4,7,9-四甲基-癸-5-炔-4,7-二醇(CAS編號9014-85-1)(≥70%及<90%)及四甲基-癸-5-炔-4,7-二醇 579
25 嗎啉+膽鹼 HEDP (0.75%) ---- 椰油醯胺丙基甜菜鹼 7836
26 嗎啉+膽鹼 HEDP (0.75%) ---- 聚(乙二醇)-嵌段-聚(丙二醇)-嵌段-聚(乙二醇) 1542
27 嗎啉+膽鹼 HEDP (0.75%) ---- 聚乙二醇脫水山梨糖醇單油酸酯 8721
28 嗎啉+膽鹼 HEDP (0.75%) ---- 哌嗪 12141
在以下實例中,將基板試片置放於氧化鈰漿料中5分鐘,隨後置放於去離子水(DIW)中30秒以移除任何吸附或任何鬆散結合之物種。將DIW處理之試片置放於清潔劑溶液中1分鐘,隨後用DIW沖洗30秒。將基板風乾且經由掃描電子顯微學(SEM)方法計算由氧化鈰覆蓋之其餘區域。在此等實例中,使用氫氧化膽鹼將水性組合物之pH調節至約6.1。
實例 基礎添加劑 其他添加劑 / 界面活性劑 基板 / 總面積,藉由暗視野顯微鏡所測定
29 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 二醇醚 4812
30 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 2-[1-乙基-2-(16-甲基七癸基)-4,5-二氫咪唑-1-鎓-1-基]乙醇;硫酸乙酯 217062
31 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 聚(乙二醇)-嵌段-聚(丙二醇)-嵌段-聚(乙二醇) 24491
32 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 二丙二醇二甲醚 5252
33 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 二乙二醇苯基醚 3278
34 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 三乙二醇單丁醚(丁基卡必醇) 3084
35 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 乙二醇單己醚 4978
36 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 胺基參(亞甲基膦酸),ATMP及鹽 3857
37 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 聚乙二醇對(1,1,3,3-四甲基丁基)苯基醚 114865
38 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 2,4,7,9-四甲基-5-癸炔-4,7-二醇(Surfynol 104) 3146
39 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 二甲亞碸 8234
40 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 非離子清潔劑,(平均具有40個乙氧基之乙氧基化烷基酚) 13090
41 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 椰油醯胺丙基甜菜鹼 40573
42 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 聚(乙二醇)-嵌段-聚(丙二醇)-嵌段-聚(乙二醇) 16818
43 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 聚乙二醇脫水山梨糖醇單油酸酯 3237
44 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 可可烷基雙(羥乙基)甲基,乙氧基化,硫酸甲酯(鹽) 10460
45 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 乙氧基化2,4,7,9-四甲基-癸-5-炔-4,7-二醇(CAS編號9014-85-1)(≥70%及<90%)及四甲基-癸-5-炔-4,7-二醇 21517
46 檸檬酸:6% PPh:0.5% H3 PO2 :1.5% H2 SO4 :0.99% NH4 OH:2.5-2.7% 膽鹼:0.45% 2,3,4,5-四氫噻吩-1,1-二氧化物 199915
在以下實例中,缺陷藉由在AMAT Mirra工具上用市售氧化鈰漿料研磨毯覆式TEOS晶圓且接著使用Entegris Planarcore®刷進行刷洗清潔來測定。隨後在KLA-Tencore SP1上分析晶圓之110 nm臨限值下之總缺陷。
實例 基礎添加劑 其他添加劑 pH 總缺陷計數
47 3% AA + 1% CA + 1% H2SO4 + 1% AcAc + 6% DMSO + NH4OH 無添加劑 2 564
48 3% AA + 1% CA + 1% H2SO4 + 1% AcAc + 6% DMSO + NH4OH 0.5% PAA 1.5 179
49 3% AA + 1% CA + 1% H2SO4 + 1% AcAc + 6% DMSO + NH4OH 1% HEPES 1.5 606
50 3% AA + 1% CA + 1% H2SO4 + 1% AcAc + 6% DMSO + NH4OH 1% HNO3 1.5 665
51 3% AA + 1% CA + 1% H2SO4 + 1% AcAc + 6% DMSO + NH4OH 1%吡啶甲酸 1.5 783
52 3% AA + 1% CA + 1% H2SO4 + 1% AcAc + 6% DMSO + NH4OH 0.5% PEG (mw 10000) 1.5 395
53 3% AA + 1% CA + 1% H2SO4 + 1% AcAc + 6% DMSO + NH4OH 0.5% ALS 1.5 848
54 3% AA + 1% CA + 1% H2SO4 + 1% AcAc + 6% DMSO + NH4OH 0.01% HEC 1.5 381
55 6% AA + 1% CA + 2.5% AcAc + 1% HNO3 PAA = 0.5 + TGME = 2.5 6 95
56 6% AA + 1% CA + 2.5% AcAc + 1% HNO3 乙酸 = 5% 1.5 119      
因此,根據所描述之本發明之若干說明性實施例,熟習此項技術者將易於理解其他實施例可在此隨附申請專利範圍之範疇內製作及使用。本文件所涵蓋之本發明之許多優點已闡述於前述描述中。然而,應理解,本發明在許多方面僅為說明性的。可在不超過本發明之範疇的情況下尤其在部件之形狀、尺寸及佈置方面作出改變。當然,本發明範疇係以所表達之所附申請專利範圍之語言來定義。
圖1為在化學機械研磨後(CMP後)但在用本發明之組合物處理之前多晶矽基板之掃描電子顯微照片(SEM)。
圖2為在用實例9之組合物處理之後CMP後多晶矽基板之SEM。
圖3為在用實例17之組合物處理之後CMP後多晶矽基板之SEM。
圖4為在用實例19之組合物處理之後CMP後多晶矽基板之SEM。
圖5為在化學機械研磨後但在用本發明之組合物處理之前PETEOS基板之SEM。
圖6為在用實例14之組合物處理之後CMP後PETEOS基板之SEM。
圖7為在用實例25之組合物處理之後CMP後PETEOS基板之SEM。
圖8為在化學機械研磨後但在用本發明之組合物處理之前氮化矽基板之SEM。
圖9為在用實例7之組成物處理之後CMP後氮化矽基板之SEM。
圖10為在用實例24之組合物處理之後CMP後氮化矽基板之SEM。

Claims (11)

  1. 一種組合物,其包含 (i)至少一種界面活性劑; (ii)至少一種pH調節劑; (iii)至少一種錯合劑; (iv)至少一種有機添加劑;及 (v)替代地至少一種親核化合物或還原劑,其中當該組合物具有約11至13.7之pH時,存在該親核化合物,以及其中當該組合物具有低於6之pH時,存在該還原劑。
  2. 如請求項1之組合物,其中該錯合劑以約1至5重量%之量存在,(i)、(ii)、(iii)、(iv)及(v)之總和等於100重量%。
  3. 如請求項1之組合物,其中該親核化合物係選自嗎啉、單乙醇胺、異丙胺、二異丙醇胺、二乙二醇胺、三乙胺、N-甲基嗎啉、甲基乙醇胺、N-胺基丙基嗎啉及3-胺基-丙醇。
  4. 如請求項1之組合物,其中該還原劑為次磷酸。
  5. 如請求項1之組合物,其中該pH調節劑係選自氫氧化膽鹼、氫氧化銨、氫氧化鉀、氫氧化銫、氫氧化四乙銨、氫氧化乙基三甲銨、氫氧化甲基三乙銨、氫氧化二乙基二甲銨。
  6. 如請求項1之組成物,其中該錯合劑為1-羥乙烷-1,1-二膦酸。
  7. 如請求項1之組合物,其中該有機添加劑係選自丙二醇丁醚、二乙二醇單丁醚、三乙二醇單丁醚、乙二醇單丁醚、乙二醇單己醚及環丁碸。
  8. 如請求項1之組成物,其中該界面活性劑選自2,4,7,9-四甲基-5-癸炔-4,7-二醇、聚乙二醇2,4,7,9-四甲基-5-癸炔-4,7-二醇、聚(乙二醇)/聚丙二醇共聚物、壬基苯酚乙氧化物及脂肪醇乙氧化物。
  9. 如請求項1之組合物,其包含: (i)丙二醇正丁醚; (ii)氫氧化膽鹼; (iii)1-羥乙烷-1,1-二膦酸; (iv)嗎啉;及 (v)水。
  10. 如請求項1之組合物,其包含: (i)三乙二醇單丁醚及丙二醇甲醚中之至少一者; (ii)氫氧化膽鹼; (iii)1-羥乙烷-1,1-二膦酸; (iv)嗎啉;及 (v)水。
  11. 一種用於自上面有氧化鈰粒子及化學機械研磨污染物之微電子裝置移除該等粒子及污染物的方法,該方法包含: (i)使該微電子裝置與包含如下之組合物接觸:至少一種界面活性劑;至少一種pH調節劑;至少一種錯合劑;至少一種有機添加劑;及替代地至少一種親核化合物或還原劑,其中當該組合物具有約11至13之pH時,存在該親核化合物,以及其中當該組合物具有低於6之pH時,存在該還原劑。
TW108141569A 2018-12-10 2019-11-15 化學機械研磨後清潔組合物 TWI821455B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862777478P 2018-12-10 2018-12-10
US62/777,478 2018-12-10

Publications (2)

Publication Number Publication Date
TW202026409A true TW202026409A (zh) 2020-07-16
TWI821455B TWI821455B (zh) 2023-11-11

Family

ID=70972502

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108141569A TWI821455B (zh) 2018-12-10 2019-11-15 化學機械研磨後清潔組合物

Country Status (6)

Country Link
US (1) US11124740B2 (zh)
JP (1) JP7249414B2 (zh)
KR (1) KR102545630B1 (zh)
CN (1) CN113166684A (zh)
TW (1) TWI821455B (zh)
WO (1) WO2020123112A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI827018B (zh) * 2021-06-02 2023-12-21 南韓商Sk恩普士股份有限公司 拋光墊及使用其之用於製備半導體裝置的方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114746986A (zh) * 2019-12-03 2022-07-12 三菱化学株式会社 铈化合物除去用清洗液、清洗方法和半导体晶片的制造方法
KR102677822B1 (ko) * 2020-09-25 2024-06-25 가부시키가이샤 후지미인코퍼레이티드 산화제를 함유하는 세정제를 사용한 효율적인 cmp-후 결함 저감
WO2022076265A1 (en) 2020-10-05 2022-04-14 Entegris, Inc. Post cmp cleaning compositions
KR20230056230A (ko) * 2021-10-20 2023-04-27 에스케이하이닉스 주식회사 Cmp 후 세정액 조성물
WO2023096862A1 (en) * 2021-11-23 2023-06-01 Entegris, Inc. Microelectronic device cleaning composition
CN114199657B (zh) * 2021-11-23 2024-08-16 万华化学集团股份有限公司 一种金相侵蚀剂以及金相组织的显示方法
KR102558255B1 (ko) * 2022-10-06 2023-07-20 윤새길 요소수 백화현상 세정 첨가제 및 그 제조방법

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6676492B2 (en) 1998-12-15 2004-01-13 Chou H. Li Chemical mechanical polishing
US20030047710A1 (en) 2001-09-13 2003-03-13 Nyacol Nano Technologies, Inc Chemical-mechanical polishing
US7314578B2 (en) 2003-12-12 2008-01-01 Samsung Electronics Co., Ltd. Slurry compositions and CMP methods using the same
KR100679008B1 (ko) 2005-05-18 2007-02-06 유청 반도체 소자의 세정 조성물
US7700533B2 (en) 2005-06-23 2010-04-20 Air Products And Chemicals, Inc. Composition for removal of residue comprising cationic salts and methods using same
US20090133716A1 (en) * 2007-10-29 2009-05-28 Wai Mun Lee Methods of post chemical mechanical polishing and wafer cleaning using amidoxime compositions
US8765653B2 (en) * 2009-07-07 2014-07-01 Air Products And Chemicals, Inc. Formulations and method for post-CMP cleaning
US7947130B2 (en) * 2009-10-24 2011-05-24 Wai Mun Lee Troika acid semiconductor cleaning compositions and methods of use
JP2012072267A (ja) * 2010-09-28 2012-04-12 Sanyo Chem Ind Ltd 電子材料用洗浄剤
EP3243213A4 (en) 2015-01-05 2018-08-08 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
KR20210090294A (ko) * 2017-01-18 2021-07-19 엔테그리스, 아이엔씨. 표면으로부터 세리아 입자를 제거하기 위한 조성물 및 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI827018B (zh) * 2021-06-02 2023-12-21 南韓商Sk恩普士股份有限公司 拋光墊及使用其之用於製備半導體裝置的方法

Also Published As

Publication number Publication date
CN113166684A (zh) 2021-07-23
JP7249414B2 (ja) 2023-03-30
JP2022513197A (ja) 2022-02-07
US11124740B2 (en) 2021-09-21
WO2020123112A1 (en) 2020-06-18
US20200181535A1 (en) 2020-06-11
TWI821455B (zh) 2023-11-11
KR102545630B1 (ko) 2023-06-21
KR20210071090A (ko) 2021-06-15

Similar Documents

Publication Publication Date Title
TWI821455B (zh) 化學機械研磨後清潔組合物
TWI703210B (zh) 化學機械研磨後調配物及使用方法
JP7443300B2 (ja) セリア粒子を表面から除去するための組成物及び方法
TW202016277A (zh) 用於鈰粒子之化學機械研磨後(post cmp)清潔組合物
TWI801713B (zh) 氧化鈰移除組成物
TWI718742B (zh) 化學機械研磨後(post cmp)清潔組合物
US20230323248A1 (en) Post cmp cleaning composition
US20220106541A1 (en) Microelectronic Device Cleaning Composition
TW202340442A (zh) 微電子裝置清潔組合物
US20230159866A1 (en) Microelectronic device cleaning composition
CN118369411A (zh) 微电子装置清洁组合物