TW202018439A - 半導體裝置的製造方法 - Google Patents

半導體裝置的製造方法 Download PDF

Info

Publication number
TW202018439A
TW202018439A TW108133960A TW108133960A TW202018439A TW 202018439 A TW202018439 A TW 202018439A TW 108133960 A TW108133960 A TW 108133960A TW 108133960 A TW108133960 A TW 108133960A TW 202018439 A TW202018439 A TW 202018439A
Authority
TW
Taiwan
Prior art keywords
pattern
mask
opc
wafer
manufacturing
Prior art date
Application number
TW108133960A
Other languages
English (en)
Other versions
TWI730418B (zh
Inventor
黃旭霆
劉如淦
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202018439A publication Critical patent/TW202018439A/zh
Application granted granted Critical
Publication of TWI730418B publication Critical patent/TWI730418B/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Geometry (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本揭露實施例提供一種半導體裝置的製造方法。上述製造方法包括對IC佈局圖案執行光學鄰近校正(OPC)製程,以產生後OPC佈局圖案。在一些實施例中,上述製造方法更包括對後OPC佈局圖案施用光罩製程校正(MPC)模型,以產生模擬光罩圖案。舉例來說,模擬光罩圖案被拿來與光罩圖案進行比較,其中光罩圖案係計算自目標晶圓圖案。其後,且基於上述比較,決定MPC製程的一結果。

Description

半導體裝置的製造方法
本揭露係有關於一種光罩製程校正製程,特別係關於光罩製程校正(MPC)製程是否將改進特定圖案之最終晶圓印刷的指示。
電子工業對更小及更快的電子裝置的需求不斷增加,這些電子裝置同時能夠支持更多數量之日益複雜及精密的功能。因此,半導體工業中存在製造低成本、高性能及低功率積體電路(integrated circuit, IC)的持續趨勢。到目前為止,這些目標已經在很大程度上藉由微縮半導體IC尺寸(例如:最小特徵尺寸)並藉此提高生產效率及降低相關成本來實現。然而,這種微縮亦增加了半導體製造製程的複雜性。因此,為實現半導體IC及裝置的持續進步,需要在半導體製造製程及技術上存在類似的進步。
僅作為一個範例,IC尺寸的微縮已藉由擴展給定之微影世代(lithography generation)可用解析度(usable resolution)而達成,其中可用解析度的擴展是藉由使用一或多種解析度增強技術(resolution enhancement technology, RET)為之,例如相移光罩(phase shift mask, PMS)、偏軸照射(off-axis illumination, OAI)、以及光學鄰近校正(optical proximity correction, OPC)。然而,由於光罩製作製程期間各種不同的製造因素,形成於光罩上的光罩圖案可能會不同於所希望的光罩佈局。為了補償在光罩製作製程期間所引入的缺陷,可以在OPC製程之後,施用光罩製程校正(mask process correction, MPC)。藉由使用MPC,寫入之光罩圖案應與後OPC(post-OPC)光罩佈局匹配(match)。然而,採用MPC製程可能不一定會有所幫助,舉例來說,因為OPC模型可能已經補償了一些光罩鄰近效應(mask proximity effect),且因為OPC及MPC模型本身都存在誤差。因此,現有技術並非在所有方面都被證明是完全令人滿意的。
本揭露實施例提供用於製造半導體裝置的一種方法。上述方法包括對一積體電路(IC)佈局圖案執行光學鄰近校正(OPC)製程,以產生後OPC佈局圖案。在一些實施例中,上述方法更包括對後OPC佈局圖案施用光罩製程校正模型(MPC model),以產生模擬光罩圖案。舉例來說,模擬光罩圖案被拿來與計算自目標晶圓圖案的光罩圖案進行比較。其後,且基於上述比較,決定光罩製程校正(MPC)製程的一結果。
本揭露實施例提供用於製造半導體裝置的一種方法。上述方法包括提供一後光學鄰近校正(OPC)佈局圖案,並基於上述後OPC佈局圖案以及使用一後OPC校正製程,以模擬第一光罩圖案。在一些實施例中,上述方法更包括基於來自一物理量測之圖案化晶圓的資料以及使用不具光罩角圓化條件的光學鄰近校正模型,以模擬第二光罩圖案。在多種案例中,模擬之第一光罩圖案被拿來與模擬之第二光罩圖案進行比較。在一些實施例中,且基於上述比較,決定上述後OPC校正製程是否將改進最終晶圓圖案。
本揭露實施例提供用於製造半導體裝置的一種方法。上述方法包括使用一後光學鄰近校正(OPC)佈局圖案製造一光罩,並執行上述光罩的關鍵尺寸(CD)-掃描式電子顯微鏡(SEM)量測,以提供一經關鍵尺寸-掃描式電子顯微鏡量測之光罩圖案。在一些實施例中,上述方法更包括提供一經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案。舉例來說,使用不具光罩角圓化條件的光學鄰近校正模型,以對上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案執行反向製程,其中反向製程提供計算自上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案的一光罩圖案。在一些實施例中,上述方法更包括執行一比較操作,將上述經關鍵尺寸-掃描式電子顯微鏡量測之光罩圖案與計算自上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案的上述光罩圖案進行比較。並在一些範例中,基於上述比較操作,決定光罩製程校正(MPC)製程的一結果。
以下之揭露提供許多不同實施例或範例,用以實施本揭露之不同特徵。本揭露之各部件及排列方式,其特定範例敘述於下以簡化說明。理所當然的,這些範例並非用以限制本揭露。舉例來說,若敘述中有著第一特徵成形於第二特徵之上或上方,其可能包含第一特徵與第二特徵以直接接觸成形之實施例,亦可能包含有附加特徵形成於第一特徵與第二特徵之間,而使第一特徵與第二特徵間並非直接接觸之實施例。此外,本揭露可在多種範例中重複參考數字及/或字母。該重複之目的係為簡化及清晰易懂,且本身並不規定所討論之多種實施例及/或配置間之關係。
進一步來說,本揭露可能會使用空間相對術語,例如「在…下方」、「下方」、「低於」、「在…上方」、「高於」及類似詞彙,以便於敘述圖式中一個元件或特徵與其他元件或特徵間之關係。除了圖式所描繪之方位外,空間相對術語亦欲涵蓋使用中或操作中之裝置其不同方位。設備可能會被轉向不同方位(旋轉90度或其他方位),而此處所使用之空間相對術語則可相應地進行解讀。
本揭露大致上係有關於一種光罩製程校正(mask process correction, MPC)製程,且特別係涉及提供關於MPC製程是否將改進特定圖案之最終晶圓印刷(final wafer printing)的指示。當前,由於光罩製作製程期間各種不同的製造因素,因此形成於光罩(mask)上的光罩圖案可能會不同於所希望的光罩佈局。為了補償在光罩製作製程期間所引入的缺陷,可以在OPC製程之後,施用MPC製程。因此,在一些範例中,MPC製程可以等效地被稱為後OPC(post-OPC)校正製程。藉由使用MPC,寫入之光罩圖案應與後OPC光罩佈局匹配(match)。然而,採用MPC製程可能不一定會有所幫助(但可能直到晶圓被圖案化後才知道)。舉例來說,因為OPC模型可能已經補償了一些光罩鄰近效應(mask proximity effect),且因為OPC及MPC模型本身都存在誤差。舉例來說,作為光罩鄰近效應的結果,OPC模型可能包括光罩角圓化條件(mask corner rounding term),其中這種光罩角圓化條件由MPC製程處理更為適當。舉例來說,光罩佈局中的各種形狀可能不會以完美的保真度(fidelity)轉移到光罩上(例如:在光罩製造期間)。具體來說,光罩佈局中的銳角可能在光罩製造期間變得「圓化(rounded)」。這種效應被稱為「光罩角圓化」。此外,其他OPC模型條件可被調整,以補償OPC模型校準(calibration)期間的光罩製造效應,其中這些條件由MPC製程處理更為適當。更甚者,現有技術並不會提供MPC製程是否將會改進最終晶圓印刷的指示。
本揭露實施例提供優於現有技術的優點,但應理解的是,其他實施例可提供不同的優點,且並非所有優點都必須於本文中討論,同時並非所有實施例都需要特定的優點。藉由所揭露的方法,現有MPC製程之缺點得到了有效的克服。舉例來說,本揭露實施例提供反向方法(例如:反向微影技術(inverse lithography technology, ILT)),以將晶圓圖案反轉為光罩圖案(例如:創建反向光罩圖案)。出於本討論之目的,反向光罩圖案可包括計算自給定之目標空中影像(target aerial image)或計算自目標圖案(例如:晶圓圖案(目標晶圓圖案))的光罩圖案。在一些實施例中,用於將晶圓圖案反轉為光罩圖案的反向方法,使用不具光罩角圓化條件的OPC模型。在一些實施例中,藉由將反向光罩圖案與使用MPC模型之計算/模擬的光罩圖案進行比較,可預先決定MPC製程是否會改進最終晶圓印刷。藉由提供在OPC製程後所使用之MPC製程是否將實際改進晶圓印刷的早期指示,可以避免額外之光罩製造及晶圓印刷的時間及成本。於本技術領域具有通常知識者將能輕易理解,本文所述之方法在不脫離本揭露之範圍的情況下,可被應用於各種半導體佈局、半導體裝置、以及半導體製程,以有利地實現與本文描述的那些類似的益處。
第1圖所示之簡化方塊圖,係積體電路(IC)製造系統100及相關之IC製造流程的實施例,其可受益於本揭露之多種態樣。IC製造系統100包括複數實體(entity),例如設計工作室120(design house)、光罩工作室130(mask house)、以及IC製造廠150(IC manufacturer, 即製造區(fab)),它們在與製造IC裝置160有關的設計、研發、製造週期(manufacturing cycle)及/或服務中彼此互動。複數實體由通訊網路所連結,通訊網路可為單一網路或各種不同網路,例如內部網路(intranet)及網際網路,且可包括有線及/或無線通訊通道。每個實體可與其他實體互動,且可向其他實體提供服務及/或自其他實體接收服務。一或多個設計工作室120、光罩工作室130、以及IC製造廠150可具有相同的擁有者,且可共存於共用設施(common facility)中及使用共用資源。
在多種實施例中,可包括一或多個設計團隊的設計工作室120產生IC設計佈局122。IC設計佈局122可包括為IC裝置160的製造而設計的各種幾何圖案(geometrical pattern)。舉例來說,幾何圖案可對應金屬、氧化物或半導體薄層的圖案,這些金屬、氧化物或半導體薄層構成將被製造之IC裝置160的各種組件。各種薄層被組合以形成IC裝置160的各種特徵。舉例來說,IC設計佈局的各個部分可包括的特徵例如主動區(active region)、閘極電極(gate electrode)、源極及汲極區(source and drain region)、金屬互連(metal interconnect)之金屬線或通孔(via)、用於焊墊(bond pad)的開口、以及於本技術領域習知之形成於半導體基板(例如:矽晶圓)中的其他特徵和設置於半導體基板上的各種材料層。於多種實施例中,設計工作室120執行設計程序以形成IC設計佈局122。設計程序可包括邏輯設計、實體設計(physical design)、及/或佈局佈線(place and route)。IC設計佈局122可呈現於一或多個資料檔案中,資料檔案具有與將用於製造IC裝置160之幾何圖案有關的資訊。在一些範例中,IC設計佈局122可表示為開放式原圖系統交換標準(Open Artwork System Interchange Standard, OASIS)檔案格式、圖形資料庫系統Ⅱ(GDSⅡ)檔案格式、或是DFⅡ檔案格式。
在一些實施例中,設計工作室120可將IC設計佈局122傳送到光罩工作室130,舉例來說,經由上述網路連接進行傳送。接著,光罩工作室130可使用IC設計佈局122以製造一或多個光罩,這些光罩將被用於根據IC設計佈局122來製造IC裝置160的多種薄層。在各種範例中,光罩工作室130執行光罩資料準備132,其中IC設計佈局122被轉換為可被光罩寫入器(mask writer)實體地(physically)寫入的形式,光罩工作室130並執行光罩製造144,其中由光罩資料準備132所準備的資料被修改,以遵循特定之光罩寫入器及/或光罩製造廠,並接著製造。於第1圖的範例中,光罩資料準備132及光罩製造144被繪製為個別元件,然而,在其他實施例中,光罩資料準備132及光罩製造144可被統稱為光罩資料準備。
在一些範例中,光罩資料準備132包括應用一或多個解析度增強技術(resolution enhancement technology, RET),以補償潛在的微影誤差(lithography error),例如可能由繞射(diffraction)、干涉(interference)或其他製程效應引起的微影誤差。在一些範例中,可使用光學鄰近校正(optical proximity correction, OPC)以根據周圍幾何形狀的密度調整線寬(line width)、在線段的末端加入「犬骨(dog-bone)」端帽(end-cap)以防止線段末端縮短、校正電子束(electron beam, e-beam)鄰近效應、或用於其他目的。舉例來說,OPC技術可加入次解析度輔助特徵(sub-resolution assist feature, SRAF),例如可包括根據光學模型或規則,將散射條(scattering bar)、襯線(serif)、及/或錘頭(hammerhead)加入IC設計佈局122,使得在微影製程後,晶圓上的最終圖案被改進,並具有增強之解析度及精密度(precision)。光罩資料準備132亦可包括其他解析度增強技術,例如偏軸照射(off-axis illumination, OAI)、相移光罩(phase shift mask, PMS)、其他合適之技術、或其組合。
光罩資料準備132可進一步包括光罩製程校正(mask process correction, MPC),被用於校正光罩製作製程期間所引入的誤差。舉例來說,MPC可被用於校正光罩製作製程效應,例如模糊(fogging)、顯影與蝕刻負載(loading)、以及電子束鄰近效應。在一些範例中,MPC製程修改後OPC設計佈局,以補償可能在光罩製造144期間遇到的侷限(limitation)。
在一些實施例中,光罩資料準備132可進一步包括微影製程檢查(lithography process checking, LPC),模擬將由IC製造廠150執行以製造IC裝置160的製程。微影製程檢查(LPC)可基於IC設計佈局122模擬這個製程,以創建模擬製造的裝置,例如IC裝置160。微影製程檢查(LPC)模擬中的製程參數可包括與IC製造週期之各種製程有關的參數、與用於製造IC之機台(tool)有關的參數、及/或與製造製程之其他方面有關的參數。舉例來說,微影製程檢查(LPC)可考慮各種因素,例如空中影像對比(aerial image contrast)、焦點深度(depth of focus, DOF)、光罩誤差增強因素(mask error enhancement factor, MEEF)、其他合適之因素、或其組合。
在一些實施例中,在藉由微影製程檢查(LPC)創建模擬製造的裝置後,若模擬裝置佈局在形狀上不夠接近以滿足設計規則,則光罩資料準備132中的某些操作(例如:OPC及MPC)可重複,以進一步改良IC設計佈局122。
應理解的是,上述光罩資料準備132已被簡化,以使說明清晰易懂,且資料準備可包括附加特徵,例如根據製造規則修改IC設計佈局的邏輯操作(logic operation, LOP)。因此,在光罩資料準備132期間施用於IC設計佈局122的製程,可以用各種不同的順序執行。
在光罩資料準備132之後以及光罩製造144期間,可基於修改後之IC設計佈局製造光罩或光罩組。舉例來說,使用電子束或多重電子束機制,以基於修改後之IC設計佈局在光罩(光罩或標線片(reticle))上形成圖案。可使用各種技術形成光罩。在一個實施例中,使用二元技術(binary technology)形成光罩。在一些實施例中,光罩圖案包括不透明(opaque)區及透明區。諸如紫外光(ultraviolet, UV)束之輻射束,被用於曝光塗佈於晶圓上的輻射敏感(radiation-sensitive)材料層(例如:光阻),輻射束被不透明區阻擋並穿透透明區。在一個範例中,二元光罩包括透明基板(例如:熔融石英(fused quartz)),以及塗佈於光罩之不透明區的不透明材料(例如:鉻)。在一些範例中,使用相移技術形成光罩。在相移光罩(phase shift mask, PSM)中,形成於光罩上的圖案中的各種特徵,被配置為具有預先配置的相位差(phase difference)以增強影像解析度及成像品質。在各種實施例中,相移光罩可為衰減式(attenuated)PSM或交錯式(alternating)PSM。
在一些實施例中,IC製造廠150(例如:半導體晶圓廠(foundry))使用光罩工作室130所製造的光罩(或複數光罩),以將一或多個光罩圖案轉移到生產晶圓152上,並因此在生產晶圓152上製造IC裝置160。IC製造廠150可包括IC製造設施,IC製造設施可包括用於製造各種不同IC產品的無數製造設施。舉例來說,IC製造廠150可包括用於複數IC產品之前端製造(front end fabrication)的第一製造設施(即前段製造(front-end-of-line, FEOL)),而第二製造設施可為IC產品的互連及封裝(packaging)提供後端製造(即後段製造(back-end-of-line, BEOL)),且第三製造設施可為晶圓代工業務提供其他服務。在各種實施例中,內部及/或上方製造有IC裝置160的半導體晶圓(即生產晶圓152),可包括矽基板或其上形成有材料薄層的其他基板。其他基板材料可包括另一種合適之元素半導體,例如鑽石或鍺(germanium)、合適之化合物半導體,例如碳化矽(silicon carbide)、砷化銦(indium arsenide)或磷化銦(indium phosphide)、或是合適之合金半導體,例如碳化矽鍺(silicon germanium carbide)、磷化鎵砷(gallium arsenic phosphide)或磷化鎵銦(gallium indium phosphide)。在一些實施例中,半導體晶圓可進一步包括不同摻雜區域、介電特徵、以及多級互連(multilevel interconnect, 形成於後續之製造操作中)。此外,光罩(或複數光罩)可被用於各種製程中。舉例來說,光罩(或複數光罩)可被用於離子佈植(ion implantation)製程以在半導體晶圓中形成不同摻雜區域、用於蝕刻製程以在半導體晶圓中形成各種蝕刻區域、及/或用於其他合適之製程。
與本文所揭露之實施例相比,一些其他技術可包括IC製造廠150使用由光罩工作室130所製造的光罩(或複數光罩),將一個或多個光罩圖案轉移到研發(R&D)晶圓154上。在R&D晶圓154的微影製程後,R&D晶圓154可接著被傳送到測試實驗室(例如:度量衡(metrology)實驗室或參數測試實驗室)以進行實驗分析156 (empirical analysis 156)。因此,可以收集來自R&D晶圓154的實驗資料,包括實驗光罩圖案的評估。在各種範例中,實驗光罩圖案資料可接著被傳送到光罩工作室130,其中IC設計佈局122可基於實驗資料進行進一步的修改。在各種情況下,圖案設計、製程及實驗資料的收集是一個勞動密集且耗時的過程,這會給技術開發週期帶來不必要的延遲,且它不是在每次遇到新的佈局設計及/或新的單一佈局特徵時,都可以重複的過程。替代地,如將於下文詳細敘述的,本揭露實施例提供光罩製程校正(mask process correction, MPC)製程,並具體地提供MPC製程是否將改進特定圖案的最終晶圓印刷的指示,而不必處理昂貴且導致技術開發週期延遲的R&D晶圓及實驗資料收集。
現在參照第2圖,第2圖根據本揭露多種態樣,提供第1圖所示之光罩工作室130的更加詳細的方塊圖。於第2圖的範例中,光罩工作室130包括光罩設計系統180,可被操作以執行與第1圖之光罩資料準備132有關的功能,以及與第5圖及第6圖之方法500及600有關的功能(將於描述於下文)。光罩設計系統180為資訊處置系統(information handling system),例如電腦、伺服器、工作站(workstation)、或其他合適之裝置。光罩設計系統180包括通訊耦接至系統記憶體184的處理器182、大容量儲存裝置186、以及通訊模組188。系統記憶體184為處理器182提供非揮發性(non-transitory)、電腦可讀取的(computer-readable)儲存,以便於處理器執行電腦指令。系統記憶體184的範例可包括隨機存取記憶體(random access memory, RAM)裝置,例如動態隨機存取記憶體(dynamic RAM, DRAM)、同步動態隨機存取記憶體(synchronous DRAM, SDRAM)、固態記憶體裝置(solid state memory device)、及/或本技術領域習知之各種不同記憶體裝置。電腦程式、指令及資料儲存於大容量儲存裝置186中。大容量儲存裝置186的範例可包括硬碟(hard disc)、光碟(optical disk)、磁光碟(magneto-optical disc)、固態儲存裝置、及/或各種其他大容量儲存裝置。通訊模組188可被操作以將諸如IC設計佈局檔案之資訊與IC製造系統100中的其他組件(例如:設計工作室120)通訊。通訊模組188的範例可包括乙太網路卡(Ethernet card)、802.11 WiFi裝置、蜂巢式資料無線電設備(cellular data radio)、及/或本技術領域習知之其他合適裝置。
在操作中,光罩設計系統180被配置以在IC設計佈局122藉由光罩製造144被轉移到光罩190之前,根據各種設計規則及限制操縱(manipulate)IC設計佈局122。舉例來說,在一個實施例中,包括ILT、OPC、MPC及LPC的光罩資料準備132,可被實施為在光罩設計系統180上執行的軟體指令。於此實施例中,光罩設計系統180自設計工作室120接收包括IC設計佈局122的第一GDSⅡ檔案192。在光罩資料準備132完成後,在一些實施例中可以在完成第5圖及第6圖的方法500及/或方法600之後,光罩設計系統180將包括修改後之IC設計佈局的第二GDSⅡ檔案194傳送到光罩製造144(即傳送到光罩製造者(mask fabricator))。在替代實施例中,IC設計佈局可以以諸如DFⅡ、CIF、OASIS或任何其他合適之檔案類型的替代檔案格式,在IC製造系統100中的組件之間傳送。此外,在替代實施例中,光罩設計系統180與光罩工作室130可包括額外的及/或不同的組件。
為了向後續的討論提供附加之文章脈絡,第3圖及第4圖提供了利用OPC及/或MPC製程的一些製程流程。舉例來說,第3圖顯示方法300的流程圖,繪示了在光罩製造之前使用OPC/MPC製程修改IC設計佈局的簡化方法。在一些範例中,方法300可在第1圖所示之光罩工作室130的光罩資料準備132中實施。方法300始於操作302,操作302提供佈局設計。出於討論之目的,於操作302處所提供的佈局設計可包括圖案330,如第3A圖所示。方法接著進行到操作304,在操作304中,OPC製程被執行。在一些範例中,在執行OPC製程(操作304)之前,會提供並校準OPC模型(操作316、操作318)。在各種範例中,OPC製程被用於最佳化所提供的佈局設計(例如:圖案330),使得光罩製造144可提供所欲獲得的晶圓目標設計。舉例來說,後OPC佈局設計可包括圖案332,如第3B圖所示。在一些情況下,OPC製程利用包括光罩角圓化條件的OPC模型。在各種實施例中,且無論OPC模型是否包括光罩角圓化條件,OPC模型可包括其他條件,例如光學系統條件(例如:照明條件及投影(projection)條件)、微影製程條件(例如:光阻旋轉條件及曝光條件)、光阻模型條件、以及其他條件。更具體地,OPC模型中包括的其他條件可包括數值孔徑(numerical aperture, NA)、西格馬值(sigma value)、照明器形狀、薄膜堆疊特性、圖案密度、或空中影像斜率(aerial image slope)等。方法接著進行到操作312,其中MPC製程被執行於後OPC佈局(例如:圖案332)上,以獲得後MPC佈局(post-MPC layout)。在本範例中,後MPC佈局設計可包括圖案334,如第3C圖所示。於此範例中,後OPC佈局設計(圖案332)及後MPC佈局設計(圖案334)略有不同。然而,在一些案例中,後MPC佈局設計(圖案334)可與後OPC佈局設計(圖案332)基本相同。在一些範例中,在執行MPC製程(操作312)之前,會提供並校準MPC模型(操作320、操作322)。在一些實施例中,MPC製程會最佳化光罩佈局,使得最終光罩圖案與光罩目標匹配。在各種範例中,後MPC佈局接著被用於光罩製造(操作324)。之後,在各種範例中,所製造的光罩模可被用於圖案化晶圓。然而,若圖案化之晶圓未能滿足設計要求,則可能必須修改及重複方法300的各方面(例如:OPC、MPC、光罩製造)。
第4圖顯示方法400的流程圖,繪示了在光罩製造之前使用OPC製程修改IC設計佈局的另一個簡化方法。在一些範例中,方法400可在第1圖所示之光罩工作室130的光罩資料準備132中實施。方法400始於操作402,操作402提供佈局設計,並進入執行OPC製程的操作404。在一些範例中,在執行OPC製程之前(操作404),會提供並校準OPC模型(操作406)。執行OPC製程(操作404)產生後OPC佈局(操作408)。在一些方法中,後OPC佈局接著被用於製造光罩(操作410)。在製造光罩(操作410)後,方法400進入操作412,其中光罩被用於在晶圓上形成圖案(例如:在微影製程中)。之後,於操作414,圖案化之晶圓被量測(例如:藉由掃描式電子顯微鏡(scanning electron microscope)),以提供後OPC晶圓圖案的關鍵尺寸掃描式電子顯微鏡(critical dimension - scanning electron microscope, CD-SEM)量測資料(操作416)。在許多案例中,若CD-SEM量測資料並未滿足設計要求,則可能必須修改及重複方法400的各方面(例如:OPC、光罩製造、CD-SEM測量)。
與前述技術相比,後續實施例提供關於在OPC製程後使用之MPC製程是否將實際改進晶圓印刷的早期指示,進而避免額外之OPC/MPC製程、光罩製造、晶圓印刷、以及圖案測量的時間與成本。現在參考第5圖,第5圖繪示出用於預先決定MPC製程是否將改進最終晶圓圖案的方法500。在一些實施例中,方法500之各態樣可包括在光罩製造之前修改IC設計佈局。在一些實施例中,方法500可以在第1圖所示之光罩工作室130的光罩資料準備132中實施。附加操作可被提供於方法500之前、之中、或之後,且所述的一些操作可被替換、消除或移動,以用於方法之其他實施例。亦須注意的是,方法500是僅為範例性的,且並不旨於將揭露限制為超出所附申請專利範圍中明確記載的內容。
在一些實施例中,方法500包括MPC製程群組502及OPC製程群組504。在各種範例中,MPC製程群組502及OPC製程群組504可被串連執行或同時執行。從MPC製程群組502開始,於操作506,後OPC佈局(例如:光罩佈局)被提供。舉例來說,後OPC佈局設計可包括圖案530,如第5A圖所示。在一些範例中,操作506之後OPC佈局,可基本上相似或相同於產生自方法400之操作408或產生自方法300之操作304的後OPC佈局。在一些實施例中,方法進入操作508,其中MPC模型(經由操作510提供)被施用於後OPC佈局(例如:圖案530),以執行光罩圖案模擬。於操作512產生模擬之光罩圖案作為操作508之光罩圖案模擬的結果。於本範例中,模擬之光罩圖案可包括圖案532,如第5B圖所示。
參照OPC製程群組504,在操作514,後OPC晶圓圖案的CD-SEM量測資料被提供(這亦可被稱為經CD-SEM量測之後OPC晶圓圖案(CD-SEM measured post-OPC wafer pattern))。舉例來說,第5C圖顯示了範例性後OPC晶圓圖案536的CD-SEM量測資料的圖案534。在一些案例中,後OPC晶圓圖案536可代表模擬之晶圓圖案(例如:使用諸如圖案530之後OPC佈局所產生的模擬之晶圓圖案),而CD-SEM量測資料的圖案534代表物理量測圖案化晶圓的資料。在一些範例中,操作514的CD-SEM量測資料,可相似或相同於方法400之操作416的CD-SEM量測資料。在一些實施例中,方法500進入操作516,其中經CD-SEM量測之後OPC晶圓圖案(例如:圖案534)被反轉(reverse)。在許多案例中,經CD-SEM量測之後OPC晶圓圖案的反轉,包括使用不具光罩角圓化條件之OPC模型(經由操作518提供)執行反向製程(例如:ILT製程),以將經CD-SEM量測之後OPC晶圓圖案轉換為模擬之光罩圖案的圖案538(第5D圖)。在一些實施例中,另一個模擬之光罩圖案的圖案540可對應於模擬之後OPC晶圓圖案536。應注意的是,當不具光罩角圓化條件之OPC模型被使用以執行反向製程時,其他OPC模型條件仍可被使用,如上所述。舉例來說,OPC模型仍可包括其他條件,例如光學系統條件(例如:照明條件及投影條件)、微影製程條件(例如:光阻旋轉條件及曝光條件)、光阻模型條件、以及其他條件。舉例來說,可使用不具光罩角圓化條件之OPC模型來執行反向製程,因為希望能獲得的是形成於光罩上的實際圖案,而不是提供給光罩寫入器的光罩佈局。在一些實施例中,且作為反轉經CD-SEM量測之晶圓圖案(操作516)的結果,在操作520處提供了反向光罩圖案(光罩圖案的圖案538)。在一些案例中,舉例來說,當使用ILT製程以執行反向製程時,後OPC佈局、模擬之光罩圖案、或是經SEM量測之光罩圖案,可被用於限制ILT解決方案(solution)。而且,在一些實施例中,用於反轉製程之OPC模型可相似或相同於方法300的校準之OPC模型(操作316、操作318),然而,對方法500之反向製程而言,光罩角圓化條件被排除。
因此,在完成MPC製程群組502及OPC製程群組504後,提供了模擬之光罩圖案(例如:第5B圖之圖案532)以及反轉之光罩圖案(例如:第5D圖之圖案538)。接著,方法500進入操作522,其中操作520的反向之光罩圖案(例如:圖案538)被拿來與操作512的模擬之光罩圖案(例如:圖案532)進行比較,且比較結果在操作524中作為驗證結果(validation result)而被提供。在一些實施例中,上述比較包括比較由每個MPC製程群組502及OPC製程群組504所獲得的光罩輪廓(contour)或關鍵尺寸(CD)。舉例來說,且在一些實施例中,若反向之光罩圖案與模擬之光罩圖案匹配(例如:基本上相同),則決定MPC製程將實際改進最終晶圓圖案。作為結果,可對後OPC佈局圖案執行MPC製程,以產生後MPC佈局圖案。之後,可基於後MPC佈局圖案製造光罩(例如:藉由光罩製造144),且所製造的光罩可被用於圖案化晶圓(例如:藉由微影製程)。或者,倘若反向之光罩圖案並未與模擬之光罩圖案匹配,則可接著跳過MPC製程,進而避免額外之製程時間、成本以及複雜性。
參照顯示了方法600的第6圖,方法600提供了預先決定MPC製程是否將改進最終晶圓圖案的另一種方法。在一些實施例中,方法600之態樣可包括在光罩製造之前修改IC設計佈局。在一些實施例中,方法600的至少一些態樣可在第1圖所示之光罩工作室130的光罩資料準備132中實施。附加操作可被提供於方法600之前、之中、或之後,且所述的一些操作可被替換、消除或移動,以用於方法之其他實施例。亦須注意的是,方法600是僅為範例性的,且並不旨於將揭露限制為超出所附申請專利範圍中明確記載的內容。
在一些實施例中,方法600包括CD-SEM量測製程群組602以及OPC製程群組604。在各種範例中,CD-SEM量測製程群組602及OPC製程群組604可被串連執行或同時執行。從CD-SEM量測製程群組602開始,在操作606處提供後OPC佈局。在一些範例中,操作606的後OPC佈局,可基本上相似或相同於方法400之操作408所產生的後OPC佈局。在一些實施例中,方法進入操作608,其中後OPC佈局(操作606)被用於製造包括各種IC圖案的光罩,如上所述。之後,於操作610處,圖案化之光罩被量測(例如:藉由SEM),以提供光罩圖案的CD-SEM量測資料,此處的CD-SEM量測資料亦被稱為經CD-SEM量測之光罩圖案(操作612)。
在一些實施例中,OPC製程群組604相似於上述參照方法500所討論之OPC製程群組504。因此,於操作614處,後OPC晶圓圖案的CD-SEM量測資料被提供(例如:這亦可被稱作經CD-SEM量測之後OPC晶圓圖案)。方法600進入操作616,其中經CD-SEM量測之晶圓圖案被反轉。在許多案例中,經CD-SEM量測之晶圓圖案的反轉,包括使用不具光罩角圓化條件的OPC模型(由操作618所提供)(僅管其他OPC模型條件仍可被使用)來執行反向製程(例如:藉由ILT製程),以將經CD-SEM量測之晶圓圖案轉換為光罩圖案。在一些實施例中,且作為反轉經CD-SEM量測之晶圓圖案(操作616)的結果,在操作620處提供反向光罩圖案。
因此,在完成CD-SEM量測製程群組602及OPC製程群組604後,經CD-SEM量測之光罩圖案(操作612)及反轉之光罩圖案(操作620)被提供。方法600接著進入操作622,其中操作620的反轉之光罩圖案被拿來與操作612的經CD-SEM量測之光罩圖案進行比較,且比較結果在操作624中作為驗證結果而被提供。在一些實施例中,上述比較包括比較由每個CD-SEM量測製程群組602及OPC製程群組604所獲得的光罩輪廓或關鍵尺寸(CD)。舉例來說,且在一些實施例中,若反向之光罩圖案與經CD-SEM量測之光罩圖案匹配(例如:基本上相同),則決定MPC製程將不會改進最終晶圓圖案,且不需要構建MPC模型並繼續進行MPC相關製程。因此,可避免不必要的成本及開發延遲。因此,若決定MPC製程將不會改進最終晶圓圖案,則將基於後OPC佈局圖案製造光罩(例如:藉由光罩製造144)。
此外,本文所揭露之多種實施例,包括方法500及方法600的各態樣,可在任何合適之計算系統上執行,例如關於第2圖所描述之光罩設計系統180。在一些實施例中,方法500及方法600的各態樣可被執行於單一電腦、區域網路(local area network)、主從式網路(client-server network)、廣域網路(wide area network)、網際網路、手持及其他可攜式與無線裝置以及網路。這種系統架構可以採用完全硬體的實施例、完全軟體的實施例、或包含硬體及軟體元件的實施例的形式。舉例來說,硬體可包括至少具有處理能力的平台,例如客戶機(client-machine, 亦稱為個人電腦或伺服器)、以及手持處理裝置(例如:智慧型手機、個人數位助理(PDA)或個人計算裝置(PCD))。此外,硬體可包括能夠儲存機械可讀指令的任何實體裝置,例如記憶體或其他資料儲存裝置。其他形式之硬體包括硬體子系統(hardware sub-systems),包括傳送裝置,例如數據機(modem)、數據機卡、埠(port)、埠卡,聊舉為例。在許多範例中,軟體可包括儲存於任何記憶體媒體(例如:RAM或ROM)中的任何機械編碼(machine code),以及儲存於其他裝置(例如:軟碟、快閃記憶體、或是CD-ROM,聊舉為例)的機械編碼。在一些實施例中,軟體可包括原始碼(source code)或目標碼(object code),聊舉為例。此外,軟體可包含能夠在客戶端機器或伺服器中執行的任何指令集。
此外,本揭露實施例可採取可自有形(tangible)電腦可用或電腦可讀媒體存取的電腦程式產品的形式,該電腦可用或電腦可讀媒體提供由電腦或任何指令執行系統使用或與之結合使用的程式編碼。出於本說明書的目的,有形電腦可用或電腦可讀媒體可為可包含、儲存、通訊、傳播(propagate)、或傳送程式以供指令執行系統、設備、或裝置使用或與之結合使用的任何設備。上述媒體可為電子(electronic)、磁(magnetic)、光(optical)、電磁(electromagnetic)、紅外光(infrared)、半導體系統(或設備或裝置)、或是傳播媒體(propagation medium)。
在一些實施例中,可提供被定義的資料組織(organization of data),稱為資料結構(data structure),以實現本揭露的一或多個實施例。舉例來說,資料結構可提供資料組織或可執行編碼組織。在一些範例中,資料訊號可跨一或多個傳輸媒體傳送,並儲存及傳輸各種資料結構,且因此可用於傳輸本揭露的實施例。
本揭露實施例提供優於現有技術的優點,但應理解,其他實施例可提供不同的優點,並非所有優點都必須在本文中討論,且並非所有實施例都需要特定的優點。藉由所揭露的方法,有效地克服了現有MPC製程的缺點。舉例來說,本揭露實施例提供使用反向方法(例如:反向微影技術,ILT),將晶圓圖案反轉為光罩圖案(例如:創建反向光罩圖案)。在一些實施例中,反向方法使用不具光罩角圓化條件的OPC模型。在一些範例中,藉由將反向光罩圖案與使用MPC模型的計算之光罩圖案進行比較,可預先決定MPC製程是否將改進最終晶圓印刷。藉由提供關於在OPC製程之後使用之MPC製程是否將實際改進晶圓印刷的早期指示,可以避免額外的光罩製造及晶圓印刷的時間和成本。於本技術領域具有通常知識者將能輕易理解,本文所述之方法可被應用於各種其他半導體佈局、半導體裝置、以及半導體製程,以有利地達成與本文所述之益處相似的益處,且不脫離本揭露之範圍。
因此,本揭露其中一個實施例描述用於製造半導體裝置的一種方法。上述方法包括對一積體電路(IC)佈局圖案執行光學鄰近校正(OPC)製程,以產生後OPC佈局圖案。在一些實施例中,上述方法更包括對後OPC佈局圖案施用光罩製程校正模型(MPC model),以產生模擬光罩圖案。舉例來說,模擬光罩圖案被拿來與計算自目標晶圓圖案的光罩圖案進行比較。其後,且基於上述比較,決定光罩製程校正(MPC)製程的一結果。
在一或多個實施例中,上述方法更包括提供一經關鍵尺寸(CD)-掃描式電子顯微鏡(SEM)量測之後OPC晶圓圖案。且包括使用不具光罩角圓化條件的光學鄰近校正模型來對上述經關鍵尺寸-掃描式電子顯微鏡量測之後OPC晶圓圖案執行反向製程,其中反向製程產生計算自目標晶圓圖案的光罩圖案。在一或多個實施例中,反向製程包括反向微影技術製程。在一或多個實施例中,上述方法更包括使用具有光罩角圓化條件的光學鄰近校正模型來執行光學鄰近校正製程。在一或多個實施例中,上述方法更包括在提供上述經關鍵尺寸-掃描式電子顯微鏡量測之後OPC晶圓圖案之前,先使用後OPC佈局圖案製造光罩;使用光罩以圖案化一晶圓;以及執行上述晶圓的一關鍵尺寸-掃描式電子顯微鏡量測,以提供上述經關鍵尺寸-掃描式電子顯微鏡量測之後OPC晶圓圖案。
在一或多個實施例中,其中將模擬光罩圖案與光罩圖案進行比較的上述比較操作,包括將每個模擬光罩圖案與計算自目標晶圓圖案之光罩圖案的光罩輪廓或關鍵尺寸進行比較。在一或多個實施例中,其中對光罩製程校正製程之結果的上述決定,包括當每個模擬光罩圖案與計算自目標晶圓圖案之光罩圖案的光罩輪廓或關鍵尺寸匹配時,決定光罩製程校正製程將改進最終晶圓圖案。在一或多個實施例中,上述方法更包括在決定光罩製程校正製程將改進最終晶圓圖案後,對後OPC佈局圖案執行光罩製程校正製程,以產生一後MPC佈局圖案;以及基於上述後MPC佈局圖案製造光罩。在一或多個實施例中,上述方法更包括使用基於後MPC佈局圖案的光罩以圖案化晶圓。
在另一個實施例中,所討論的是用於製造半導體裝置的一種方法。上述方法包括提供一後光學鄰近校正(OPC)佈局圖案,並基於上述後OPC佈局圖案以及使用一後OPC校正製程,以模擬第一光罩圖案。在一些實施例中,上述方法更包括基於來自一物理量測之圖案化晶圓的資料以及使用不具光罩角圓化條件的光學鄰近校正模型,以模擬第二光罩圖案。在多種案例中,模擬之第一光罩圖案被拿來與模擬之第二光罩圖案進行比較。在一些實施例中,且基於上述比較,決定上述後OPC校正製程是否將改進最終晶圓圖案。
在一或多個實施例中,後OPC校正製程包括光罩製程校正(MPC)製程。在一或多個實施例中,其中對第二光罩圖案的模擬操作,包括基於來自上述物理量測之圖案化晶圓的資料以及使用不具光罩角圓化條件的光學鄰近校正模型,以執行反向微影技術製程。在一或多個實施例中,上述方法更包括使用具有光罩角圓化條件的光學鄰近校正模型,來對積體電路佈局圖案執行光學鄰近校正製程,以提供上述後OPC佈局圖案。在一或多個實施例中,其中比較模擬之第一光罩圖案與第二光罩圖案的上述比較操作,包括將每個模擬之第一光罩圖案與第二光罩圖案的光罩輪廓或關鍵尺寸進行比較。
在一或多個實施例中,其中決定上述後OPC校正製程將改進最終晶圓圖案的上述操作,包括決定每個模擬之第一光罩圖案與第二光罩圖案的光罩輪廓或關鍵尺寸匹配。在一或多個實施例中,上述方法更包括在決定上述後OPC校正製程將改進最終晶圓圖案後,對後OPC佈局圖案執行上述後OPC校正製程,以產生一經校正佈局圖案;基於上述經校正佈局圖案製造光罩;以及使用基於上述經校正佈局圖案的光罩,以圖案化晶圓。
在其他實施例中,所討論的方法包括使用一後光學鄰近校正(OPC)佈局圖案製造一光罩,並執行上述光罩的關鍵尺寸(CD)-掃描式電子顯微鏡(SEM)量測,以提供一經關鍵尺寸-掃描式電子顯微鏡量測之光罩圖案。在一些實施例中,上述方法更包括提供一經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案。舉例來說,使用不具光罩角圓化條件的光學鄰近校正模型,以對上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案執行反向製程,其中反向製程提供計算自上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案的一光罩圖案。在一些實施例中,上述方法更包括執行一比較操作,將上述經關鍵尺寸-掃描式電子顯微鏡量測之光罩圖案與計算自上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案的上述光罩圖案進行比較。並在一些範例中,基於上述比較操作,決定光罩製程校正(MPC)製程的一結果。
在一或多個實施例中,反向製程包括反向微影技術製程。在一或多個實施例中,其中將上述經關鍵尺寸-掃描式電子顯微鏡量測之光罩圖案與計算自上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案的上述光罩圖案進行比較的上述比較操作,包括將每個上述經關鍵尺寸-掃描式電子顯微鏡量測之光罩圖案與計算自上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案的上述光罩圖案的光罩輪廓或關鍵尺寸進行比較。在一或多個實施例中,其中決定上述光罩製程校正製程之上述結果的上述操作,包括當每個上述經關鍵尺寸-掃描式電子顯微鏡量測之光罩圖案與計算自上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案的上述光罩圖案的光罩輪廓或關鍵尺寸不匹配時,決定上述光罩製程校正製程將不會改進最終晶圓圖案。
前述內文概述多項實施例或範例之特徵,如此可使於本技術領域中具有通常知識者更佳地瞭解本揭露。本技術領域中具有通常知識者應當理解他們可輕易地以本揭露為基礎設計或修改其他製程及結構,以完成相同之目的及/或達到與本文介紹之實施例或範例相同之優點。本技術領域中具有通常知識者亦需理解,這些等效結構並未脫離本揭露之精神及範圍,且在不脫離本揭露之精神及範圍之情況下,可對本揭露進行各種改變、置換以及變更。
100:IC製造系統 120:設計工作室 130:光罩工作室 150:IC製造廠 122:IC設計佈局 132:光罩資料準備 144:光罩製造 152:生產晶圓 154:R&D晶圓 156:實驗分析 160:IC裝置 180:光罩設計系統 182:處理器 184:系統記憶體 186:大量儲存裝置 188:通訊模組 190:光罩 192:第一GDSⅡ檔案 194:第二GDSⅡ檔案 300:方法 302-324:操作 330-334:圖案 400:方法 402-416:操作 500:方法 502:MPC製程群組 504:OPC製程群組 506-524:操作 530-534:圖案 536:後OPC晶圓圖案 538、540:圖案 600:方法 602:CD-SEM量測製程群組 604:OPC製程群組 606-624:操作
本揭露之態樣從後續實施方式及附圖可更佳理解。應注意的是,依據產業之標準作法,各種特徵並未按比例繪製。事實上,各種特徵之尺寸可能任意增加或減少以清楚論述。 第1圖所示之簡化方塊圖,係積體電路(IC)製造系統及相關之IC製造流程的實施例。 第2圖係根據本揭露多種態樣所示,第1圖所示之光罩工作室之更加詳盡的方塊圖。 第3圖所示係在光罩製造之前,使用OPC/MPC製程修改IC設計佈局之方法的流程圖。 第3A圖至第3C圖所示,係第3圖之方法的許多階段中的範例性佈局圖案。 第4圖所示係在光罩製造之前,使用OPC/MPC製程修改IC設計佈局之另一種方法的流程圖。 第5圖係根據一些實施例所示,預決定MPC製程是否將改進最終晶圓圖案之方法的流程圖。 第5A圖至第5D圖係根據一些實施例所示,第5圖之方法的許多階段中的範例性佈局圖案。 第6圖係根據一些實施例所示,預決定MPC製程是否將改進最終晶圓圖案之替代方法的流程圖。
500:方法
502:MPC製程群組
504:OPC製程群組
506-524:操作

Claims (20)

  1. 一種半導體裝置的製造方法,包括: 對一積體電路佈局圖案執行一光學鄰近校正(OPC)製程,以產生一後OPC佈局圖案; 對上述後OPC佈局圖案施用一光罩製程校正(MPC)模型,以產生一模擬光罩圖案; 執行一比較操作,將上述模擬光罩圖案與計算自一目標晶圓圖案之一光罩圖案進行比較;以及 基於上述比較操作,決定一光罩製程校正製程的一結果。
  2. 如申請專利範圍第1項所述之半導體裝置的製造方法,更包括: 提供一經關鍵尺寸(CD)-掃描式電子顯微鏡(SEM)量測之後OPC晶圓圖案;以及 使用不具光罩角圓化條件的一光學鄰近校正模型來對上述經關鍵尺寸-掃描式電子顯微鏡量測之後OPC晶圓圖案執行一反向製程,其中上述反向製程產生計算自上述目標晶圓圖案的上述光罩圖案。
  3. 如申請專利範圍第2項所述之半導體裝置的製造方法,其中上述反向製程包括一反向微影技術製程。
  4. 如申請專利範圍第1項所述之半導體裝置的製造方法,更包括: 使用具有光罩角圓化條件的一光學鄰近校正模型來執行上述光學鄰近校正製程。
  5. 如申請專利範圍第2項所述之半導體裝置的製造方法,更包括: 在提供上述經關鍵尺寸-掃描式電子顯微鏡量測之後OPC晶圓圖案之前,先使用上述後OPC佈局圖案製造一光罩; 使用上述光罩以圖案化一晶圓;以及 執行上述晶圓的一關鍵尺寸-掃描式電子顯微鏡量測,以提供上述經關鍵尺寸-掃描式電子顯微鏡量測之後OPC晶圓圖案。
  6. 如申請專利範圍第1項所述之半導體裝置的製造方法,其中將上述模擬光罩圖案與上述光罩圖案進行比較的上述比較操作,包括將每個上述模擬光罩圖案與計算自上述目標晶圓圖案之上述光罩圖案的光罩輪廓或關鍵尺寸進行比較。
  7. 如申請專利範圍第6項所述之半導體裝置的製造方法,其中對上述光罩製程校正製程之上述結果的上述決定,包括當每個上述模擬光罩圖案與計算自上述目標晶圓圖案之上述光罩圖案的光罩輪廓或關鍵尺寸匹配時,決定上述光罩製程校正製程將改進一最終晶圓圖案。
  8. 如申請專利範圍第7項所述之半導體裝置的製造方法,更包括: 在決定上述光罩製程校正製程將改進上述最終晶圓圖案後,對上述後OPC佈局圖案執行上述光罩製程校正製程,以產生一後MPC佈局圖案;以及 基於上述後MPC佈局圖案製造一光罩。
  9. 如申請專利範圍第8項所述之半導體裝置的製造方法,更包括: 使用基於上述後MPC佈局圖案的上述光罩以圖案化一晶圓。
  10. 一種半導體裝置的製造方法,包括: 提供一後光學鄰近校正(OPC)佈局圖案; 基於上述後OPC佈局圖案以及使用一後OPC校正製程,以模擬一第一光罩圖案; 基於來自一物理量測之圖案化晶圓的資料以及使用不具光罩角圓化條件的一第一光學鄰近校正模型,以模擬一第二光罩圖案; 執行一比較操作,比較模擬的上述第一光罩圖案與上述第二光罩圖案;以及 基於上述比較操作,決定上述後OPC校正製程是否將改進一最終晶圓圖案。
  11. 如申請專利範圍第10項所述之半導體裝置的製造方法,其中上述後OPC校正製程包括一光罩製程校正(MPC)製程。
  12. 如申請專利範圍第10項所述之半導體裝置的製造方法,其中對上述第二光罩圖案的上述模擬操作,包括基於來自上述物理量測之圖案化晶圓的資料以及使用不具光罩角圓化條件的上述第一光學鄰近校正模型,以執行一反向微影技術製程。
  13. 如申請專利範圍第10項所述之半導體裝置的製造方法,更包括: 使用具有光罩角圓化條件的一第二光學鄰近校正模型,來對一積體電路佈局圖案執行一光學鄰近校正製程,以提供上述後OPC佈局圖案。
  14. 如申請專利範圍第10項所述之半導體裝置的製造方法,其中比較模擬之上述第一光罩圖案與上述第二光罩圖案的上述比較操作,包括將每個模擬之上述第一光罩圖案與上述第二光罩圖案的光罩輪廓或關鍵尺寸進行比較。
  15. 如申請專利範圍第14項所述之半導體裝置的製造方法,其中決定上述後OPC校正製程將改進上述最終晶圓圖案的上述操作,包括決定每個模擬之上述第一光罩圖案與上述第二光罩圖案的光罩輪廓或關鍵尺寸匹配。
  16. 如申請專利範圍第10項所述之半導體裝置的製造方法,更包括: 在決定上述後OPC校正製程將改進上述最終晶圓圖案後,對上述後OPC佈局圖案執行上述後OPC校正製程,以產生一經校正佈局圖案; 基於上述經校正佈局圖案製造一光罩;以及 使用基於上述經校正佈局圖案的上述光罩,以圖案化一晶圓。
  17. 一種半導體裝置的製造方法,包括: 使用一後光學鄰近校正(OPC)佈局圖案製造一光罩; 執行上述光罩的一關鍵尺寸(CD)-掃描式電子顯微鏡(SEM)量測,以提供一經關鍵尺寸-掃描式電子顯微鏡量測之光罩圖案; 提供一經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案; 使用不具光罩角圓化條件的一光學鄰近校正模型,以對上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案執行一反向製程,其中上述反向製程提供計算自上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案的一光罩圖案; 執行一比較操作,將上述經關鍵尺寸-掃描式電子顯微鏡量測之光罩圖案與計算自上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案的上述光罩圖案進行比較,其中上述光罩圖案係計算自上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案;以及 基於上述比較操作,決定一光罩製程校正(MPC)製程的一結果。
  18. 如申請專利範圍第17項所述之半導體裝置的製造方法,其中上述反向製程包括一反向微影技術製程。
  19. 如申請專利範圍第17項所述之半導體裝置的製造方法,其中將上述經關鍵尺寸-掃描式電子顯微鏡量測之光罩圖案與計算自上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案的上述光罩圖案進行比較的上述比較操作,包括將每個上述經關鍵尺寸-掃描式電子顯微鏡量測之光罩圖案與計算自上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案的上述光罩圖案的光罩輪廓或關鍵尺寸進行比較。
  20. 如申請專利範圍第19項所述之半導體裝置的製造方法,其中決定上述光罩製程校正製程之上述結果的上述操作,包括當每個上述經關鍵尺寸-掃描式電子顯微鏡量測之光罩圖案與計算自上述經關鍵尺寸-掃描式電子顯微鏡量測之晶圓圖案的上述光罩圖案的光罩輪廓或關鍵尺寸不匹配時,決定上述光罩製程校正製程將不會改進一最終晶圓圖案。
TW108133960A 2018-09-21 2019-09-20 半導體裝置的製造方法 TWI730418B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862734578P 2018-09-21 2018-09-21
US62/734,578 2018-09-21
US16/554,318 2019-08-28
US16/554,318 US10866505B2 (en) 2018-09-21 2019-08-28 Mask process correction

Publications (2)

Publication Number Publication Date
TW202018439A true TW202018439A (zh) 2020-05-16
TWI730418B TWI730418B (zh) 2021-06-11

Family

ID=69884488

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108133960A TWI730418B (zh) 2018-09-21 2019-09-20 半導體裝置的製造方法

Country Status (3)

Country Link
US (1) US10866505B2 (zh)
CN (1) CN110943022B (zh)
TW (1) TWI730418B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10176966B1 (en) 2017-04-13 2019-01-08 Fractilia, Llc Edge detection system
US11380516B2 (en) 2017-04-13 2022-07-05 Fractilia, Llc System and method for generating and analyzing roughness measurements and their use for process monitoring and control
US10522322B2 (en) 2017-04-13 2019-12-31 Fractilia, Llc System and method for generating and analyzing roughness measurements
US20210341832A1 (en) * 2020-04-30 2021-11-04 Photronics, Inc. System, method, and program product for manufacturing a photomask
CN112259469B (zh) * 2020-10-21 2022-10-18 上海华力集成电路制造有限公司 半导体器件关键尺寸量测方法及取得sem图像的方法
CN117666276A (zh) * 2024-01-31 2024-03-08 全智芯(上海)技术有限公司 用于掩模工艺校正的方法、电子设备及存储介质

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007156027A (ja) * 2005-12-02 2007-06-21 Sharp Corp Lsi用マスクデータ補正方法および半導体製造装置
JP2008033277A (ja) * 2006-06-29 2008-02-14 Sharp Corp 設計データ又はマスクデータの補正方法および補正システム、設計データ又はマスクデータの検証方法および検証システム、半導体集積回路の歩留まり予測方法、デザインルールの改善方法、マスクの製造方法、並びに、半導体集積回路の製造方法
JP4511582B2 (ja) * 2007-11-07 2010-07-28 シャープ株式会社 マスクパターンの補正方法、フォトマスク、および半導体装置の製造方法
US8196068B2 (en) * 2009-04-30 2012-06-05 Synopsys, Inc. Modeling critical-dimension (CD) scanning-electron-microscopy (CD-SEM) CD extraction
US9671685B2 (en) * 2009-12-31 2017-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lithographic plane check for mask processing
WO2012068323A2 (en) 2010-11-18 2012-05-24 D2S, Inc. Method for matching of patterns
KR101757743B1 (ko) * 2011-03-03 2017-07-17 삼성전자 주식회사 플레어 보정방법 및 euv 마스크 제조방법
NL2009336A (en) * 2011-09-21 2013-03-25 Asml Netherlands Bv Method for calibrating a manufacturing process model.
US9367655B2 (en) 2012-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Topography-aware lithography pattern check
US8631360B2 (en) 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
WO2013158593A1 (en) * 2012-04-18 2013-10-24 Kla-Tencor Corporation Critical dimension uniformity monitoring for extreme ultra-violet reticles
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US8954899B2 (en) 2012-10-04 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contour alignment system
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US20140123084A1 (en) * 2012-11-01 2014-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. System and Method for Improving a Lithography Simulation Model
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9009634B2 (en) * 2013-07-08 2015-04-14 GlobalFoundries, Inc. Methods for fabricating integrated circuits including generating photomasks for directed self-assembly
US9195134B2 (en) 2013-08-01 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for integrated circuit mask patterning
CN104570586B (zh) * 2013-10-23 2019-03-29 中芯国际集成电路制造(北京)有限公司 光学邻近修正模型的获取方法
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9583438B2 (en) 2014-12-26 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure with misaligned metal lines coupled using different interconnect layer
US9928316B2 (en) * 2015-03-26 2018-03-27 International Business Machines Corporation Process-metrology reproducibility bands for lithographic photomasks
US9910348B2 (en) * 2015-06-30 2018-03-06 Globalfoundries Inc. Method of simultaneous lithography and etch correction flow
KR102441582B1 (ko) * 2015-07-23 2022-09-07 삼성전자주식회사 Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법
US10395361B2 (en) * 2015-08-10 2019-08-27 Kla-Tencor Corporation Apparatus and methods for inspecting reticles
WO2017027366A1 (en) * 2015-08-10 2017-02-16 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
US9747408B2 (en) * 2015-08-21 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Generating final mask pattern by performing inverse beam technology process
KR20170047101A (ko) 2015-10-22 2017-05-04 삼성전자주식회사 Opc 이용한 마스크 제조방법 및 반도체 소자 제조방법
US10134124B2 (en) * 2016-08-18 2018-11-20 Dongfang Jingyuan Electron Limited Reference image contour generation
US10656532B2 (en) * 2017-04-13 2020-05-19 Fractilia, Llc Edge detection system and its use for optical proximity correction
KR102336664B1 (ko) * 2017-07-13 2021-12-07 삼성전자 주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법

Also Published As

Publication number Publication date
US10866505B2 (en) 2020-12-15
CN110943022B (zh) 2022-08-09
CN110943022A (zh) 2020-03-31
US20200096857A1 (en) 2020-03-26
TWI730418B (zh) 2021-06-11

Similar Documents

Publication Publication Date Title
TWI730418B (zh) 半導體裝置的製造方法
US11747786B2 (en) Synchronized parallel tile computation for large area lithography simulation
US9465906B2 (en) System and method for integrated circuit manufacturing
US20210240907A1 (en) Method and Apparatus for Integrated Circuit Mask Patterning
US8589830B2 (en) Method and apparatus for enhanced optical proximity correction
JP4019072B2 (ja) 交番位相シフト・マスク・リソグラフィのマスク・パターンの生成
TWI608291B (zh) 模型化規則表的產生方法
JP5078543B2 (ja) 階層opcのための局所的な色付け
TWI732472B (zh) 製造半導體元件之方法
US20140123084A1 (en) System and Method for Improving a Lithography Simulation Model
US10520829B2 (en) Optical proximity correction methodology using underlying layer information
TWI742184B (zh) 目標最佳化方法
JP2011150333A (ja) モデルベースのサブ解像度補助パターン(mb−sraf)の改良された生成及び配置のために信号強度を高めるための方法及び装置
US10276375B2 (en) Assistant pattern for measuring critical dimension of main pattern in semiconductor manufacturing
TW201823852A (zh) 具有任意角之圖案的光罩建模方法
US11675958B2 (en) Lithography simulation method
CN109840342A (zh) 由计算系统执行的方法
CN110824831B (zh) 改善临界尺寸一致性的方法与系统
US20090210838A1 (en) Interpolation distance for layout desing data correction model