CN109840342A - 由计算系统执行的方法 - Google Patents

由计算系统执行的方法 Download PDF

Info

Publication number
CN109840342A
CN109840342A CN201810985466.XA CN201810985466A CN109840342A CN 109840342 A CN109840342 A CN 109840342A CN 201810985466 A CN201810985466 A CN 201810985466A CN 109840342 A CN109840342 A CN 109840342A
Authority
CN
China
Prior art keywords
constraint
pattern
target pattern
profile
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201810985466.XA
Other languages
English (en)
Inventor
裴大牛
屈帅哥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109840342A publication Critical patent/CN109840342A/zh
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明公开一种由计算系统执行的方法,包含:接收布局图案;接收与布局图案相关联的目标图案;接收与目标图案相关的一组约束;模拟与布局图案相关联的第一轮廓;确定第一轮廓与目标图案之间的第一差;模拟与经修改布局图案相关联的第二轮廓;以及确定第二轮廓与经修改目标图案之间的第二差。经修改目标图案不同于目标图案并在约束内。方法进一步包含制造具有最终布局图案的掩模。

Description

由计算系统执行的方法
技术领域
本发明实施例涉及一种由计算系统执行的方法。
背景技术
可使用各种光刻技术形成集成电路。这类技术通常涉及通过图案化光掩模使光刻胶层暴露于光源。一般来说,形成到光刻胶层上的最终图案并不正好与在光掩模中形成的图案匹配。这是由例如光源分辨率的各种光刻过程参数所导致的。重要的是,确保最终印刷图案与所设计的图案不会相差很多使得电路功能性受到不利影响。
通常,电路设计员将目标图案发送给掩模制造商。目标图案通常定义为形成所需图案的几个多边形特征。掩模制造商可随后创建与目标图案相关联的初始布局图案。随后,掩模制造商可对目标图案应用各种光刻模型以创建优化后的布局图案。优化后的布局图案可随后用于制造掩模。随后将所制造的掩模用于光刻过程以在光刻胶层上形成所需图案。合乎希望的是改进这一过程以允许更好地制造更复杂的电路设计。
发明内容
根据本发明的实施例,一种由计算系统执行的方法,所述方法包括:接收布局图案;接收与所述布局图案相关联的目标图案;接收与所述目标图案相关的一组约束;模拟与所述布局图案相关联的第一轮廓;确定所述第一轮廓与所述目标图案之间的第一差;模拟与经修改布局图案相关联的第二轮廓;确定所述第二轮廓与经修改目标图案之间的第二差,所述经修改目标图案不同于所述目标图案并在所述约束内;以及制造具有最终布局图案的掩模。
根据本发明的实施例,一种由计算系统执行的方法,所述方法包括:接收布局图案;接收与所述布局图案相关联的目标图案;接收与所述目标图案相关的一组约束;在整个目标图案空间中迭代地模拟布局图案变化的轮廓,所述目标图案空间包括所述约束内的所述目标图案的变化;从所述目标图案空间中选择最终布局图案以及最终目标图案;以及制造具有所述最终布局图案的掩模。
根据本发明的实施例,一种计算系统包括处理器以及存储器。存储器包括机器可读指令,在由所述处理器执行时,所述机器可读指令使得所述系统:接收布局图案以及与所述布局图案相关联的目标图案;接收与所述目标图案相关的一组约束,所述约束对目标图案变化进行限制;在所述约束内的整个目标图案空间中迭代地模拟布局图案变化的轮廓;以及从所述目标图案空间中选择最终布局图案以及最终目标图案,其中所述最终布局图案的模拟轮廓与整个所述目标图案空间中的所述最终目标图案具有最小差。
附图说明
结合附图阅读时,根据以下详细描述最好地理解本公开的各方面。应注意,根据行业中的标准惯例,各种特征未按比例绘制。实际上,为了论述清晰起见,可任意增大或减小各种特征的尺寸。
图1是绘示根据本文所描述的原理的一个实例的用于改进的布局图案优化的说明性方法的流程图。
图2A是绘示根据本文所描述的原理的一个实例的说明性目标图案的简图。
图2B是绘示根据本文所描述的原理的一个实例的经修改目标图案的简图。
图2C是绘示根据本文所描述的原理的一个实例的所示出经修改布局图案的简图。
图3A、图3B以及图3C是绘示根据本文所描述的原理的一个实例的对于目标图案特征的各种边缘放置误差约束的简图。
图4A是绘示根据本文所描述的原理的一个实例的对于目标图案特征的边缘放置误差的简图。
图4B是绘示根据本文所描述的原理的一个实例的对于目标图案特征和模拟轮廓的边缘放置误差的简图。
图4C是绘示根据本文所描述的原理的一个实例的对于目标图案特征和受约束模拟轮廓的边缘放置误差的简图。
图4D是绘示根据本文所描述的原理的一个实例的对于目标图案特征和遵从性模拟轮廓的边缘放置误差的简图。
图5A是绘示根据本文所描述的原理的一个实例的说明性线宽约束的简图。
图5B绘示根据本文所描述的原理的一个实例的说明性线间距约束的简图。
图6是绘示根据本文所描述的原理的一个实例的说明性不对称边缘放置误差约束的简图。
图7是绘示根据本文所描述的原理的一个实例的不同权重域的简图。
图8是绘示用于执行如本文所描述的目标图案调节和修改的说明性计算系统的简图。
图9是绘示根据本文所描述的原理的一个实例的用于改进的布局图案优化的说明性方法的流程图。
图10是绘示根据本文所描述的原理的一个实例的用于改进的布局图案优化的说明性方法的流程图。
图11A、图11B、图11C、图11D以及图11E是绘示根据本文所描述的原理的一个实例的各种图案特征和模拟轮廓的简图。
图12A、图12B以及图12C是绘示根据本文所描述的原理的一个实例的说明性重定目标过程的简图。
图13是根据本文所描述的原理的一个实例的集成电路(Integrated Circuit;IC)制造系统和相关联IC制造流程的实施例的简化框图。
附图标号说明
100:掩模优化过程;
102:初始掩模图案;
104、201:目标图案;
105:约束;
106:所存储的布局图案;
107:目标图案空间;
108:模拟;
110:目标优化;
112:目的函数;
114:误差;
116:ILT梯度;
118:优化后的掩模图案;
120、1118、1204:优化后的目标图案;
202、302、312、322、404、414、706:特征;
203:经修改目标图案;
204:原始方位;
205:经修改布局图案;
207、608、610、612、614、628、630、632、634:距离;
301、311、321、402、412、604、618、624:外约束;
303、313、323、401、411、606、616、626:内约束;
403、405:部分;
406:模拟轮廓;
408:受约束轮廓;
410:遵从性轮廓;
501、502、1102:轮廓;
504:线宽约束;
508:底端;
512:线间距约束;
602:第一特征;
620:第二特征;
622:第三特征;
702:高权重域;
704:低权重域;
800:物理计算系统;
802:存储器;
804:软件;
806:数据;
808:处理器;
810:用户界面;
812:用户;
900、1000:方法;
902、904、906、908、910、912、914、1002、1004、1006、1008、1010:过程;
1104:内边缘放置误差约束;
1106:外边缘放置误差约束;
1108:初始设计目标特征;
1110:线间距违反;
1112:线宽间距违反;
1114、1116:更新的轮廓;
1120、1206:曼哈顿化版本;
1202:原始目标图案;
1300:集成电路制造系统;
1320:设计室;
1322:IC设计;
1330:掩模室;
1332:数据准备;
1350:制造厂;
1344:掩模制造;
1352:晶片;
1360:集成电路。
具体实施方式
以下公开内容提供用于实施所提供主题的不同特征的许多不同实施例或实例。下文描述组件和布置的特定实例以简化本公开。当然,这些只是实例且并不意欲为限制性的。举例来说,在以下描述中,第一特征在第二特征上方或第二特征上的形成可包含第一特征与第二特征直接接触地形成的实施例,并且还可包含额外特征可在第一特征与第二特征之间形成使得第一特征与第二特征可不直接接触的实施例。另外,本公开可以在各种实例中重复附图标号和/或字母。此重复是出于简化和清楚的目的,且本身并不规定所论述的各种实施例和/或配置之间的关系。
此外,为易于描述,可在本文中使用例如“下方”、“在…下方”、“下部”、“在…上方”、“上部”和类似术语的空间相对术语,以描述如图中所示的一个元件或特征与另一元件(或多于一个元件)或特征(或多于一个特征)的关系。除图中所描绘的定向之外,空间相对术语意图涵盖装置在使用或操作中的不同定向。设备可以其它方式定向(旋转90度或处于其它定向),且本文中所使用的空间相对描述词同样可相应地进行解释。
如上文所描述,电路设计员通常将目标图案发送给掩模制造商。目标图案通常定义为形成所需图案的几个多边形特征。掩模制造商可随后创建与目标图案相关联的初始布局图案。随后,掩模制造商可对目标图案应用各种光刻模型以创建优化后的布局图案。这些模型考虑到光刻系统的参数。现代光刻系统基于投影光学——光在掩模和复杂透镜系统传播,以在光刻胶层上创建所需的图案。
如果使用与目标图案精确匹配的图案来制造掩模,那么在光刻胶层上形成的实际图案可能非常不同于目标图案。为了避免这个,对光刻过程建模型以使布局图案可优化,以便印刷图案尽可能准确地匹配目标图案。这个优化过程可以是迭代模拟过程(iterativesimulation process)。具体地说,对初始布局图案的变化进行模拟,直到模拟图案尽可能准确地匹配目标图案。改进这个过程是合乎希望的。
根据本文所描述的原理,将用于掩模的布局图案优化,以使与布局图案对应的印刷图案会最准确地匹配目标图案。此外,允许目标图案自身在所限定的约束内变化。这些约束可设计成容许变化,而不显著地影响与目标图案相关联的电路的性能。举例来说,情形可以是,可用原始目标图案与模拟图案之间的1纳米的差来修改掩模以匹配原始目标图案。然而,对约束内原始目标的修改可不产生模拟图案与经修改目标图案之间的差。
图1是绘示用于改进的布局图案优化的说明性方法的流程图。具体地说,图1绘示接收目标图案104和初始布局图案(即初始掩模图案)102作为输入并输出优化后的布局图案(即优化后的掩模图案)118和优化后的目标图案120的掩模优化过程100。优化过程创建所存储的布局图案106。所存储的布局图案随后经历模拟108、目标优化过程110以及目的函数评估112。在这些过程之后,如果误差114小于预定值,那么生成优化后的布局图案118和优化后的目标图案120。然而,如果误差114大于预定值,那么应用ILT梯度过程116并更新所存储的布局图案106,且模拟过程继续。通常,在生成可接受目标图案120和布局图案118之前多次重复这个迭代过程。
目标图案104是意欲在晶片上形成的图案。举例来说,电路设计员可设计待制造的电路。可随后将各种函数应用于电路设计以创建将用于形成电路的目标图案的几个层。举例来说,一个层可以是栅极层。另一层可以是通孔层。另一层可以是金属接触层。使用单独光刻过程来制造每一层。对于每一个光刻过程和对应层而言,都存在目标图案。目标图案可显现为多边形特征的布局。
掩模优化过程100可连同目标图案104一起,接收一组约束105。这些约束涉及允许目标图案中的一些变化的一组规则,而仍然保持与目标图案104相关联的电路的所需功能性。在约束105内配合的所有可能目标图案由目标图案空间107定义。
初始布局图案102是将在应用任何优化之前形成于掩模上的图案的布局。在一些实例中,初始布局图案可仅仅匹配目标图案。然而,在一些实例中,初始布局图案可表示目标图案的修改。举例来说,可将函数应用于目标图案,所述目标图案为光刻过程中的因子并创建初始布局图案102,如果在光刻过程中制造并使用初始布局图案,那么初始布局图案将生成与目标图案104的准确匹配。
掩模优化过程100涉及所存储的布局图案106。所存储的布局图案106是布局图案的数字表示。可随着迭代掩模优化过程100的进行来更新所存储的布局图案106。
对掩模优化过程100的具体迭代而言,将模拟过程108应用于所存储的布局图案106。模拟过程108利用与光刻过程相关联的已知参数,所述参数用来为晶片上的目标图案建模型。具体地说,模拟过程108模拟如果使用光刻过程的指定参数将所存储的布局图案106印刷到晶片上那么所存储的布局图案106将看起来的样子。印刷图案可不匹配布局图案。
根据本文所描述的原理,允许目标图案自身在指定限制内变化,而非尝试各种布局图案以非常接近于单个目标图案。举例来说,在接收目标图案时,掩模优化过程100可接收与目标图案相关联的一组规则。这些规则可设计成允许目标图案中的一些变化,同时大致上维持最初所设计的电路的性能。这类规则可包含,例如线间距限制、线宽限制以及其它间距相关规则。在目标优化过程110中指示了允许调节目标图案的过程。
在应用目标优化过程110之后,应用目的函数评估过程112。目的函数评估过程112生成误差值。如将在下文进一步详细解释的,误差值表示模拟轮廓与目标图案104之间的差。如果这个误差值在预定阈值以下,或被确定为最小误差值,那么随后完成掩模优化过程100并输出优化后的布局图案118和优化后的目标图案120。可使用其它技术以终止所述过程。举例来说,可存在最大数目的迭代,在所述迭代之后,选择迄今为止得出的最理想选项。然而,如果误差值在预定阈值之上,或尚未确定所述误差值是最小值,那么掩模优化过程100继续。通过应用ILT梯度函数116来继续掩模优化过程100,函数对所存储的布局图案106做出进一步调节。随后,通过模拟修改的所存储的布局图案106并评估目的函数112来重复所述过程。
使用本文所描述的原理,新目标图案可在局部1D区具有宽松间距并在适当的地方具有线端拉回(line-end pullback)。与当前方法相比,这类设计的优化后的掩模将更简单,由此减少掩模写入器时间并改进掩模可靠性。此外,将改进工艺空间(processwindow),由此改进晶片成品率。
使用边缘放置公差(如将在下文更详细地描述),这个方案可改进当前的目标上ILT流程,所述ILT流程使用权重域(weight field)以不强调晶片轮廓-目标在例如拐角周围的非关键区的不匹配。也就是说,即使不重定目标,这个方案也可改进优化的收敛特性并向用户提供对所需最终晶片轮廓的更直接控制。
如上文所描述,掩模优化过程100包含目的函数评估过程112。在无目标优化过程110的情况下,目的函数可定义如下:
(m)=∫w(x)(ψ(x;m)-ψ0(x))2dx,
其中ψ(x;m)是带有布局图案的模拟晶片轮廓m,ψ0(x)是目标图案,以及w(x)是取决于区的重要性而指配较高值或较低值的权重域。一般来说,目的函数可为在模拟晶片轮廓处于不同工艺条件下时这些项的总和,以改进整个工艺空间。其它目的函数也被涵盖。
在有目标优化过程110的情况下,目的函数可进一步定义如下:
其中
Ω表示所有可能目标设计在设计目标约束105内的目标图案空间107。换句话说,在有目标优化过程110的情况下,目的函数表示具体掩模的模拟轮廓与目标图案空间107内的最类似目标图案之间的差。
在多个布局图案和多个目标图案中找出最小目的函数的过程是双层优化问题,其中上层问题是标准ILT优化且下层问题是目标设计优化。
半导体电路往往包含金属线以将各种特征(即晶体管)连接在一起。使用通孔来将一个层的金属线或其它特征连接到另一层的金属线或其它特征。为了避免金属线和其它这些特征对邻接通孔而言变得太细,可使用额外约束。举例来说,可使用额外最小线宽约束和额外最小线间距约束。结合正确选择的约束105,例如合适的线宽约束和线间距宽度约束,可确保所得目标图案是光刻合适的并具有与原始设计相同或类似的电气性能。
图2A是绘示说明性目标图案201的简图。目标图案201可对应于上文描述的初始目标图案104。根据本实例,目标图案201包含两个特征202。在这一实例中,特征202是两个细长平行线。这类线可以是例如金属线。在一些实例中,这类线可表示鳍片结构或细长栅极装置。
图2B是绘示经修改目标图案203的简图。经修改目标图案203可对应于上文描述的优化后的目标图案120。根据本实例,经修改目标图案203包含已从原始方位204移动的特征202。具体地说,特征202已从原始方位204移动了距离207。
图2C是绘示所示出的经修改布局图案205的简图。经修改布局图案205可对应于上文描述的优化后的布局图案118。根据本实例,经修改布局图案205表示将形成经修改目标图案的布局图案。可通过上文描述的掩模优化过程100来获得经修改布局图案205。具体地说,可能已确定得自经修改布局图案的模拟轮廓具有最小差,所述最小差为模拟轮廓相较于其它掩模设计的对应目标图案与目标图案空间107中的其它掩模设计的对应目标图案之间的最小差。
图3A、图3B以及图3C是绘示对于目标图案特征的各种边缘放置误差约束的简图。如上文所描述,可将各种约束放置在用于掩模优化过程100的布局图案上。一个这类约束条件被称作边缘放置误差约束。
图3A绘示特征302的边缘放置误差约束。具体地说,存在外约束301和内约束303。约束301、约束303定义区,在所述区中必须保持目标图案的变化。换句话说,在经修改目标图案中,可不修改特征302以使所述特征的任何部分延伸到外约束301之外或延伸至内约束303内。
图3B绘示特征312的边缘放置误差约束。具体地说,存在外约束311和内约束313。约束311、约束313定义区,在所述区中将保持目标图案的变化。换句话说,在经修改目标图案中,可不修改特征312以使所述特征的任何部分延伸到外约束311之外或延伸至内约束313内。
图3C绘示特征322的边缘放置误差约束。具体地说,存在外约束321和内约束323。内约束323类似于内约束303。然而,外约束321不同于外约束301。具体地说,外约束321的拐角是延伸的。约束321、约束323定义区,在所述区中将保持目标图案的变化。换句话说,在经修改目标图案中,可不修改特征322以使所述特征的任何部分延伸到外约束321之外或延伸至内约束323内。
图4A是绘示对于目标图案特征404的边缘放置误差约束的简图。根据本实例,存在外约束402和内约束401。图4B是绘示对于目标图案特征和模拟轮廓406的边缘放置误差约束的简图。具体地说,绘示了大部分处于外约束402内的模拟轮廓406。然而,部分403延伸到外约束402之外。因此,轮廓406违反约束。
图4C是绘示对于目标图案特征和受约束模拟轮廓的边缘放置误差约束的简图。具体地说,为了遵从约束402,在部分405处将所述轮廓切断。因此,受约束轮廓408配合在外约束402内。受约束轮廓408可与目的函数一起使用以帮助构建新目标。具体地说,在迭代地应用上文描述的掩模优化过程100之后,可得到如图4D中所绘示的轮廓410。具体地说,可将ILT梯度过程116应用于受约束轮廓408。轮廓408是目标优化110的输出的实例。所述轮廓在应用梯度过程116之前馈入到目的函数112中。所述过程的结果可随后用于更新所存储的布局图案106。在多个迭代之后,生成遵从性轮廓(compliant contour)410。图4D是绘示对于目标图案特征和遵从性轮廓410的边缘放置误差约束的简图。遵从性轮廓410并不延伸到外约束402之外并由此不必如图4C中所绘示被切断。图4D表示在应用本文所描述的原理之后的可接受结果。
图5A是绘示说明性线宽约束的简图。根据本实例,特征404具有外约束402和内约束401。类似地,特征414具有外约束412和内约束411。与特征404相关联的轮廓保持在内约束401和外约束402内。然而,轮廓502违反线宽约束504。在一些实例中,靠近线端的违反可经专门处理且可取决于用户偏好而忽略或不忽略。具体地说,轮廓502的底端508形成锥形并因此小于所需线宽。因此,应修改轮廓502以使所述轮廓不违反线宽约束。
图5B是绘示说明性线间距约束的简图。如上文所描述,与特征404相关联的轮廓502保持在内约束401和外约束402内。类似地,与特征414相关联的轮廓501保持在内约束411和外约束412内。然而,轮廓501、轮廓502违反线间距约束512。因此,应修改所述轮廓以遵从这一约束512。
图6是绘示说明性不对称边缘放置误差约束的简图。虽然与先前的图相关联的边缘放置误差约束描述对称约束,但还涵盖不对称边缘放置误差约束。图6绘示第一特征602、第二特征620以及第三特征622。第一特征602具有内约束606和外约束604。内约束606与外约束604之间的距离608大于特征602的另一侧上的内约束606与外约束604之间的距离610。此外,内约束606与特征602之间的距离612小于内约束606与特征602的边缘之间的距离614。
在本实例中,内约束626与外约束624之间的距离628小于特征622的另一侧上的内约束626与外约束624之间的距离630。此外,内约束626与特征622的边缘之间的距离632大于特征622的另一侧上的内约束626与特征622的边缘之间的距离634。
本文所描述的这个原理可用于基于的模型重定目标,但对于标准固定目标方案也具有优势。大体上,如上文所描述的目的函数的权重域w(x)用于不强调目标的不那么重要的区,例如拐角上的区或凹凸物上的区。这是因为这类特征既不可实行光刻也不是所需的。然而,如果用比这类特征周围更宽的边缘放置公差来替换固定目标方案,那么目标优化方案直接处理权重域所接近的。因为这些边缘放置公差可能已经构建为下游光刻检验的一部分,所以可在掩模设计优化中再次使用边缘放置公差。权重域可以与本文所描述的原理结合使用。
图7是绘示不同权重域的简图。在一些实例中,可在各种区以不同方式对模拟轮廓与对应目标图案之间的差进行加权。这个可由如上文所描述的目的函数的w(x)部分来定义。举例来说,可对模拟轮廓与目标图案之间的处于具体特征706的拐角的差比处于特征706的其它部的差加权更小的权重。具体地说,图7绘示特征706,所述特征带有拐角处的低权重域704和沿拐角之间的边缘的高权重域702。
图8是绘示用于执行如本文所描述的目标图案调节和修改的说明性计算系统的简图。根据某些说明性实例,物理计算系统800包含存储器802,所述存储器802具有软件804和存储于其上的数据806。物理计算系统800还包含处理器808和用户界面810。
存在许多可用的存储器类型。一些存储器类型是设计用于存储的,例如固态驱动器。这些存储器类型通常具有大存储容量但性能相对缓慢。其它存储器类型针对速度进行优化并往往被称作“工作存储器”,例如那些用于随机存取存储器(Random Access Memory;RAM)的存储器类型。各种形式的存储器可以软件804和数据806的形式存储信息。数据806可包含目标图案104、初始布局图案102、优化后的目标图案120以及优化后的布局图案118的数字表示。软件806可包含用于执行本文中所描述的过程的机器可读指令,所述本文中所描述的过程例如掩模优化过程100。举例来说,软件806可包含非暂时性机器可读指令以用于:接收布局图案;接收与布局图案相关联的目标图案;接收与目标图案相关的一组约束;模拟与布局图案相关联的第一轮廓;确定第一轮廓与目标图案之间的第一差;模拟与经修改布局图案相关联的第二轮廓;以及确定第二轮廓与经修改目标图案之间的第二差。经修改目标图案不同于目标图案并在约束内。
软件806还可包含非暂时性机器可读指令以用于:接收布局图案;接收与布局图案相关联的目标图案;接收与目标图案相关的一组约束;在整个目标图案空间中迭代地模拟布局图案变化的轮廓,目标图案空间包括约束内的目标图案的变化;以及从目标图案空间中选择最终布局图案和最终目标图案。
物理计算系统800还包含处理器808以用于执行软件804并使用或更新存储在存储器802中的数据806。除存储软件804之外,存储器802还可存储操作系统。操作系统允许其它应用程序与物理计算系统的硬件正确地交互作动。
用户界面810可向用户812提供与系统交互作动的构件。用户812可使用例如键盘或鼠标的各种工具以将信息输入到物理计算系统中。此外,例如显示屏的各种输出装置可用于向用户812提供信息。用户可输入例如与目标图案相关联的约束的各种数据,以便创建目标图案空间107。
图9是绘示用于改进的布局图案优化的说明性方法的流程图。可通过由物理处理系统执行的机器可读指令来执行所述方法。根据本实例,方法900包含用于接收布局图案的过程902。布局图案可以是例如初始布局图案102的初始布局图案。
方法900进一步包含用于接收与布局图案相关联的目标图案的过程904。目标图案可以是例如如上文所描述的目标图案104。方法900进一步包含用于接收与目标图案相关的一组约束的过程906。所述约束可以是例如约束105。这类约束可包含边缘放置误差约束、线宽约束以及线间距约束。边缘放置误差约束可在离原始目标图案约0.5纳米与1.0纳米的范围内。在一些实例中,边缘放置误差约束可以是不对称的。
方法900进一步包含用于模拟与布局图案相关联的第一轮廓的过程908。所述过程可以是例如在掩模优化过程100的第一迭代期间的模拟过程108。模拟第一轮廓可涉及掩模优化过程100的其它方面。举例来说,模拟第一轮廓还可包含目标优化过程110。
方法900进一步包含用于确定第一轮廓与目标图案之间的差的过程910。在一些实例中,确定所述差包含目的函数评估过程112和误差值确定过程114。
方法900进一步包含用于模拟与经修改布局图案相关联的第二轮廓的过程912。所述过程可以是例如在掩模优化过程100的后续迭代期间的模拟过程108。模拟第二轮廓可涉及掩模优化过程100的其它方面。举例来说,模拟第二轮廓还可包含目标优化过程110。经修改布局图案可以是已在掩模优化过程的先前迭代之后更新的图案。
方法900进一步包含用于确定第二轮廓与经修改目标图案之间的差的过程914,经修改目标图案不同于目标图案并在约束内。换句话说,经修改目标图案不同于原始目标图案但仍然在目标图案空间内。
过程912和过程914可迭代地继续。举例来说,可模拟用于各种经修改布局图案和目标图案空间内的各种目标图案的多个轮廓。随后,可从目标图案空间中选择最终目标图案并选择相关联布局图案以创建最终目标图案。所选择的目标图案和所选择的布局图案可具有最终布局图案的模拟轮廓与最终目标图案之间的最小差。
在选择最终布局图案和最终目标图案之后,可制造最终布局图案。可利用所制造的掩模而使用光刻过程在晶片上创建最终目标图案。在一些实例中,出于检测或检验的目的,可将最终目标图案的数字表示做成矩形而非弯曲的。这个过程有时被称作曼哈顿化(manhattanizing)或矩形化。
图10是绘示用于改进的布局图案优化的说明性方法的流程图。可通过由物理处理系统执行的机器可读指令来执行所述方法。根据本实例,方法1000包含用于接收布局图案的过程1002。布局图案可以是例如初始布局图案102的初始布局图案。
方法1000进一步包含用于接收与布局图案相关联的目标图案的过程1004。目标图案104可以是例如如上文所描述的目标图案104。方法1000进一步包含用于接收与目标图案相关的一组约束的过程1006。所述约束可以是例如约束105。这类约束可包含边缘放置误差约束、线宽约束以及线间距约束。边缘放置误差约束可在离原始目标图案约0.5纳米与1.0纳米的范围内。在一些实例中,边缘放置误差约束可以是不对称的。
方法1000进一步包含用于在整个目标图案空间中迭代地模拟布局图案变化的轮廓的过程1008。目标图案空间可包含约束内的目标图案的变化。这个迭代过程可包含模拟过程108、目标优化过程110、目的函数评估过程112、误差值确定过程114以及上文描述的ILT梯度过程。约束内目标图案的几个变化中的多种掩模轮廓都可进行模拟。
方法1000进一步包含用于从目标图案空间中选择最终布局图案和最终目标图案的过程1010。最终布局图案的模拟轮廓与整个目标图案空间中的最终目标图案具有最小差。在确定最终布局图案之后,可制造带有所述最终布局图案的掩模。
可利用所制造的掩模而使用光刻过程在晶片上创建最终目标图案。在一些实例中,最终目标图案的数字表示可做成矩形而非弯曲的。这个过程有时被称作曼哈顿化或矩形化。
本文所描述的原理可用于各种光刻技术以形成多种特征。举例来说,可根据深紫外线(Deep Ultraviolet;DUV)光刻和极紫外线(Extreme Ultraviolet;EUV)光刻来使用本文所描述的原理。此外,本文所描述的原理可用于形成各种特征,所述各种特征包含但不限于鳍片结构、多晶硅栅极结构、通孔、金属内连线以及其它特征。
图11A、图11B、图11C、图11D以及图11E是绘示与本文所描述的重定目标过程相关联的各种图案特征和模拟轮廓的简图。图11A绘示初始设计目标特征1108。定义了内边缘放置误差约束1104和外边缘放置误差约束1106。也绘示了模拟轮廓1102。可使用例如如上文所描述的过程108来得到这个轮廓1102。可以看出,由于轮廓1102的一部分在内边缘放置误差约束内,所以模拟轮廓1102违反边缘放置误差约束。模拟轮廓1102还具有多个线间距违反1110和线宽间距违反1112。
图11B绘示遵从线宽约束和线间距约束的更新的轮廓1114,但所述轮廓不一定遵从边缘放置误差约束1104和边缘放置误差约束1106。图11C绘示遵从边缘放置误差约束1104和边缘放置误差约束1106的更新的轮廓1116,所述轮廓也遵从线间距约束和线宽约束。在一些实例中,还可在更新的轮廓1116的结果的顶部上应用平滑约束。
图11D绘示可根据上文描述的目标优化过程110得到的优化后的目标图案1118。换句话说,优化后的目标图案1118是当模拟产生的轮廓更接近优化后的目标图案的轮廓时的原始目标图案的变化。图11E绘示优化后的目标图案的曼哈顿化版本1120。
图12A、图12B以及图12C是绘示说明性重定目标过程的简图。在本实例中,图12A绘示原始目标图案1202。原始目标图案1202可对应于目标图案104。图12B绘示优化后的目标图案1204。优化后的目标图案1204可对应于优化后的目标图案120。图12C绘示优化后的目标图案1204的曼哈顿化版本1206。
图13是集成电路(IC)制造系统1300和与其相关联的IC制造流程的实施例的简化框图,所述集成电路制造系统可用于使用上文描述的过程来制造掩模。IC制造系统1300包含多个实体,例如设计室1320、掩模室1330以及IC制造商1350(即制造厂),所述多个实体在设计、开发以及制造周期和/或与制造集成电路(IC)装置1360相关的服务中与彼此交互作动。多个实体通过通信网络连接,所述通信网络可以是单一网络或多种不同网络,例如内联网(intranet)和因特网(Internet),且可以包含有线和/或无线通信信道。每个实体都可以与其它实体交互作动且可以提供服务到其它实体和/或从其它实体接收服务。设计室1320、掩模室1330以及IC制造商1350中的一个或多个可被单个较大公司拥有,且甚至可在共用设施中共存并使用共用资源。
设计室(或设计组)1320生成IC设计布局1322。IC设计布局1322包含设计用于IC装置1360的各种几何图案。几何图案对应于组成将制造的IC装置1360的各个部件的金属图案、氧化物图案或半导体层图案。所述各种层组合以形成各种IC特征。举例来说,IC设计布局1322的一部分包含将在半导体衬底(例如硅晶片)和安置于半导体衬底上的各种材料层中形成的各种IC特征,所述特征例如有源区、栅极电极、源极和漏极、层间互连的金属线或通孔,以及用于接合垫的开口。设计室1320实施恰当的设计步骤以形成IC设计布局1322。所述设计步骤可以包含逻辑设计、物理设计和/或布局和路由。IC设计布局1322以带有几何图案信息的一个或多个数据文件的形式呈现。举例来说,可用GDSII文件格式或DFII文件格式表达IC设计布局1322。
掩模室1330使用IC设计布局1322来制造一个或多个掩模,所述掩模将用于根据IC设计布局1322制造IC装置1360的各种层。掩模室1330执行掩模数据准备1332,在所述掩模数据准备中,IC设计布局1322转换成可通过掩模写入器在物理上写入的形式;以及进行掩模制造1344,在所述掩模制造中,通过掩模数据准备1332来准备的设计布局经修改以遵从具体的掩模写入器和/或掩模制造商且随后被制造。在本实施例中,掩模数据准备1332和掩膜制造1344作为分开的元件来说明,然而,掩模数据准备1332和掩膜制造1344可以共同称为掩模数据准备。
掩模数据准备1332通常包含光学邻近校正(optical proximity correction;OPC),所述光学邻近校正利用光刻增强技术(lithography enhancement technique)来补偿图像误差,例如那些可起因于衍射、干扰或其它过程效应的图像误差。举例来说,OPC可根据周围几何形状的密度来调节线宽;将“狗骨式(dog-bone)”端盖添加到线端以防止线端缩短;或校正电子束(e束)邻近效应。OPC可根据光学模型或规则来为IC设计布局1322添加辅助特征,所述辅助特征例如散射条、衬线(serif)和/或锤头(hammerhead),从而在光刻过程之后改进晶片上的最终图案,使分辨率和精确度增强。掩模数据准备1332可包含其它分辨率增强技术(resolution enhancement technique;RET),例如离轴照明、亚分辨率辅助特征、相移掩模、其它合适的技术或其组合。可以与OPC结合使用的一个技术是反向光刻技术(inverse lithography technology;ILT),所述技术将OPC视为反向成像问题。在一些情况下,ILT生成非直观掩模图案,例如自由形式(或任意形)图案。
掩模数据准备1332进一步包含掩模规则检查器(mask rule checker;MRC),所述掩模规则检查器检查已经历伴随一组掩模产生规则的OPC过程的IC设计布局,所述一组掩模产生规则可含有某些几何限制和连接性限制以确保足够的边际、以考虑半导体制造过程中的可变性等等。在一些情况下,MRC修改IC设计布局以补偿掩模制造1344期间的限制,这样可撤销由OPC执行的部分修改以便符合掩模产生规则。举例来说,MRC可执行曼哈顿转换(Manhattan conversion)以将弯曲的理想掩模从ILT转换成锯齿形多边形图案,以便符合掩模产生规则。在一个实例中,曼哈顿转换将输出图案边缘限制为水平的或垂直的,以适应e束掩模写入器。因此,可生成在掩膜制造1344中经受长运行时间的扩展段和凹凸物。掩模数据准备1332可进一步包含模拟处理的光刻过程检查(lithography process checking;LPC),所述处理将由IC制造商1350实施以制造IC装置1360。LPC基于IC设计布局1322创建来模拟这一处理以创建经模拟制造的装置,例如IC装置1360。在LPC模拟中的处理参数可包含与IC制造周期的各种过程相关联的参数、与用于制造IC的工具相关联的参数和/或制造过程的其它方面。LPC考虑各种因子,例如航空图像对比度、聚焦深度(“depth offocus;DOF”)、掩模误差增强因子(“mask error enhancement factor;MEEF”)、其它合适的因子或其组合。
在由LPC创建模拟制造的装置之后,如果模拟的装置的形状不够紧密符合设计规则,那么可重复掩模数据准备1332中的某些步骤(例如OPC和MRC)以进一步细化IC设计布局1322。
应理解,掩模数据准备1332的上述描述已出于清楚的目的进行简化,且数据准备可以包含例如逻辑操作(logic operation;LOP)的另外特征,以根据制造规则修改IC设计布局。此外,在数据准备1332期间应用于IC设计布局1322的过程可按多种不同次序执行。
在掩模数据准备1332之后且在掩膜制造1344期间,可基于修改的IC设计布局制造掩模或一组掩模。举例来说,电子束(e束)或多个e波束的机制用于基于修改的IC设计布局在掩模(光掩模或光罩)上形成图案。掩模可以用各种技术形成。在实施例中,掩模使用二元技术形成。在本实施例中,掩模图案包含不透明区和透明区。用来暴露涂布在晶片上的图像敏感材料层(例如光刻胶)的辐射束被不透明区阻挡并穿过透明区发射,所述辐射束例如紫外线(UV)束。在一个实例中,二元掩模包含透明衬底(例如熔融石英)以及涂布在掩模的不透明区中的不透明材料(例如铬)。在另一实例中,掩模使用相移技术形成。在相移掩模(phase shift mask;PSM)中,形成于掩模上的图案中的各种特征配置成具有恰当的相位差,以增强分辨率和成像质量。在各种实例中,相移掩模可以是衰减式PSM或交替式PSM。
例如半导体铸造厂的IC制造商1350使用由掩模室1330制造的掩模(或多于一个掩模)来制造IC装置1360。IC制造商1350是IC制造企业,其可包含用于制造多种不同IC产品的大量制造设施。举例来说,可存在用于多个IC产品的前端制造(即前段工艺(front-end-of-line;FEOL)制造)的制造设施,而第二制造设施可提供用于IC产品的互连和封装的后端制造(即后段工艺(back-end-of-line;BEOL)制造),且第三制造设施可为铸造厂企业提供其它服务。在本实施例中,使用掩模(或多于一个掩模)来制造半导体晶片以形成IC装置1360。半导体晶片包含硅衬底或其它恰当的衬底,所述其它恰当的衬底具有形成于其上的材料层。其它恰当的衬底材料包含:另一合适的基础半导体,例如金刚石或锗;合适的化合物半导体,例如碳化硅、砷化铟或磷化铟;或合适的合金半导体,例如碳化硅锗、磷化镓砷或磷化镓铟。半导体晶片可进一步包含各种掺杂区、介电特征以及多级内连线(由后续制造步骤形成)。掩模可用于多种过程中。举例来说,掩模可以用于离子植入过程以在半导体晶片中形成各种掺杂区、用于蚀刻过程以在半导体晶片中形成各种蚀刻区和/或用于其它合适的过程。
使用本文所描述的原理,新目标图案可在局部1D区具有宽松间距并在适当的地方具有线端拉回。与常规方法相比,用于这类设计的优化后的掩模将更简单,由此减少掩模写入器时间并改进掩模可靠性。此外,将改进工艺空间,由此改进晶片成品率。
在第一实例中,一种由计算系统执行的方法包含:接收布局图案;接收与布局图案相关联的目标图案;接收与目标图案相关的一组约束;模拟与布局图案相关联的第一轮廓;确定第一轮廓与目标图案之间的第一差;模拟与经修改布局图案相关联的第二轮廓;以及确定第二轮廓与经修改目标图案之间的第二差。经修改目标图案不同于目标图案并在约束内。方法进一步包含制造具有最终布局图案的掩模。
第一实例可进一步包含使用多个经修改布局图案以及在约束内的多个经修改目标图案来模拟多个轮廓。
第一实例可进一步包含选择多个经修改目标图案的最终目标图案以及在多个经修改布局图案内的最终布局图案,其具有在最终布局图案的模拟轮廓与最终目标图案之间的最小差。
第一实例可进一步包含使用掩模制造集成电路。
第一实例可进一步包含将最终目标图案矩形化。
在第一实例中,约束可包含边缘放置误差约束。
在第一实例中,边缘放置误差约束可在约0.5纳米与1.0纳米的范围内。
在第一实例中,边缘放置误差约束可以是不对称的。
在第一实例中,约束可包含线宽约束。
在第一实例中,约束可包含线间距约束。
在第二实例中,一种由计算系统执行的方法包含:接收布局图案;接收与布局图案相关联的目标图案;接收与目标图案相关的一组约束;以及在整个目标图案空间中迭代地模拟布局图案变化的轮廓。目标图案空间包含约束内的目标图案的变化。所述方法进一步包含从目标图案空间选择最终布局图案以及最终目标图案并制造具有最终布局图案的掩模。
在第二实例中,最终布局图案的模拟轮廓可与整个目标图案空间中的最终目标图案具有最小差。
第二实例可进一步包含使用掩模制造集成电路。
在第二实例中,约束可包含边缘放置误差约束。
在第二实例中,约束可包含线宽约束以及线间距约束。
第二实例可进一步包含将最终目标图案矩形化。
第二实例可进一步包含在各种区以不同方式对模拟轮廓与对应目标图案之间的差进行加权。
在第三实例中,一种计算系统包含处理器和存储器,所述存储器包括机器可读指令,在由处理器执行时,所述机器可读指令使得系统:接收布局图案以及与布局图案相关联的目标图案,并接收与目标图案相关的一组约束。所述约束对目标图案变化进行限制。所述系统进一步在约束内的整个目标图案空间中迭代地模拟布局图案变化的轮廓,并从目标图案空间中选择最终布局图案以及最终目标图案。最终布局图案的模拟轮廓与整个目标图案空间中的最终目标图案具有最小差。
在第三实例中,约束可包含以下中的至少一个:边缘放置误差约束、线宽约束以及线间距约束。
在第三实例中,边缘放置误差约束可以是不对称的。
前文概述若干实施例的特征以使得本领域的技术人员可更好地理解本公开的各方面。本领域的技术人员应了解,其可以易于使用本公开作为设计或修改用于进行本文中所介绍的实施例的相同目的和/或获得相同优势的其它工艺和结构的基础。本领域的技术人员还应认识到,这类等效构造并不脱离本公开的精神及范围,且其可在不脱离本公开的精神和范围的情况下在本文中进行各种改变、替代以及更改。

Claims (1)

1.一种由计算系统执行的方法,所述方法包括:
接收布局图案;
接收与所述布局图案相关联的目标图案;
接收与所述目标图案相关的一组约束;
模拟与所述布局图案相关联的第一轮廓;
确定所述第一轮廓与所述目标图案之间的第一差;
模拟与经修改布局图案相关联的第二轮廓;
确定所述第二轮廓与经修改目标图案之间的第二差,所述经修改目标图案不同于所述目标图案并在所述约束内;以及
制造具有最终布局图案的掩模。
CN201810985466.XA 2017-11-29 2018-08-28 由计算系统执行的方法 Pending CN109840342A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762592230P 2017-11-29 2017-11-29
US62/592,230 2017-11-29
US16/010,782 2018-06-18
US16/010,782 US10838296B2 (en) 2017-11-29 2018-06-18 Mask optimization process

Publications (1)

Publication Number Publication Date
CN109840342A true CN109840342A (zh) 2019-06-04

Family

ID=66632221

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810985466.XA Pending CN109840342A (zh) 2017-11-29 2018-08-28 由计算系统执行的方法

Country Status (3)

Country Link
US (3) US10838296B2 (zh)
CN (1) CN109840342A (zh)
TW (1) TW201925912A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112542375A (zh) * 2020-12-01 2021-03-23 泉芯集成电路制造(济南)有限公司 一种提高光罩图形线宽均匀性的方法及装置

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10838296B2 (en) 2017-11-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Mask optimization process
US10877380B1 (en) * 2019-09-17 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Using inverse lithography technology in a method of mask data preparation for generating integrated circuit
WO2021091838A1 (en) * 2019-11-04 2021-05-14 Synopsys, Inc. Using mask fabrication models in correction of lithographic masks
EP4055444A1 (en) 2019-11-04 2022-09-14 Synopsys, Inc. Using mask fabrication models in correction of lithographic masks
KR20220020580A (ko) * 2020-08-12 2022-02-21 삼성전자주식회사 곡선 모양을 갖는 마스크 제작 방법 및 반도체 소자 형성 방법

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9367655B2 (en) 2012-04-10 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Topography-aware lithography pattern check
US8631360B2 (en) 2012-04-17 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methodology of optical proximity correction optimization
US8850366B2 (en) 2012-08-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for making a mask by forming a phase bar in an integrated circuit design layout
US8954899B2 (en) 2012-10-04 2015-02-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contour alignment system
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9195134B2 (en) 2013-08-01 2015-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for integrated circuit mask patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9583438B2 (en) 2014-12-26 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Interconnect structure with misaligned metal lines coupled using different interconnect layer
DE102016204746A1 (de) 2016-03-22 2017-09-28 Bayerische Motoren Werke Aktiengesellschaft Autorisierung der Nutzung eines Kraftfahrzeugs
US10838296B2 (en) 2017-11-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Mask optimization process

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112542375A (zh) * 2020-12-01 2021-03-23 泉芯集成电路制造(济南)有限公司 一种提高光罩图形线宽均匀性的方法及装置

Also Published As

Publication number Publication date
US20220326604A1 (en) 2022-10-13
US11360383B2 (en) 2022-06-14
TW201925912A (zh) 2019-07-01
US10838296B2 (en) 2020-11-17
US11714349B2 (en) 2023-08-01
US20190163049A1 (en) 2019-05-30
US20210080825A1 (en) 2021-03-18

Similar Documents

Publication Publication Date Title
US11748549B2 (en) Method and apparatus for integrated circuit mask patterning
US8762900B2 (en) Method for proximity correction
US9465906B2 (en) System and method for integrated circuit manufacturing
CN109840342A (zh) 由计算系统执行的方法
US8589830B2 (en) Method and apparatus for enhanced optical proximity correction
TWI745468B (zh) 光罩優化方法及積體電路系統
TWI747977B (zh) 具有任意角之圖案的光罩建模方法
US10520829B2 (en) Optical proximity correction methodology using underlying layer information
TWI742184B (zh) 目標最佳化方法
US20160132627A1 (en) Method and system to prepare, manufacture and inspect mask patterns for a semiconductor device
US10877380B1 (en) Using inverse lithography technology in a method of mask data preparation for generating integrated circuit
US11763057B2 (en) Critical dimension uniformity
TW202018439A (zh) 半導體裝置的製造方法
US11699227B2 (en) Method of verifying error of optical proximity correction model
US11275880B2 (en) Region based shrinking methodology for integrated circuit layout migration
US8250495B2 (en) Mask decomposition for double dipole lithography

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190604

WD01 Invention patent application deemed withdrawn after publication