TW202017131A - 封裝結構、晶粒及其製造方法 - Google Patents

封裝結構、晶粒及其製造方法 Download PDF

Info

Publication number
TW202017131A
TW202017131A TW108115054A TW108115054A TW202017131A TW 202017131 A TW202017131 A TW 202017131A TW 108115054 A TW108115054 A TW 108115054A TW 108115054 A TW108115054 A TW 108115054A TW 202017131 A TW202017131 A TW 202017131A
Authority
TW
Taiwan
Prior art keywords
seed layer
layer
die
conductive pillar
item
Prior art date
Application number
TW108115054A
Other languages
English (en)
Other versions
TWI752315B (zh
Inventor
陳威宇
蘇安治
葉德強
曾華偉
黃立賢
葉名世
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202017131A publication Critical patent/TW202017131A/zh
Application granted granted Critical
Publication of TWI752315B publication Critical patent/TWI752315B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/563Encapsulation of active face of flip-chip device, e.g. underfilling or underencapsulation of flip-chip, encapsulation preform on chip or mounting substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/33Structure, shape, material or disposition of the layer connectors after the connecting process of a plurality of layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/32Additional lead-in metallisation on a device or substrate, e.g. additional pads or pad portions, lines in the scribe line, sacrificed conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68372Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used to support a device or wafer when forming electrical connections thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02373Layout of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/20Structure, shape, material or disposition of high density interconnect preforms
    • H01L2224/21Structure, shape, material or disposition of high density interconnect preforms of an individual HDI interconnect
    • H01L2224/214Connecting portions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24137Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being arranged next to each other, e.g. on a common substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/24153Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate
    • H01L2224/24195Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being arranged next to each other, e.g. on a common substrate the item being a discrete passive component
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明實施例提供一種封裝結構、一種晶粒及其形成方法。封裝結構包括晶粒、包封體、重佈線層結構以及導電端子。晶粒具有連接件。連接件包括晶種層以及位於晶種層上的導電柱。晶種層延伸超出導電柱的側壁。包封體位於晶粒側邊且包封晶粒的側壁。重佈線層結構電連接到晶粒。導電端子經由重佈線層結構電連接到晶粒。

Description

封裝結構、晶粒及其製造方法
由於各種電子元件(即,電晶體、二極體、電阻器、電容器等)的積集度的持續提高,半導體行業已經歷快速成長。在很大程度上,積集度的此種提高來自於最小特徵尺寸(minimum feature size)的持續減小,此使得更多較小的元件能夠整合到給定區域中。這些較小的電子元件也需要與先前的封裝件相比利用較小面積的較小的封裝件。半導體元件的一些較小類型的封裝件包括方形扁平封裝件(quad flat package,QFP)、引腳柵陣列(pin grid array,PGA)封裝件、球柵陣列(ball grid array,BGA)封裝件等等。
當前,積體扇出型封裝件因其緊湊性而正變得日漸流行。
以下揭露內容提供用於實施所提供主題的不同特徵的許多不同的實施例或實例。以下闡述元件及排列的具體實例以簡化本揭露。當然,這些僅為實例而非旨在進行限制。舉例來說,在以下說明中,在第一特徵之上或第一特徵上形成第二特徵可包括其中第二特徵與第一特徵被形成為直接接觸的實施例,且也可包括其中第二特徵與第一特徵之間可形成附加特徵從而使得第二特徵與第一特徵可不直接接觸的實施例。另外,本揭露在各種實例中可重複使用參考編號和/或字母。此種重複使用是為了簡明及清晰起見,且自身並不表示所論述的各個實施例和/或配置之間的關係。
此外,為易於說明,本文中可能使用例如“在…之下(beneath)”、“在…下方(below)”、“下部的(lower)”、“在…上(on)”、“在…上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所示一個元件或特徵與另一(其他)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外還囊括裝置在使用或操作中的不同定向。裝置可具有其他定向(旋轉90度或處於其他定向),且本文中所用的空間相對性描述語可同樣相應地進行解釋。
本揭露也可包括其他特徵及製程。舉例來說,可包括測試結構,以用於對三維(three-dimensional,3D)封裝或三維積體電路(three-dimensional integrated circuit,3DIC)裝置進行驗證測試。所述測試結構可包括例如在重佈線層中或在基底上形成的測試接墊,以使得能夠對三維封裝或三維積體電路進行測試、對探針和/或探針卡(probe card)進行使用等。可對中間結構以及最終結構執行驗證測試。另外,本文中所揭露的結構及方法可結合包括對已知良好晶粒(known good die)進行中間驗證的測試方法來使用,以提高良率並降低成本。
圖1A到圖1J是示出根據本揭露一些實施例的形成封裝結構的方法的示意性剖視圖,其中圖1A到圖1F示出根據本揭露一些實施例的形成晶粒的方法。
參照圖1A,提供晶圓,所述晶圓包括多個晶粒30。為簡潔起見,示出一個晶粒30。在一些實施例中,在執行晶粒鋸切製程之前,晶粒30在晶圓中排列成陣列,但本揭露並非僅限於此。在一些其他實施例中,在執行晶粒鋸切製程之後提供晶粒30。也就是說,可能已從晶圓將晶粒30切割下來。
在一些實施例中,晶粒30包括基底13、介電結構14、內連結構15、接墊16及鈍化層17。在一些實施例中,基底13由矽或其他半導體材料製成。作為另外一種選擇或另外地,基底13包含其他元素半導體材料,例如鍺、砷化鎵或其他合適的半導體材料。在一些實施例中,基底13還可包括其他特徵,例如各種摻雜區、埋入層(buried layer)和/或磊晶層。此外,在一些實施例中,基底13由例如矽鍺、碳化矽鍺、磷化鎵砷或磷化鎵銦等合金半導體製成。另外,基底13可為絕緣體上半導體(semiconductor on insulator),例如絕緣體上矽(silicon on insulator,SOI)或藍寶石上矽(silicon on sapphire)。
在一些實施例中,在基底13中或在基底13上形成有裝置(未示出)。在一些實施例中,裝置可為主動裝置、被動裝置或其組合。在一些實施例中,裝置是積體電路裝置。裝置例如是電晶體、電容器、電阻器、二極體、光電二極體、熔絲裝置等。
在基底13上及裝置之上形成有內連結構15及介電結構14。內連結構15形成在介電結構14中,且內連結構15連接到不同的裝置以形成功能電路。在一些實施例中,介電結構14包括層間介電層(inter-layer dielectric layer,ILD)及一個或多個金屬間介電層(inter-metal dielectric layer,IMD)。在一些實施例中,內連結構15包括多層金屬線及插塞(未示出)。金屬線及插塞包含導電材料,例如金屬、金屬合金或其組合。舉例來說,導電材料可包括鎢(W)、銅(Cu)、銅合金、鋁(Al)、鋁合金或其組合。插塞包括接觸塞(contact plug)及通孔插塞(via plug)。接觸塞位於ILD中以連接到金屬線及裝置。通孔插塞位於IMD中以連接到不同層中的金屬線。
接墊16形成在內連結構15上。接墊16電連接到內連結構15以提供晶粒30的外部連接。在一些實施例中,接墊16是內連結構15的頂部導電特徵。接墊16的材料可包括金屬或金屬合金,例如鋁、銅、鎳或其合金。
鈍化層17形成在基底13之上且覆蓋接墊16的一部分。接墊16的一部分被鈍化層17暴露出且用作晶粒30的外部連接。鈍化層17包含絕緣材料,例如氧化矽、氮化矽、聚合物或其組合。聚合物例如為聚苯並惡唑(polybenzoxazole,PBO)、聚醯亞胺(polyimide,PI)、苯並環丁烯(benzocyclobutene,BCB)、其組合等。鈍化層17具有暴露出接墊16的一部分的開口18。鈍化層17可為單層式結構或多層式結構。
在一些實施例中,鈍化層17是雙層式結構且包括第一鈍化子層17a以及位於第一鈍化子層17a上的第二鈍化子層17b。第一鈍化層子層17a的材料與第二鈍化子層17b的材料可為相同或不同的。在一些實施例中,第二鈍化子層17b也被稱為後鈍化層(post-passivation layer),且是可選地形成的。在一些實施例中,第一鈍化子層17a可為氧化矽層、氮化矽層、氮氧化矽層或由其他合適的介電材料形成的介電層。第二鈍化子層17b可為聚合物層,例如包含PBO、PI、BCB、其組合等。然而,本揭露並非僅限於此。
第一鈍化子層17a及第二鈍化子層17b可藉由以下製程形成:藉由旋轉塗布、化學氣相沉積(chemical vapor deposition,CVD)或其他合適的技術在基底13之上形成第一鈍化材料層,以覆蓋接墊16;之後,藉由微影製程及蝕刻製程將第一鈍化材料層圖案化,以使得在第一鈍化材料層中形成開口以暴露出接墊16的一些部分。接著例如藉由旋轉塗布在第一鈍化子層17a及接墊16上形成第二鈍化材料層(例如,聚合物層)。然後,執行固化製程來對聚合物層進行固化。接著執行雷射鑽孔製程來對第二鈍化材料層進行圖案化,以使得形成開口18來暴露出接墊16。在第二鈍化子層17b是PI層的一些實施例中,固化製程的溫度範圍為300℃到400℃(例如390℃),且PI層也可被稱為高溫PI層。
參照圖1B,藉由物理氣相沉積(physical vapor deposition,PVD)製程(例如濺鍍製程)在基底13之上形成晶種層19。在一些實施例中,晶種層19可為銅晶種層或其他合適的金屬晶種層。晶種層19的材料可包括鈦(Ti)、鈦鎢(TiW)、鉭(Ta)、銅(Cu)、其組合等。晶種層19可為單層式結構或多層式結構。在一些實施例中,晶種層19包括第一晶種層(例如鈦層)以及位於第一晶種層上的第二晶種層(例如銅層)。
在一些實施例中,晶種層19共形地(conformally)覆蓋接墊16與鈍化層17。也就是說,晶種層19具有沿著上面形成有晶種層19的區域延伸的實質上相等的厚度。晶種層19與接墊16電接觸且藉由接墊16進一步電連接到內連結構15。晶種層19覆蓋鈍化層17的頂表面,並填充到開口18中以覆蓋鈍化層17的側壁以及接墊16的被開口18暴露出的頂表面。
參照圖1C,在基底13之上形成具有開口21的圖案化的罩幕層20。舉例來說,圖案化的罩幕層20可為圖案化光阻層。在一些實施例中,在鈍化層17的開口18(圖1B)正上方形成開口21,從而暴露出覆蓋開口18的表面的晶種層19以及晶種層19的位於鈍化層17的頂表面上的一部分。之後,在被圖案化的罩幕層20的開口21暴露出的晶種層19上形成導電柱22及測試接墊23。導電柱22可包含銅、鎳、其組合或其他合適的金屬,且可藉由鍍覆製程(例如電鍍、無電鍍覆等)形成。導電柱22經由晶種層19電連接到接墊16。
在一些實施例中,在導電柱22上形成測試接墊23以用於驗證測試,例如後續製程中的電測試。測試接墊23包含導電材料。在一些實施例中,測試接墊23是包含錫或錫合金的焊料層。測試接墊23可藉由鍍覆製程(例如電鍍、無電鍍覆等)形成。導電柱22的橫截面形狀可為正方形、矩形、圓形的等或其他合適的形狀。導電柱22的頂表面可為平坦的、圓形的、弧形的或類似形狀,且本揭露並非僅限於此。測試接墊23的橫截面形狀可為正方形、矩形、弧形的、圓形的或類似形狀,且測試接墊23的頂表面可為扁平的、圓形的、弧形的或類似形狀,且本揭露並非僅限於此。在一些實施例中,測試接墊23的側壁與導電柱22的側壁對齊。
參照圖1C及圖1D,舉例來說,藉由灰化製程、蝕刻製程(例如濕式蝕刻或乾式蝕刻)來剝除圖案化的罩幕層20。執行以導電柱22及測試接墊23作為罩幕的蝕刻製程,以移除未被導電柱22覆蓋的晶種層19,並形成位於導電柱22下方的晶種層19a。晶種層19a與導電柱22形成連接件25。所述蝕刻製程可包括乾式蝕刻、濕式蝕刻或其組合。在一些實施例中,以晶種層19對導電柱22的高蝕刻選擇比執行蝕刻製程,且導電柱22在所述蝕刻製程期間實質上沒有被蝕刻。
參照連接件25的放大圖。在一些實施例中,在晶種層19的蝕刻製程期間,晶種層19的位於導電柱22下方且與導電柱22的側壁的邊緣相鄰的一部分可能被過度蝕刻,且在導電柱22之下會形成底切(undercut)24。在一些實施例中,未形成底切。在一些實施例中,底切24的寬度W1在0 μm到0.5 μm的範圍內,例如0.2 μm。然而,本揭露並非僅限於此。
參照圖1D,底切24位於導電柱22與鈍化層17之間。晶種層19a的側壁在垂直方向上(例如,在與基底13的頂表面垂直的方向上)不與導電柱22的側壁對齊,而是與導電柱22的側壁錯列。導電柱22側向凸出於晶種層19a。換句話說,在水平方向上,晶種層19a的側壁比導電柱22的側壁更靠近連接件25的中心線。
在一些實施例中,導電柱22包括第一部分P1以及位於第一部分P1上的第二部分P2。第一部分P1位於鈍化層17的開口18中且被晶種層19a環繞。第一部分P1的側壁被晶種層19a覆蓋且接觸晶種層19a。在一些實施例中,第一部分P1的形狀可為圓柱形或者為朝基底13的頂表面漸縮的截錐體(truncated cone)。第一部分P1的橫截面形狀可為正方形、矩形、倒梯形等。第二部分P2位於第一部分P1及晶種層19a上,第二部分P2的側壁側向延伸超出晶種層19a的側壁。在一些實施例中,第二部分P2的形狀是圓柱形,第二部分P2的橫截面形狀可為正方形或矩形,但本揭露並非僅限於此。
在一些實施例中,晶種層19a的寬度W2小於導電柱22的寬度W3。晶種層19a的寬度W2是指位於鈍化層17的頂表面上的晶種層19a的相對端部之間的距離,即,晶種層19a在與基底13的頂表面平行的水平方向上的最大寬度。導電柱22的寬度W3是指第二部分P2的寬度W3。晶種層19a的寬度W2與第二部分P2的寬度W3之間的差值等於底切24的寬度W1。
仍參照圖1D,測試接墊23電連接到連接件25,且經由連接件25進一步電連接到接墊16及內連結構15。可使用探針26電耦合到用於晶片測試或晶粒測試的測試接墊23,以檢查所述晶粒是否為良好晶粒。在一些實施例中,所述測試也被稱為晶片接受度測試(wafer acceptance testing,WAT)。在一些實施例中,使用測試接墊23來進行電測試,以檢查晶粒30是否為良好晶粒,但本揭露並非僅限於此。可選擇測試接墊23來測試晶片或晶粒的不同性質,例如漏電流、擊穿電壓、閾值電壓及有效通道長度、飽和電流、接觸電阻及連接。
參照圖1D及圖1E,在完成所述測試之後,執行移除製程以移除測試接墊23。在一些實施例中,移除製程包括使用H2 SO4 系蝕刻劑、HNO3 系蝕刻劑、其組合等進行的濕式蝕刻。在一些實施例中,蝕刻劑例如可包括硝酸、硝酸鐵溶液及甲磺酸。在本揭露的實施例中,在晶粒測試之後以及在後續形成保護層之前移除測試接墊,由此避免可能由測試接墊的焊料殘渣在後續製程中造成的潛在問題。
在一些實施例中,在測試接墊23的蝕刻製程期間導電柱22被局部地消耗。在一些實施例中,以測試接墊23對導電柱22的低蝕刻選擇比以及測試接墊23對晶種層19的高蝕刻選擇比執行蝕刻製程。也就是說,在測試接墊23的蝕刻製程期間,測試接墊23對導電柱22的蝕刻選擇比小於測試接墊23對晶種層19a的蝕刻選擇比。在一些實施例中,舉例來說,測試接墊23對導電柱22的蝕刻選擇比在100到500的範圍內,測試接墊23對晶種層19的蝕刻選擇比在500到3000的範圍內,晶種層19對導電柱22的蝕刻選擇比在0到0.2的範圍內。然而,本揭露並非僅限於此。
因此,在測試接墊23的蝕刻製程期間,導電柱22的第二部分P2也被蝕刻並被局部地消耗,且形成包括第一部分P1及第二部分P2’的導電柱22a。第一部分P1未被蝕刻,這是因為第一部分P1在蝕刻製程期間被晶種層19a環繞且被第二部分P2覆蓋。在一些實施例中,晶種層19a實質上未被蝕刻。晶種層19a與導電柱22a形成連接件25a。
參照圖1D及圖1E,在一些實施例中,導電柱22的側壁被消耗。導電柱22a的側壁可為直的或傾斜的。導電柱22的頂表面可被消耗或可不被消耗。導電柱22a與導電柱22相比尺寸減小。具體來說,第二部分P2’具有減小的寬度W3’,寬度W3’小於蝕刻製程之前的第二部分P2的寬度W3。第二部分P2’的高度可不減小或者稍微減小,也就是說,第二部分P2’的高度可相同於或小於第二部分P2的高度。在一些實施例中,舉例來說,寬度W3’對寬度W3的比率小於1且處於0.95到1的範圍內。在一些實施例中,導電柱22a的第二部分P2’的表面可為平坦的或不平坦的。導電柱22a的第二部分P2’的橫截面形狀可為正方形、矩形、梯形或其他合適的形狀,且本揭露並非僅限於此。
仍參照圖1E,在一些實施例中,在執行測試接墊23的蝕刻製程之後,導電柱22a的第二部分P2的寬度W3’小於晶種層19a的寬度W2,使得晶種層19a的一部分側向凸出於導電柱22a的第二部分P2’的側壁,且晶種層19a的所述部分也被稱為晶種層19a的基腳部FP。
換句話說,晶種層19a包括連接到彼此的主體部BP與基腳部FP。主體部BP環繞導電柱22a的第一部分P1且位於導電柱22a的第二部分P2’之下。主體部BP的表面被導電柱22a覆蓋且接觸導電柱22a。基腳部FP位於鈍化層17的頂表面上且環繞主體部BP。基腳部FP被導電柱22a暴露出,側向延伸超出導電柱22a的第二部分P2’的側壁且從導電柱22a的第二部分P2’的側壁凸出。
在一些實施例中,當晶種層19a及導電柱22a投影到基底13的頂表面上時,從俯視圖視角看晶種層19a的基腳部FP的投影是環形且環繞導電柱22a的投影。也就是說,在水平方向上,晶種層19a的側壁比導電柱22a的側壁距離連接件25a的中心線CL更遠。基腳部FP的橫截面形狀可為正方形、矩形、梯形等或者任何其他任意合適的形狀,且本揭露並非僅限於此。在一些實施例中,基腳部FP的表面可為平坦的或不平坦的。基腳部FP的頂表面可為凹的、凸的、波狀的或類似形狀,且本揭露並非僅限於此。
在一些實施例中,舉例來說,導電柱22a的寬度W3’對晶種層19a的寬度W2的比率小於1且處於0.95到1的範圍內。在一些實施例中,晶種層19a的基腳部FP的寬度W4在0.1 μm到0.5 μm的範圍內或0.1 μm到1 μm的範圍內,例如0.2 μm。換句話說,連接件25a包括晶種層19a及導電柱22a,且具有階梯狀結構(stepped structure)。具體來說,位於鈍化層17上的連接件25a的邊緣包括階梯狀結構。
參照圖1E,在一些實施例中,晶粒30a由此完成。在一些實施例中,在形成連接件25a之後,藉由晶粒鋸切製程對包括晶粒30a的晶圓進行單體化,且形成具有圖1E所示結構的多個晶粒30a。晶粒30a包括基底13、介電結構14、內連結構15、接墊16、鈍化層17及連接件25a。連接件25a包括晶種層19a及導電柱22a,且晶種層19a包括從導電柱22a的側壁側向凸出的基腳部FP。
參照圖1E到圖1F,在一些其他實施例中,在執行晶粒鋸切製程之前,更包括在鈍化層17上形成側向環繞連接件25a的保護層27,且由此形成更包括保護層27的晶粒30b。保護層27可為聚合物層,例如低溫聚合物層或高溫聚合物層。在一些實施例中,保護層27包含PI(例如低溫PI(low temperature PI,LTPI))、PBO(例如高溫PBO(high temperature,HTPBO))、BCB、其組合等。保護層27的材料可相同於或不同於第二鈍化子層17b的材料。
在一些實施例中,保護層27可藉由以下製程形成:舉例來說,藉由旋轉塗布製程在鈍化層17上及連接件25a上形成保護材料層。保護材料層覆蓋鈍化層17的頂表面及連接件25a的頂表面。接著執行固化製程以使保護材料層固化。在第二鈍化子層17b與保護層27二者均由PI製成的一些實施例中,保護層27的固化製程的溫度低於第二鈍化子層17b的固化製程的溫度。在一些實施例中,保護材料層的固化製程的溫度範圍為170℃到320℃,例如230℃。在保護層27包含LTPI的一些實施例中,可避免出現氣泡問題。在一些實施例中,在執行固化製程之後,執行平坦化製程(例如化學機械研磨(chemical mechanical polishing,CMP))製程,以移除保護材料層的位於連接件25a的頂表面上的一部分,從而形成保護層27。在一些實施例中,保護層27的頂表面與連接件25a的頂表面實質上共面。
仍參照圖1F,連接件25a的側壁被保護層27環繞且接觸保護層27。晶種層19a的基腳部FP的頂表面及側壁被保護層27覆蓋且接觸保護層27。
參照圖1G,將藉由前述製程形成的一個或多個晶粒(例如,晶粒30b及晶粒30c)安裝到載板10。載板10可為玻璃載板、陶瓷載板等。在一些實施例中,載板10上形成有剝離層(de-bonding layer)11。剝離層11是藉由例如旋轉塗布方法形成的。在一些實施例中,剝離層11可由例如紫外(Ultra-Violet,UV)膠、光熱轉換(light-to-heat conversion,LTHC)膠等粘合劑或者其他類型的粘合劑形成。剝離層11在光的熱量的作用下可分解,從而可使載板10從將在後續步驟中形成的上覆結構脫離。
在剝離層11上可選地形成介電層12。在一些實施例中,介電層12是聚合物層。聚合物包括例如PI、PBO、BCB、味之素構成膜(Ajinomoto Buildup Film,ABF)、阻焊劑膜(Solder Resist film,SR)等。介電層12是藉由例如旋轉塗布、疊層、沉積等合適的製作技術形成的。
在一些實施例中,晶粒30b及晶粒30c藉由粘合層29(例如晶粒貼合膜(die attach film,DAF)、銀膠等)貼合到介電層12。儘管圖中示出兩個晶粒,然而本揭露並非僅限於此。在一些其他實施例中,可將一個晶粒或多於兩個晶粒安裝到載板10上。
在一些實施例中,晶粒30b及晶粒30c可分別為系統晶片(system-on-chip,SoC)裝置、記憶體裝置、積體被動裝置(integrated passive device,IPD)或任何其他合適類型的裝置中的任一者。在一些實施例中,晶粒30b及晶粒30c可分別為特殊應用積體電路(application-specific integrated circuit,ASIC)晶片、類比晶片、感測器晶片、無線及射頻晶片、電壓調節器晶片、記憶體晶片等。晶粒30b及晶粒30c可為相同類型的晶粒或不同類型的晶粒。在一些實施例中,舉例來說,晶粒30b是SoC裝置且晶粒30c是IPD裝置。在一些實施例中,所述兩個晶粒30b及30c是較大的單個晶粒的具有不同功能的兩個小的晶粒分區。所述兩個晶粒30b及30c的尺寸(是指高度和/或寬度)可為相同的或不同的。
在一些實施例中,晶粒30c具有與圖1E所示晶粒30a實質上相同的結構,只是晶粒30c包括不同數目的連接件25a。應理解,在晶粒30a、30b、30c中示出的連接件25a的數目僅用於例示,且本揭露並非僅限於此。
仍參照圖1G,相似於晶粒30a或30b,晶粒30c包括基底13、介電結構14、內連結構15、接墊16、鈍化層17及連接件25a。在一些實施例中,晶粒30b包括保護層27,而晶粒30c不包括保護層27。也就是說,晶粒30c的連接件25a的頂表面及側壁被暴露出。然而,本揭露並非僅限於此。在一些其他實施例中,晶粒30b及晶粒30c二者均包括保護層27。在替代實施例中,晶粒30b及晶粒30c二者均不含保護層27。
參照圖1H,接著在載板10之上形成包封體31,以包封晶粒30b及30c的側壁。在一些實施例中,包封體31包含模塑化合物、模塑底部填充膠、樹脂(例如環氧樹脂)、其組合等。在一些其他實施例中,包封體31包含感光材料(例如PBO、PI、BCB、其組合等),所述感光材料可藉由曝光製程及顯影製程或雷射鑽孔製程而被容易地圖案化。在替代實施例中,包封體31包含:氮化物,例如氮化矽;氧化物,例如氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phosphosilicate glass,BPSG)、其組合等。包封體31是藉由以下製程形成:利用合適的製作技術(例如旋轉塗布、疊層、沉積或相似的製程)在載板10之上形成包封體材料層。包封體材料層包封晶粒30b及30c的頂表面及側壁。之後,執行平坦化製程(例如研磨製程或拋光製程(例如CMP)),以移除包封體材料層的一部分,以使連接件25a的頂表面被暴露出。在一些實施例中,連接件25a的頂表面與包封體31的頂表面實質上共面。
仍參照圖1H,包封體31在橫向上位於晶粒30b及30c的側邊,並且環繞覆蓋晶粒30b及30c的側壁。在晶粒30b中,連接件25a被保護層27環繞,且保護層27的側壁被包封體31覆蓋且接觸包封體31。包封體31的一部分形成在鈍化層17上,並環繞及接觸晶粒30c的連接件25a的側壁。在晶粒30c中,晶種層19a及導電柱22a的側壁接觸包封體31。晶種層19a的基腳部FP的頂表面及側壁被包封體31覆蓋且接觸包封體31。
參照圖1I,在晶粒30b及30c之上形成電連接到晶粒30b及30c的重佈線層(redistribution layer,RDL)結構32。在一些實施例中,晶粒30b與晶粒30c經由RDL結構32電連接到彼此。在一些實施例中,RDL結構32被稱為“前側重佈線層結構”,其中“前側”是指靠近晶粒30b及30c的連接件25a的一側。在一些實施例中,RDL結構32包括交替堆疊的多個聚合物層PM1、PM2、PM3及PM4與多個重佈線層RDL1、RDL2、RDL3及RDL4。聚合物層或重佈線層的數目在本揭露中不受限制。
重佈線層RDL1穿過聚合物層PM1,並物理及電性連接到晶粒30b及30c的連接件25a。重佈線層RDL2穿過聚合物層PM2且電連接到重佈線層RDL1。重佈線層RDL3穿過聚合物層PM3且電連接到重佈線層RDL2。重佈線層RDL4穿過聚合物層PM4且電連接到重佈線層RDL3。
聚合物層PM1、PM2、PM3、PM4的材料可相同於或不同於晶粒30b的保護層27的材料或包封體31的材料。在一些實施例中,聚合物層PM1、PM2、PM3及PM4中的每一者包含感光材料,例如PBO、PI、BCB、其組合等。在一些實施例中,保護層27也被稱為聚合物層PM0。
在一些實施例中,重佈線層RDL1、RDL2、RDL3及RDL4中的每一者包含導電材料。導電材料包括金屬(例如銅、鎳、鈦、其組合等),且藉由電鍍製程形成。在一些實施例中,重佈線層RDL1、RDL2、RDL3及RDL4分別包括晶種層(未示出)以及形成在晶種層上的金屬層(未示出)。晶種層可為金屬晶種層,例如銅晶種層。在一些實施例中,晶種層包括第一金屬層(例如鈦層)以及位於第一金屬層之上的第二金屬層(例如銅層)。金屬層可為銅或其他合適的金屬。
在一些實施例中,重佈線層RDL1、RDL2、RDL3及RDL4分別包括連接到彼此的多個通孔V與多條跡線T。通孔V垂直穿過聚合物層PM1、PM2、PM3及PM4,以與重佈線層RDL1、RDL2、RDL3及RDL4的跡線T連接,且跡線T分別位於聚合物層PM1、PM2、PM3及PM4上,且分別在聚合物層PM1、PM2、PM3及PM4的頂表面上水平地延伸。
在一些實施例中,重佈線層RDL4是RDL結構32的最頂部重佈線層,且被稱為用於球安裝的球下金屬(under-ball metallurgy,UBM)層。
仍參照圖1I,之後,在RDL結構32的重佈線層RDL4之上形成與RDL結構32的重佈線層RDL4電連接的多個連接件33。在一些實施例中,連接件33被稱為導電端子。在一些實施例中,連接件33可為球柵陣列(BGA)連接件、焊料球、受控塌陷晶粒連接(controlled collapse chip connection,C4)凸塊或其組合。在一些實施例中,連接件33的材料包括銅、鋁、無鉛合金(例如,金、錫、銀、鋁或銅合金)或鉛合金(例如,鉛錫合金)。連接件33可藉由例如蒸鍍、鍍覆、落球(ball dropping)、網版印刷(screen printing)及回焊製程、球安裝製程或C4製程等合適的製程形成。在一些實施例中,在重佈線層RDL4與連接件33之間可進一步形成金屬柱(metal post)或金屬柱(metal pillar)(未示出)。連接件33經由RDL結構32電連接到所述兩個晶粒30b及30c的連接件25a。
參照圖1I及圖1J,剝離層11在光的熱量作用下分解,且接著使得載板10脫離。介電層12可以可選地餘留下來。
參照圖1J,封裝結構50a由此完成。封裝結構50a也被稱為扇出型封裝結構。封裝結構50a包括所述兩個晶粒30b及30c、包封體31、RDL結構32及連接件33。晶粒30b與晶粒30c經由RDL結構32連接在一起。晶粒30b及30c分別包括連接件25a。連接件25a與接墊16電接觸,且進一步電連接到晶粒30b或30c的內連結構15。晶粒30b或30c的連接件25a包括晶種層19a以及位於晶種層19a上的導電柱22a。晶種層19a包括被導電柱22a覆蓋的主體部以及從導電柱22a的側壁凸出的基腳部FP。導電柱22a覆蓋晶種層19a的頂表面的一部分且暴露出晶種層19a的基腳部FP。在一些實施例中,基腳部FP的頂表面及側壁被保護層27覆蓋且物理接觸保護層27(例如晶粒30b)。在一些實施例中,基腳部FP的頂表面及側壁被包封體31覆蓋且物理接觸包封體31(例如晶粒30c)。
在前述實施例中,一個連接件25a對應於晶粒30a、30b或30c中的一個接墊16,但本揭露並非僅限於此。圖2示出封裝結構50b,其包括具有與兩個接墊16對應的線連接件(line connector)25a’的晶粒30d。在一些實施例中,如圖2所示,封裝結構50b包括晶粒30d及晶粒30c。封裝結構50b相似於封裝結構50a,只是晶粒30d包括與連接件25a不同的連接件25a’。
參照圖2,晶粒30d包括連接件25a及連接件25a’。在一些實施例中,連接件25’形成在兩個接墊16上,例如圖2所示的兩個接墊16a。連接件25a’與所述兩個接墊16電接觸及物理接觸,從位於第一接墊16之上的位置延伸到位於第二接墊16之上的位置且覆蓋所述兩個接墊16之間的鈍化層17。位於所述兩個接墊16之間的鈍化層17的頂表面被連接件25a’覆蓋且接觸連接件25a’。在一些實施例中,連接件25a’包括晶種層19a’及導電柱22a’。晶種層19a’及導電柱22a’從位於第一接墊16之上的位置延伸到位於第二接墊16之上的位置且覆蓋位於所述兩個接墊16之間的鈍化層17。晶種層19a’包括位於導電柱22a’之下的主體部以及從導電柱22a’的側壁凸出的基腳部FP。導電柱22a’位於晶種層19a’上且覆蓋晶種層19a’的頂表面的一部分,並暴露出晶種層19a’的基腳部FP。儘管連接件25a’被示出為連接到兩個接墊16,然而本揭露並非僅限於此。根據產品設計而定,連接件25a’可連接到兩個以上的接墊16。在一些實施例中,連接件25a’也被稱為線連接件或線通孔。與連接件25a相比,連接件25a’連接到更多接墊16且具有較大的面積,由此,連接件25a’可用於傳送較大的或增多的信號,連接件25a’的散熱會更好且連接件25a’的電阻減小。晶粒30d的其他特徵實質上相同於晶粒30a、30b或30c,且此處不再贅述。應注意,晶粒30c也可包括線連接件25a’。
圖3示出包括晶粒30e及晶粒30f的封裝結構50c,其中晶粒30e或30f的連接件125a的晶種層119a包括雙層式結構。封裝結構50c具有與封裝結構50a(圖1J所示)相似的結構,只是晶粒30e及30f的連接件125a的結構不同於晶粒30b及30c的連接件25a的結構。
參照圖3,在一些實施例中,晶種層119a是包括第一晶種子層19b以及位於第一晶種子層19b上的第二晶種子層19c的雙層式結構。在一些實施例中,第二晶種子層19c包含與第一晶種子層19b的材料不同且與導電柱22a的材料相同的材料。舉例來說,第一晶種子層19b是鈦層,第二晶種子層19c是銅層,且導電柱22a是銅柱。第一晶種子層19b及第二晶種子層19c可藉由PVD(例如濺鍍)、電鍍、無電鍍覆或其組合形成。
參照圖1D、圖1E及圖3,圖3的放大圖中的虛線示出在執行測試接墊23的蝕刻製程之前晶種層及導電柱的結構。如圖3的放大圖所示,在測試接墊23的蝕刻製程之前,在第二晶種子層19c與鈍化層17之間可具有底切,且第二晶種子層19c的側壁可與導電柱22的側壁對齊。在測試接墊23的蝕刻製程期間,由於第二晶種子層19c包含與導電柱22a相同的材料,因此第二晶種子層19c也可被蝕刻且被局部地消耗,第一晶種子層19b實質上未被蝕刻,且會形成包括第一晶種子層19b及第二晶種子層19c的晶種層119a。晶種層119a與導電柱22a形成連接件125a。
參照圖3,在一些實施例中,第二晶種子層19c的側壁與導電柱22a的側壁實質上對齊。第一晶種子層19b延伸超出第二晶種子層19c的側壁及導電柱22a的側壁且從第二晶種子層19c的側壁及導電柱22a的側壁凸出。第一晶種子層19b包括側向延伸超出第二晶種子層19c的側壁及導電柱22a的側壁的基腳部FP。當晶種子層19b及19c以及導電柱22a投影到基底13的頂表面上時,第一晶種子層19b的基腳部FP的投影環繞第二晶種子層19c的投影及導電柱22a的投影。也就是說,第二晶種子層19c及導電柱22a覆蓋第一晶種子層19b的頂表面的一部分且暴露出第一晶種子層19b的基腳部FP。
換句話說,晶種層119a(的邊緣)包括位於鈍化層17上的階梯狀結構,且在與基底13的頂表面平行的水平方向上,第二晶種子層19c的側壁比第一晶種子層19b的側壁更靠近連接件125a的中心線CL。在晶粒包括保護層27的一些實施例中,如示出的晶粒30e,第一晶種子層19b的基腳部FP的頂表面及側壁被保護層27覆蓋且接觸保護層27。第二晶種子層19c的頂表面被導電柱22a覆蓋。在一些實施例中,第二晶種子層19c的頂表面被導電柱22a完全覆蓋。第二晶種子層19c的側壁被保護層27覆蓋且接觸保護層27。在晶粒不含保護層的一些其他實施例中,如示出的晶粒30f,第一晶種子層19b的基腳部FP的頂表面及側壁被包封體31覆蓋且接觸包封體31。第二晶種子層19c的頂表面被導電柱22a覆蓋。在一些實施例中,第二晶種子層19c的頂表面被導電柱22a完全覆蓋。第二晶種子層19c的側壁被包封體31覆蓋且接觸包封體31。
圖4示出包括晶粒30g及晶粒30h的封裝結構50d,其中晶粒30g及晶粒30h不包括第二鈍化子層。參照圖4,晶粒30g及晶粒30h分別相似於晶粒30b及晶粒30c(圖1J),差異在於晶粒30g及30h的鈍化層17是單層式結構。如圖4所示,在一些實施例中,鈍化層17包括第一鈍化子層17a而不包括第二鈍化子層17b(圖1J)。此實施例中的第一鈍化子層17a的尺寸(例如厚度)及形狀可相同於或不同於前述實施例的圖(例如圖1J)中示出的第一鈍化子層17a的尺寸及形狀。封裝結構50d的其他特徵實質上相同於封裝結構50a的其他特徵,且不再對所述其他特徵進行贅述。應注意,儘管在圖4中示出連接件25a,然而其他種類的連接件(例如,連接件25a’或連接件125a)也可應用在封裝結構50d中。
圖5示出包括積體扇出型穿孔(through integrated fan-out via,TIV)60的封裝結構50e及疊層封裝(PoP)裝置100。
參照圖1G到圖1H及圖5,在一些實施例中,在形成包封體31之前,可在介電層12上以及在晶粒30b及30c側邊或晶粒30b及30c周圍形成TIV 60。在一些實施例中,TIV 60包含銅、鎳、焊料、其合金等。在一些實施例中,TIV 60包括晶種層及形成在晶種層上的導電層(未示出)。晶種層例如是鈦和/或銅複合層。導電層例如是銅層。TIV 60的例示性形成方法包括:在貼合晶粒30b及30c之前,在載板10之上形成光阻層,例如,乾膜抗蝕劑。之後,在光阻層中形成開口,所述開口暴露出介電層12的頂表面的一部分,且接著藉由鍍覆在開口中形成TIV 60。在一些其他實施例中,TIV 60更包括位於晶種層下方的阻障層(未示出)以防止金屬擴散。阻障層的材料例如包括金屬氮化物,例如氮化鈦、氮化鉭或其組合。
參照圖5,TIV 60的側壁被包封體31包封。重佈線層RDL1電連接到TIV 60,以使得TIV 60經由RDL結構32電連接到晶粒30b及30c。封裝結構50e的其他特徵實質上相同於封裝結構50a的其他特徵,且不再對所述其他特徵進行贅述。
仍參照圖5,在一些實施例中,封裝結構50e可進一步電耦合到封裝結構63,以形成疊層封裝(POP)裝置100,但本揭露並非僅限於此。
在一些實施例中,在形成封裝結構50e之後,可例如藉由雷射鑽孔製程對介電層12進行圖案化,以形成開口58。開口58暴露出TIV 60的底表面的一些部分。之後,連接件61填充到介電層12的開口58中,以將封裝結構50e的TIV 60電連接到封裝結構63。根據PoP裝置100的功能需要,封裝結構63可為任何種類的封裝結構。在一些實施例中,封裝結構63可為動態隨機存取記憶體(dynamic random access memory,DRAM)、靜態隨機存取記憶體(static random access memory,SRAM)等或其他種類的封裝件。
在一些實施例中,還形成底部填充層62以填充封裝結構50e與封裝結構63之間的空間並環繞連接件61。至此完成包括封裝結構50e及封裝結構63的PoP裝置100,其中封裝結構50e與封裝結構63經由連接件61連接在一起。圖5所示PoP裝置100僅用於例示,且本揭露並非僅限於此。應注意,封裝結構50b、50c、50d也可包括TIV且可進一步耦合到其他封裝件以形成PoP裝置。
在前述實施例中,封裝結構中所封裝的晶粒的組合僅用於例示,且本揭露並非僅限於此。晶粒30a、30b、30c、30d、30e、30f、30g、30h可各別地或以任何種類的組合的方式封裝到封裝結構中。此外,儘管圖中示出扇出型封裝結構,然而本揭露並非僅限於此。晶粒30a、30b、30c、30d、30e、30f、30g、30h也可用於形成其他種類的封裝結構,例如扇入型封裝件。
在本揭露的實施例中,在晶粒測試之後且在形成保護層之前移除測試接墊,由此避免在保護層的固化製程期間在連接件與保護層之間可能出現的焊料殘渣。因此,提高了連接件與保護層之間或連接件與包封體之間的潤濕性(wettability)及粘著性,且增強了晶粒及封裝結構的效能及可靠度。另外,也可避免在缺陷掃描(defect scan)期間可能由焊料殘渣造成的潛在問題。
根據本揭露的一些實施例,一種封裝結構包括晶粒、包封體、RDL結構及導電端子。晶粒具有連接件。連接件包括晶種層及位於晶種層上的導電柱。晶種層延伸超出導電柱的側壁。包封體位於晶粒側邊且包封晶粒的側壁。RDL結構電連接到晶粒。導電端子經由RDL結構電連接到晶粒。
根據替代實施例,一種晶粒包括基底、接墊及連接件。接墊位於基底之上。連接件電連接到接墊。連接件包括晶種層及導電柱。晶種層位於接墊上。導電柱位於晶種層上。晶種層包括基腳部,在投影到基底的頂表面時,基腳部環繞導電柱。
根據一些實施例,一種形成封裝結構的方法包括以下步驟。形成晶粒。在晶粒側邊形成包封體以包封晶粒的側壁。在晶粒及包封體上形成RDL結構。形成導電端子,以使導電端子經由RDL結構電連接到晶粒。形成晶粒包括在接墊上形成電連接到接墊的連接件。連接件藉由以下方法形成。在接墊上形成晶種層。在晶種層上形成導電柱。在導電柱上形成測試接墊。藉由測試接墊執行電測試。執行蝕刻製程以移除測試接墊。導電柱在蝕刻製程期間被消耗,使得晶種層的基腳部側向凸出於導電柱的側壁。
以上概述了若干實施例的特徵,以使所屬領域中的技術人員可更好地理解本揭露的各個方面。所屬領域中的技術人員應理解,他們可容易地使用本揭露作為設計或修改其他製程及結構的基礎來施行與本文中所介紹的實施例相同的目的和/或實現與本文中所介紹的實施例相同的優點。所屬領域中的技術人員還應認識到,這些等效構造並不背離本揭露的精神及範圍,而且他們可在不背離本揭露的精神及範圍的條件下對其作出各種改變、代替及變更。
10:載板 11:剝離層 12:介電層 13:基底 14:介電結構 15:內連結構 16、16a:接墊 17:鈍化層 17a:第一鈍化子層 17b:第二鈍化子層 18、21、58:開口 19、19a、19a’、119a:晶種層 19b:第一晶種子層 19c:第二晶種子層 20:圖案化的罩幕層 22、22a、22a’:導電柱 23:測試接墊 24:底切 25、25a、33、61、125a、25a’:連接件 26:探針 27:保護層 29:粘合層 30、30a、30b、30c、30d、30e、30f、30g、30h:晶粒 31:包封體 32:重佈線層結構 50a、50b、50c、50d、50e、63:封裝結構 60:積體扇出型穿孔 62:底部填充層 100:疊層封裝裝置 BP:主體部 CL:中心線 FP:基腳部 P1:第一部分 P2、P2’:第二部分 PM1、PM2、PM3、PM4:聚合物層 RDL1、RDL2、RDL3、RDL4:重佈線層 T:跡線 V:通孔 W1、W2、W3、W3’、W4:寬度
圖1A到圖1J是示出根據本揭露一些實施例的形成封裝結構的方法的示意性剖視圖。 圖2到圖4是分別示出根據本揭露一些實施例的封裝結構的示意性剖視圖。 圖5是根據本揭露一些實施例的疊層封裝(package on package,PoP)裝置的示意性剖視圖。
12:介電層
13:基底
14:介電結構
15:內連結構
16:接墊
17:鈍化層
17a:第一鈍化子層
17b:第二鈍化子層
19a:晶種層
22a:導電柱
25a、33:連接件
27:保護層
29:粘合層
30b、30c:晶粒
31:包封體
32:重佈線層結構
50a:封裝結構
FP:基腳部
PM1、PM2、PM3、PM4:聚合物層
RDL1、RDL2、RDL3、RDL4:重佈線層
T:跡線
V:通孔

Claims (20)

  1. 一種封裝結構,包括: 晶粒,具有連接件,所述連接件包括: 晶種層;以及 導電柱,位於所述晶種層上,其中所述晶種層延伸超出所述導電柱的側壁; 包封體,位於所述晶粒的側邊,包封所述晶粒的側壁; 重佈線層結構,電連接到所述晶粒;以及 導電端子,經由所述重佈線層結構電連接所述晶粒。
  2. 如申請專利範圍第1項所述的封裝結構,其中所述晶種層包括基腳部,且所述基腳部側向凸出於所述導電柱的所述側壁。
  3. 如申請專利範圍第2項所述的封裝結構,其中所述晶粒更包括保護層,所述保護層側向環繞所述連接件,所述基腳部的頂表面及側壁被所述晶粒的所述保護層覆蓋。
  4. 如申請專利範圍第3項所述的封裝結構,其中所述基腳部位於所述晶粒的鈍化層與所述保護層之間。
  5. 如申請專利範圍第2項所述的封裝結構,其中所述基腳部的頂表面及側壁被所述包封體覆蓋。
  6. 如申請專利範圍第5項所述的封裝結構,其中所述基腳部位於所述晶粒的鈍化層與所述包封體之間。
  7. 如申請專利範圍第1項所述的封裝結構,其中所述晶種層的寬度大於所述導電柱的寬度。
  8. 如申請專利範圍第1項所述的封裝結構,其中所述連接件電連接到所述晶粒的兩個接墊。
  9. 如申請專利範圍第1項所述的封裝結構,其中所述晶種層包括第一晶種子層以及位於所述第一晶種子層上的第二晶種子層,且所述晶種層的邊緣包括階梯狀結構。
  10. 如申請專利範圍第9項所述的封裝結構,其中所述第一晶種子層的側壁距離所述連接件的中心線比所述第二晶種子層的側壁距離所述連接件的所述中心線更遠。
  11. 一種晶粒,包括: 基底; 接墊,位於所述基底之上;以及 連接件,電連接到所述接墊,包括: 晶種層,位於所述接墊上;以及 導電柱,位於所述晶種層上, 其中所述晶種層包括基腳部,且所述基腳部在所述基底的頂表面上的投影環繞所述導電柱在所述基底的所述頂表面上的投影。
  12. 如申請專利範圍第11項所述的晶粒,其中所述晶種層的所述基腳部被所述導電柱暴露出。
  13. 如申請專利範圍第11項所述的晶粒,其中在水平方向上所述基腳部的側壁距離所述連接件的中心線比所述導電柱的側壁距離所述連接件的所述中心線更遠。
  14. 如申請專利範圍第11項所述的晶粒,更包括保護層,所述保護層位於所述基底之上且覆蓋所述連接件的側壁,其中所述保護層包含低溫聚醯亞胺或高溫聚苯並惡唑。
  15. 一種形成封裝結構的方法,包括: 形成晶粒,包括: 在接墊上形成電連接到所述接墊的連接件,包括: 在所述接墊上形成晶種層; 在所述晶種層上形成導電柱; 在所述導電柱上形成測試接墊; 藉由所述測試接墊執行電測試;以及 執行蝕刻製程以移除所述測試接墊,其中所述導電柱在所述蝕刻製程期間被消耗,使得所述晶種層的基腳部側向凸出於所述導電柱的側壁; 在所述晶粒側邊形成包封體,以包封所述晶粒的側壁; 在所述晶粒及所述包封體上形成重佈線層結構;以及 形成導電端子,所述導電端子經由所述重佈線層結構電連接到所述晶粒。
  16. 如申請專利範圍第15項所述的形成封裝結構的方法,其中在所述蝕刻製程期間,所述測試接墊對所述導電柱的蝕刻選擇比小於所述測試接墊對所述晶種層的蝕刻選擇比。
  17. 如申請專利範圍第15項所述的形成封裝結構的方法,其中在所述蝕刻製程中使用的蝕刻劑包括H2 SO4 系蝕刻劑、HNO3 系蝕刻劑或其組合。
  18. 如申請專利範圍第15項所述的形成封裝結構的方法,其中在所述蝕刻製程期間,從所述導電柱的側壁消耗所述導電柱。
  19. 如申請專利範圍第15項所述的形成封裝結構的方法,其中 在執行所述蝕刻製程之前,所述晶種層的第一寬度小於所述導電柱的第一寬度;以及 在執行所述蝕刻製程之後,所述晶種層的第二寬度大於所述導電柱的第二寬度。
  20. 如申請專利範圍第16項所述的形成封裝結構的方法,其中在執行所述蝕刻製程之後,所述晶種層的所述基腳部延伸超出所述導電柱的側壁。
TW108115054A 2018-10-30 2019-04-30 封裝結構、晶粒及其製造方法 TWI752315B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862752369P 2018-10-30 2018-10-30
US62/752,369 2018-10-30
US16/260,127 US10879224B2 (en) 2018-10-30 2019-01-29 Package structure, die and method of manufacturing the same
US16/260,127 2019-01-29

Publications (2)

Publication Number Publication Date
TW202017131A true TW202017131A (zh) 2020-05-01
TWI752315B TWI752315B (zh) 2022-01-11

Family

ID=70327290

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108115054A TWI752315B (zh) 2018-10-30 2019-04-30 封裝結構、晶粒及其製造方法

Country Status (3)

Country Link
US (1) US10879224B2 (zh)
CN (2) CN111128904A (zh)
TW (1) TWI752315B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI769726B (zh) * 2020-05-20 2022-07-01 台灣積體電路製造股份有限公司 半導體元件、半導體結構及半導體元件的製造方法
TWI772999B (zh) * 2020-05-28 2022-08-01 台灣積體電路製造股份有限公司 晶圓及晶片的多層階堆疊方法
TWI793601B (zh) * 2020-07-27 2023-02-21 台灣積體電路製造股份有限公司 積體電路測試方法及其結構
US11664350B2 (en) 2020-05-20 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
TWI810113B (zh) * 2022-11-21 2023-07-21 南亞科技股份有限公司 半導體元件的製造方法
US11721663B2 (en) 2020-05-28 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-level stacking of wafers and chips
TWI825647B (zh) * 2021-05-18 2023-12-11 日商佳能安內華股份有限公司 積層體及積層體之製造方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111627867A (zh) * 2019-02-28 2020-09-04 富泰华工业(深圳)有限公司 芯片封装结构及其制作方法
US11676942B2 (en) * 2021-03-12 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of manufacturing the same
US20220367366A1 (en) * 2021-05-13 2022-11-17 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages and method of manufacturing the same
US20230014450A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of forming the same
US20230013491A1 (en) * 2021-07-16 2023-01-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package and method of manufacturing the same
US11862549B2 (en) * 2021-08-27 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor packages having conductive patterns of redistribution structure having ellipse-like shape
US11749534B1 (en) 2022-07-21 2023-09-05 Deca Technologies Usa, Inc. Quad flat no-lead (QFN) package without leadframe and direct contact interconnect build-up structure and method for making the same
US20230411333A1 (en) 2022-05-31 2023-12-21 Deca Technologies Usa, Inc. Molded direct contact interconnect structure without capture pads and method for the same
US20240087999A1 (en) * 2022-09-12 2024-03-14 Skyworks Solutions, Inc. Packaging substrate having metal posts

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6646347B2 (en) * 2001-11-30 2003-11-11 Motorola, Inc. Semiconductor power device and method of formation
US20070210450A1 (en) * 2006-03-13 2007-09-13 Jang Woo-Jin Method of forming a bump and a connector structure having the bump
SG149807A1 (en) * 2007-07-30 2009-02-27 Stats Chippac Ltd Semiconductor device and method of providing common voltage bus and wire bondable redistribution
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9000584B2 (en) * 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
US9293338B2 (en) * 2012-11-08 2016-03-22 Nantong Fujitsu Microelectronics Co., Ltd. Semiconductor packaging structure and method
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US20150262952A1 (en) 2014-03-13 2015-09-17 Taiwan Semiconductor Manufacturing Co., Ltd Bump structure and method for forming the same
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US10163661B2 (en) * 2015-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US10658318B2 (en) 2016-11-29 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Film scheme for bumping
US10128193B2 (en) 2016-11-29 2018-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
US10186462B2 (en) 2016-11-29 2019-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10854570B2 (en) * 2017-07-27 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out package and method of fabricating the same

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI769726B (zh) * 2020-05-20 2022-07-01 台灣積體電路製造股份有限公司 半導體元件、半導體結構及半導體元件的製造方法
US11664350B2 (en) 2020-05-20 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
TWI772999B (zh) * 2020-05-28 2022-08-01 台灣積體電路製造股份有限公司 晶圓及晶片的多層階堆疊方法
US11721663B2 (en) 2020-05-28 2023-08-08 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-level stacking of wafers and chips
TWI793601B (zh) * 2020-07-27 2023-02-21 台灣積體電路製造股份有限公司 積體電路測試方法及其結構
TWI825647B (zh) * 2021-05-18 2023-12-11 日商佳能安內華股份有限公司 積層體及積層體之製造方法
TWI810113B (zh) * 2022-11-21 2023-07-21 南亞科技股份有限公司 半導體元件的製造方法

Also Published As

Publication number Publication date
US20200135708A1 (en) 2020-04-30
TWI752315B (zh) 2022-01-11
US10879224B2 (en) 2020-12-29
CN116072551A (zh) 2023-05-05
CN111128904A (zh) 2020-05-08

Similar Documents

Publication Publication Date Title
TWI752315B (zh) 封裝結構、晶粒及其製造方法
US11443995B2 (en) Integrated circuit package and method
US11916028B2 (en) Package structure and method of forming the same
TWI697056B (zh) 半導體裝置封裝及方法
US11244939B2 (en) Package structure and method of forming the same
US10340253B2 (en) Package structure and method of manufacturing the same
CN111799227B (zh) 半导体器件及其形成方法
US11948904B2 (en) Die and package structure
US10510732B2 (en) PoP device and method of forming the same
US11145562B2 (en) Package structure and method of manufacturing the same
US20220359326A1 (en) Integrated Circuit Package and Method
US11309289B2 (en) Integrated circuit package having heat dissipation structure
US11037877B2 (en) Package structure and method of manufacturing the same
US11164824B2 (en) Package structure and method of fabricating the same
US11587900B2 (en) Package structure including IPD and method of forming the same
US20200118914A1 (en) Package structure and method of forming the same
US11705343B2 (en) Integrated circuit package and method of forming thereof
TWI713858B (zh) 積體電路封裝及其形成方法
TWI758129B (zh) 半導體封裝
TW202407915A (zh) 半導體封裝及其製造方法
TW202401695A (zh) 半導體封裝及方法
CN115565958A (zh) 封装结构及其制造方法