TW202008561A - 積體電路 - Google Patents

積體電路 Download PDF

Info

Publication number
TW202008561A
TW202008561A TW107140639A TW107140639A TW202008561A TW 202008561 A TW202008561 A TW 202008561A TW 107140639 A TW107140639 A TW 107140639A TW 107140639 A TW107140639 A TW 107140639A TW 202008561 A TW202008561 A TW 202008561A
Authority
TW
Taiwan
Prior art keywords
dielectric
fins
fin
source
type semiconductor
Prior art date
Application number
TW107140639A
Other languages
English (en)
Other versions
TWI770311B (zh
Inventor
廖忠志
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202008561A publication Critical patent/TW202008561A/zh
Application granted granted Critical
Publication of TWI770311B publication Critical patent/TWI770311B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B10/00Static random access memory [SRAM] devices
    • H10B10/12Static random access memory [SRAM] devices comprising a MOSFET load element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

一種靜態隨機存取記憶體單元包含第一至第五介電鰭片,其沿第一方向依序設置並以第二方向為長度方向,第一及第五介電鰭片定義靜態隨機存取記憶體單元的兩邊緣;第一N型半導體鰭片,設置於第一及第二介電鰭片之間;第二N型半導體鰭片,設置於第四及第五介電鰭片之間;第一P型半導體鰭片,設置於第二及第三介電鰭片之間;第二P型半導體鰭片,設置於第三及第四介電鰭片之間,每個第一及第二N型半導體鰭片以及每個第一及第二P型半導體鰭片以第二方向為長度方向;以及閘極結構,以第一方向為長度方向設置,閘極結構接合一或多個介電鰭片。

Description

積體電路
本揭露是關於一種具積體電路。
半導體積體電路(integrated circuit;IC)工業歷經了指數性成長。在積體電路材料與設計方面的科技進步,造成了各世代的積體電路相較於前個世代具有更小以及更複雜的電路。在積體電路的演變過程中,功能性密度(例如每個晶片區域的內連接裝置的數量)逐漸增加,同時其幾何尺寸(例如製程所能產生的最小元件(或線))逐漸降低。此尺寸降低的程序有益於增加產能效率以及降低相關成本。尺寸的降低也增加積體電路的處理以及製造的複雜性,為了實現這些優點,在積體電路的處理以及製造方面也需要相應的發展。
舉例而言,在鰭式場效電晶體(fin-like field effect transistor;FinFET)製造過程中,面臨的挑戰是如何在提供裝置(例如靜態隨機存取記憶體(static random access memory;SRAM)單元)高電路性能時,達到提升鰭片密度且降低鰭片尺寸的需求。在許多例子中,鰭片尺寸的降低可能會導致許多問題,例如增加的源極/汲極接觸電阻以及耦合電容,這些問題在許多方面對SRAM單元中裝置的效能 造成負面影響。據此,鰭式場效電晶體的製程在這些方面極待改善。
於部分實施方式中,種積體電路(integrated circuit;IC)包括具有第一、第二、第三、第四和第五介電鰭片的SRAM單元,所述第一、第二、第三、第四和第五介電鰭片沿著第一方向以此順序設置並且沿著第二方向作為長度方向定向,其中第一和第五介電鰭片定義SRAM單元的兩個側邊;第一N型半導體鰭片,設置在第一和第二介電鰭片之間;第二N型半導體鰭片,設置在第四和第五介電鰭片之間;第一P型半導體鰭片,設置在第二和第三介電鰭片之間;第二P型半導體鰭片,設置在第三和第四介電鰭片之間,其中每一第一和第二N型半導體鰭片中以及每一第一和第二P型半導體鰭鰭片沿第二方向作為長度方向定向;閘極結構沿第一方向作為長度方向定向,其中閘極結構與一個或多個介電鰭片接合。
100、100A、100B、100C‧‧‧裝置
101a、101b、101c、101d‧‧‧單元
102‧‧‧基板
103‧‧‧單元間距
104P‧‧‧主動區
104N‧‧‧主動區
105‧‧‧幾何中心
106‧‧‧隔離結構
110、112‧‧‧半導體鰭片
114a、114b、114c‧‧‧介電 鰭片
132a、132b、132c‧‧‧高度
134‧‧‧高度
138‧‧‧距離
140‧‧‧距離
142‧‧‧距離
152、154‧‧‧下拉鰭式場效電晶體
156、158‧‧‧上拉鰭式場效電晶體
160、162‧‧‧傳輸閘鰭式場效電晶體
164、166‧‧‧CVss線
118‧‧‧閘極結構
120a、120b、120c、120d‧‧‧源極/汲極接觸
122、124‧‧‧源極/汲極磊晶特徵
130‧‧‧閘極介電層
130a、130b、130c‧‧‧寬度
132‧‧‧閘極電極層
165‧‧‧CVdd線
168‧‧‧位元線
170‧‧‧位元線條
172‧‧‧字元線
C-A’‧‧‧線
D-B’‧‧‧線
Cell-R0‧‧‧單元佈局
Cell-Mx‧‧‧單元佈局
Cell-My‧‧‧單元佈局
Cell-R180‧‧‧單元佈局
X、Y、Z‧‧‧方向
從以下詳細敘述並搭配圖式檢閱,可理解本揭露的態樣。應注意到,各種特徵並未以產業上實務標準的比例繪製。事實上,為了清楚討論,這些各種特徵的尺寸可以任意地增加或減少。
圖1為根據本揭露之部分實施方式中半導體裝置的佈局的示意圖。
圖2為根據本揭露之部分實施方式中圖1的半導體裝置的一部份的上視圖。
圖3為根據本揭露之部分實施方式中圖2的半導體裝置的該部份沿圖2的線A-A’的剖面圖。
圖4A至4C為根據本揭露之部分實施方式中圖2的半導體裝置的該部份沿圖2的線B-B’的剖面圖。
圖5為根據本揭露之部分實施方式中圖2至4C的半導體裝置的該部份的示意圖。
圖6為根據本揭露之部分實施方式中半導體裝置的一部份的上視圖。
圖7及8分別為根據本揭露之部分實施方式中圖6的半導體裝置的該部份沿圖6的線A-A’及B-B’的剖面圖。
圖9為根據本揭露之部分實施方式中半導體裝置的一部份的上視圖。
圖10及11分別為根據本揭露之部分實施方式中圖9的半導體裝置的該部份沿圖9的線A-A’及B-B’的剖面圖。
本揭露以下提供多個不同的實施方式或實施例,以實施本揭露的不同特徵。以下描述元件及佈設的特定實施例,以簡化本揭露。這些當然僅為實施例而非意圖限制本揭露。舉例而言,以下敘述中,「在第二特徵上形成第一特徵」可包含第一與第二特徵直接接觸的實施方式,也可包含在第一與第二特徵之間有其他特徵,而使第一與第二特徵不直接接觸 的實施方式。此外,在各種實施例中,本揭露可重複標號以及/或字母,以達到簡化並清楚說明的目的,此重複本身並不限定各種實施方式以及/或配置的關係。
更甚者,空間相對用語,例如「在...下面」、「低於」、「較低」、「在...上方」、「較高」等,可用以便於描述圖式中一元件或特徵與另一元件或特徵的關係。除了圖式中所繪示的方位之外,這些空間相對用語還意圖涵蓋元件在使用或運作時的不同方位。這些裝置可被別樣地定向(轉90度或至其他方位),且使用的這些空間相對用語可對應著同樣地解讀。更甚者,當數值或數值範圍以「大約」、「約」等相似用語描述時,此用語意圖涵蓋在包含該數值的一合理範圍內的多個數值,舉例而言,在該數值的+/- 10%內或其他該領域技術人員已知的容許值。舉例而言,該用語「大約5奈米」包含4.5奈米至5.5奈米的尺寸範圍。
本揭露大致是關於半導體裝置及其形成方法。具體而言,本揭露是關於鰭式絕緣結構。
隨著提升裝置密度的需求逐漸上升,在維持良好的裝置性能的同時符合這些需求,存在許多挑戰。舉例而言,高裝置密度與整合表示在每一單位晶圓區域上有更多的鰭式場效電晶體(因此更多鰭片)。這會促使相鄰的鰭式場效電晶體的鰭對鰭間距(fin-to-fin spacing)窄化。此窄化的鰭對鰭間距會限制相鄰的源極/汲極磊晶特徵的成長,以防止源極/汲極特徵意外短路。當源極/汲極磊晶特徵變得更小時,源極/汲極接觸的著陸面積也逐漸減小,因而提升了源極/汲極接觸 電阻。本揭露提供鰭式場效電晶體的靜態隨機存取記憶體結構以及其形成方法,包含設置於相鄰的半導體鰭片之間的介電鰭片(或者也稱為虛設鰭片),其至少用以增加源極/汲極特徵的成長空間,進而可提升源極/汲極接觸的著陸面積。
根據本揭露的各種態樣,各種搭配的圖式(圖1至11)展示了各個實施方式中的半導體裝置的一部份(例如100A、100B以及100C)的上視圖以及側視圖。裝置(或結構)100是用於說明目的,而非必限制本揭露的實施方式的電晶體數量、區域數量或其他結構或區域的配置。更甚者,裝置100A、100B以及100C可分別為積體電路或其一部分,其可包含靜態隨機存取記憶體(static random access memory;SRAM)以及/或標準邏輯電路、被動元件(例如電阻、電容以及電感)以及主動元件(例如P型場效電晶體、N型場效電晶體、多閘極場效電晶體如鰭式場效電晶體(fin field effect transistor;FinFET)以及閘極全環場效電晶體)、金屬氧化物半導體電晶體(metal-oxide semiconductor field effect transistor;MOSFET)、互補式金屬氧化物半導體(Complementary Metal-Oxide-Semiconductor;CMOS)電晶體、雙極性電晶體(bipolar transistor)、高電壓電晶體、高頻電晶體、其他記憶體單元以及其組合。在此描繪的許多實施方式中,裝置100A、100B以及100C分別為SRAM單元的一部分。
圖1為裝置100A的佈局的示意圖,其中裝置100A包含多個單元(或裝置),例如單元101a、101b、101c以及 101d,單元101a、101b、101c以及101d的多個部分形成裝置100內(或在其基板中,例如圖2的基板102)的主動區或井,例如主動區104P以及104N。主動區104P是p型導電型態(例如摻雜p型摻雜物,例如硼),且適合用於形成n型金屬氧化物半導體電晶體(例如n型鰭式場效電晶體)。主動區104N是n型導電型態(例如摻雜n型摻雜物,如磷或砷),且適合用於形成p型金屬氧化物半導體電晶體(例如p型鰭式場效電晶體)。如以下詳細討論的,每個單元101a、101b、101c以及101d包含多個p型導電型態的半導體鰭片(例如在主動區104P內),其適合用於形成n型鰭式場效電晶體,以及多個n型導電型態的半導體鰭片(例如在主動區104N內),其適合用於形成p型鰭式場效電晶體,以構成一或多個互補式金氧半場效電晶體(complementary metal-oxide-semiconductor field effect transistor;CMOSFETs)於其中。在許多實施方式中,每個單元101a、101b、101c以及101d由以X方向為長度方向且以Y方向為寬度方向的區域所定義。以下參照第2圖詳細討論單元101a、101b、101c以及101d的結構。
圖2為裝置100的實施方式100A的上視圖。圖3為沿圖2的線A-A’(例如,經由閘極結構118)的裝置100A的剖面圖,圖4A至4C為沿圖2的線B-B’(例如經由源極/汲極接觸120a)的裝置100A的剖面圖。同時參照圖2至圖4C,裝置100包含基板102以及形成於基板102上的單元101a、101b、101c以及101d。如以上參照圖1的討論,單元101a、101b、101c以及101d形成多個主動區104P以及104N,用以分別提供n型 鰭式場效電晶體以及p型鰭式場效電晶體。
參照圖2,單元101a、101b、101c以及101d沿著Y方向的邊界由介電鰭片114c所定義。換句話說,沿著X方向,介電鰭片114c將相鄰的單元(例如單元101b以及101c或單元101a以及101d)與另一個分隔開來。多個介電鰭片114c以Y方向為長度方向,且沿著X方向彼此分隔開來。相鄰的兩個介電鰭片114c的距離因而沿著X方向定義每個單元101a、101b、101c以及101d的單元間距103。
單元101a、101b、101c以及101d共同形成2乘2的網格,單元101a、101b、101c以及101d相對彼此展現了鏡像對稱以及/或旋轉對稱。舉例而言,以單元101a為參考基準(在圖1與2中標記為「Cell-R0」),單元101b的佈局(標記為「Cell-Mx」)是單元101a的佈局對於X方向的鏡射影像。相似地,單元101c的佈局是單元101b的佈局對於Y方向的鏡射影像,且單元101d的佈局(標記為「Cell-My」)是單元101a的佈局對於Y方向的鏡射影像。換句話說,單元101c(標記為「Cell-R180」)是以該網格的幾何中心105旋轉180度而對稱於單元101a的佈局,其中該網格的幾何中心105是定義為對分沿Y方向排列的該長方形網格的虛擬線以及對分沿X方向排列的該長方形網格的虛擬線的交錯點。如圖2所示,對分沿Y方向排列的該長方形網格的虛擬線在單元邊界與介電鰭片114c之一者交會。
同時參照圖2至圖4C,裝置100更包含多個p型導電型態的半導體鰭片110(或者也稱為n型金屬氧化物半導體 (NMOS)鰭片),多個n型導電型態的半導體鰭片112(或者也稱為p型金屬氧化物半導體(PMOS)鰭片),且多個介電鰭片114a以及114b與半導體鰭片110以及112混雜。半導體鰭片110以及112與介電鰭片114a以及114b是以Y方向為長度方向,且彼此沿X方向分隔開來。介電鰭片114a是設置於不同導電型態的半導體鰭片之間(例如在半導體鰭片110以及半導體鰭片112之間),介電鰭片114b是設置於兩個半導體鰭片112之間,且介電鰭片114c是設置於兩個半導體鰭片110之間。因此,介電鰭片114a、114b以及114c是用以增加相鄰的兩個半導體鰭片110以及112的鰭對鰭間距。於部分實施方式中,裝置100A可以省略介電鰭片114b。在許多實施方式中,半導體鰭片110以及112與介電鰭片114a以及114b沿著Y方向連續地延伸而經過Y方向上的多個單元;本揭露不以此配置為限制。在描繪的實施方式中,每個單元(例如單元101a)包含兩個半導體鰭片110以及兩個半導體鰭片112,其與兩個介電鰭片114a以及一個介電鰭片114b混雜,而沿著Y方向的介電鰭片114c定義單元的邊界。
參照圖3至圖4C,裝置100A更包含隔離結構106,設置於基板102上。半導體鰭片110以及112與介電鰭片114a以及114b部分嵌設於隔離結構106內。參照圖2與圖3,裝置100A更包含多個閘極結構118,沿X方向為長度方向,且彼此沿Y方向分隔開來。閘極結構118可接合每個單元中的半導體鰭片110以及112以形成多種鰭式場效電晶體,其將於後續詳細描述。更甚者,閘極結構118可接合設置於半導體鰭片110 以及112之間的一或多個介電鰭片114a、114b以及114c。於部分實施方式中,閘極結構118可以是高介電/金屬結構。裝置100A更可包含位於閘極結構118的多個側壁上的多個閘極間隙物(未繪示)。介電鰭片114a、114b以及114c的配置於後續以裝置100A分別沿著閘極結構118以及沿著源極/汲極接觸120a的剖面圖描述。
參照圖2與圖3,沿著X方向,每個介電鰭片114a具有寬度130a,每個介電鰭片114b具有寬度130b,且每個介電鰭片114c具有寬度130c。於部分實施方式中,寬度130a、130b以及130c實質相同。在另一些實施方式中,寬度130c大於寬度130a與寬度130b,例如寬度130c以至少10%的比例大於寬度130a與寬度130b。此寬度的增加用以容納位於指定的介電鰭片114c的每側的半導體鰭片110之間更大的分隔距離,以增進半導體鰭片110之間的隔離並增加後續的源極/汲極接觸的著陸面積。在範例性的實施方式中,各寬度130a、130b以及130c位於大約1奈米至大約40奈米的範圍內。
繼續參考圖2與圖3,介電鰭片114a、114b以及114c可以或可以不設置於一或多個閘極結構118的下方(例如介電鰭片114a、114b以及114c接合一或多個閘極結構118)。舉例而言,如圖3所示,介電鰭片114c是不設置於閘極結構118的下方,而介電鰭片114a與114b是設置於閘極結構118的下方。在範例性的實施方式中,每個介電鰭片114a的高度132a與每個介電鰭片114b的高度132b實質相同,且每個介電鰭片114c的高度132c小於高度132a與高度132b。此高度差異可能 是因為部分的介電鰭片114c處於一位置,在先前的製程(例如切斷金屬閘極(cut metal gate;CMG)製程)中,閘極結構118在該位置被截斷,而使介電鰭片114c的高度縮短。當然,本揭露也提供實施方式,其中部分的介電鰭片114a以及/或介電鰭片114b不位於一或多個閘極結構118的下方,而分別具有縮短的高度132a以及/或高度132b。
同時參照圖2以及4A至4C,裝置100A更包含源極/汲極磊晶特徵122以及124,分別設置於半導體鰭片110與112上。源極/汲極磊晶特徵122以及124是位於各個閘極結構118的相對兩側。於本實施方式中,源極/汲極磊晶特徵122以及124是分別摻雜n型摻雜物以及p型摻雜物。相鄰的源極/汲極磊晶特徵122以及124由介電鰭片114a、114b以及114c分隔開來。
繼續一同參照圖2以及4A至4C,裝置100A更包含多個源極/汲極接觸120a、120b、120c以及120d以X方向為其長度方向。每個源極/汲極接觸120a設置於源極/汲極磊晶特徵122之一、源極/汲極磊晶特徵124之一以及位於其中的介電鰭片114a、114b以及114c之一上。每個源極/汲極接觸120b設置於源極/汲極磊晶特徵122之一以及介電鰭片114a之一上。每個源極/汲極接觸120c設置於源極/汲極磊晶特徵124之一以及介電鰭片114b之一上。每個源極/汲極接觸120d位於兩個源極/汲極磊晶特徵122上。照圖4A至4C的剖面圖中,每個源極/汲極接觸120a、120b、120c以及120d實際接觸介電鰭片114a、114b以及114c之一的上表面。於許多實施方式中,裝 置100A的每個單元(例如101a)包含至少兩個沿X方向設置的完整源極/汲極接觸120a,以及沿著X方向設置且與源極/汲極接觸120a於Y方向分隔開來的部分的源極/汲極接觸120b、120c以及120d。於許多實施方式中,源極/汲極接觸120a沿著X方向的長度大於每個源極/汲極接觸120b以及120c的長度。
參照圖4A與4B,介電鰭片114a接合源極/汲極接觸120a,介電鰭片114a的高度132a至少與每個源極/汲極磊晶特徵122以及124在其最寬部分(例如磊晶成長邊緣)的高度134相同,而能防止相鄰的源極/汲極磊晶特徵122以及124結合在一起。於範例性的實施方式中,介電鰭片114a的上表面與設置於介電鰭片114a相對兩側的源極/汲極磊晶特徵122以及124的最寬部分齊平。在另一些實施方式中,參照圖4C,高度132a低於高度134,而使介電鰭片114a的上表面(或每個源極/汲極接觸特徵120a的下表面)低於源極/汲極磊晶特徵122以及124的最寬部分。這可能是在較早的蝕刻製程(用以形成接觸孔以供源極/汲極接觸120a)中使部分的介電鰭片114a凹陷的結果。值得注意的是,此凹陷讓源極/汲極接觸120a接觸源極/汲極磊晶特徵122以及124的上表面以及側壁,因而降低源極/汲極接觸120a與源極/汲極磊晶特徵122以及124之間的接觸電阻。在部分實施方式中,如圖4A所述,每個介電鰭片114a與114b以距離138分隔於源極/汲極磊晶特徵122以及124的最寬部分,其中距離138大於零。在另一些實施方式中,如圖4B所示,每個介電鰭片114a與114b實質接觸源極/汲極磊晶特徵122以及124的最寬部分,而使距離138降為零。更甚者,參照 圖4A至4C,未設置於源極/汲極接觸120a下的介電鰭片的高度(例如介電鰭片114c與114b的各別高度132c與132b)可不同於設置於源極/汲極接觸120a下的介電鰭片的高度(例如介電鰭片114a的高度132a)。或者,他們可以是彼此相似的。舉例而言,如圖4A至圖4C所示,高度132a可高於、低於或相同於(例如介電鰭片114a的上表面齊平於介電鰭片114c的上表面)高度132b或132c。
值得注意的是,由於介電鰭片114a、114b以及114c的存在,源極/汲極磊晶特徵122以及124有充足的空間磊晶成長至最大或將近最大的體積,以改善所形成的鰭式場效電晶體中的應力。此外,源極/汲極磊晶特徵122以及124的增大體積的磊晶成長增加了源極/汲極接觸特徵120a、120b、120c以及120d著陸面積,進而降低裝置100A的接觸電阻。相反地,如果沒有介電鰭片114a、114b以及114c,源極/汲極磊晶特徵122以及124可能只能磊晶成長到一個小於最大體積的體積,而有損裝置的性能表現。
參照圖2、3以及5,每個單元(例如單元101a)包含兩個下拉(pull-down;PD)鰭式場效電晶體152與154、兩個上拉(pull up;PU)鰭式場效電晶體156與158以及兩個傳輸閘(pass-gate;PG)鰭式場效電晶體160與162。相鄰的下拉、上拉以及傳輸閘鰭式場效電晶體沿著X方向由介電鰭片114a、114b以及114c分隔開來。下拉鰭式場效電晶體152與154以及傳輸閘鰭式場效電晶體160與162是n型場效電晶體,其由部份的閘極結構118接合位於主動區104P的p型鰭片110 而提供。上拉鰭式場效電晶體156與158是p型場效電晶體,其由部份的閘極結構118接合位於主動區104N的n型鰭片112而提供。在許多實施方式中,下拉鰭式場效電晶體152與154以及上拉鰭式場效電晶體156與158是用以提供二個交叉耦合反相器(cross-coupled inverter)作為資料儲存裝置,而傳輸閘鰭式場效電晶體160與162是用以提供用以讀寫資料的控制單元。參照圖5,每個單元可更包含CVss線164以及166、CVdd線165、位元線(bit line)168、位元線條(bit-line bar)170以及字元線(word line)172。在所述的實施方式中,裝置100A包含多個單鰭(single-fin)的鰭式場效電晶體。換句話說,每個鰭式場效電晶體包含單個半導體鰭片110或單個半導體鰭片112。如以下討論,本揭露並不以此配置為限。
圖6為根據本揭露之另一些實施方式的裝置100B的上視圖。圖7為裝置100B沿圖6的線A-A’(例如經由閘極結構118)的剖面圖,圖8為裝置100B沿圖6的線B-B’(例如經由源極/汲極接觸120a)的剖面圖。於本實施方式的裝置100B實質相同於圖1至圖5的裝置100A,除了裝置100B包含多重鰭(multi-fin)的鰭式場效電晶體。舉例而言,於本實施方式中,每個半導體鰭式場效電晶體(例如下拉鰭式場效電晶體152或154以及傳輸閘鰭式場效電晶體160與162)包含兩個半導體鰭片110。換句話說,在裝置100B中,多於一個半導體鰭片110設置於介電鰭片114c與介電鰭片114a之間。當然,本揭露不限於每個n型鰭式場效電晶體使用兩個半導體鰭片110,且舉例而言,可包含三或更多個半導體鰭片110。據此,參照圖8,藉 由結合兩個半導體鰭片110上的磊晶特徵,每個源極/汲極磊晶特徵122增大,且源極/汲極接觸120a著陸於該增大的源極/汲極磊晶特徵122、源極/汲極磊晶特徵124以及介電鰭片114a的上表面上。於此,裝置100B的其他態樣如同圖1至5的裝置100A,為簡明起見在此省略。
圖9為根據本揭露之另一些實施方式中裝置100C的上視圖。圖10為裝置100C沿圖9的線A-A’(例如經由閘極結構118)的剖面圖,圖11為裝置100C沿圖9的線B-B’(例如經由源極/汲極接觸特徵120a)的剖面圖。同時參考圖9至11,於本實施方式的裝置100C實質相同於圖1至圖5的裝置100A,除了每個單元的主動區104N內沒有介電鰭片114b。換句話說,每個單元的相鄰的兩個半導體鰭片112沒有被介電鰭片114b分隔開來。於範例性的實施方式中,每個單元的裝置100C包含兩個半導體鰭片110、兩個半導體鰭片112以及兩個介電鰭片114a,其中單元的邊界是由介電鰭片112c所定義。因為相較於源極/汲極磊晶特徵122,源極/汲極磊晶特徵124的體積較小,因此在設置相鄰的兩個半導體鰭片112時沒有以介電鰭片114b置於其中會導致源極/汲極磊晶特徵124合併在一起。此外,移除半導體鰭片112之間的介電鰭片114b有利於降低SRAM單元在裝置100C內的整體尺寸。據此,參照圖10,半導體鰭片112之間的距離140可以降低至小於半導體鰭片112以及相鄰的介電鰭片114a之間的距離142,進而增加鰭式場效電晶體裝置的密度。於此,裝置100C的其他態樣如同圖1至5的裝置100A,為簡明起見在此省略。
圖4A至4C所繪示及描述的裝置100A的介電鰭片114a、114b以及114c的配置可同樣地應用於裝置100B以及100C。舉例而言,參照圖8至11,高度132a以及高度132b可至少與源極/汲極磊晶特徵122以及124在其最寬部分量測到的高度134相同。或者,高度132a以及高度132b可小於高度134。源極/汲極磊晶特徵122以及124的最寬部分以及介電鰭片114a、114b以及/或114c之間的距離138可大於零,或者距離138可等於零,而使源極/汲極磊晶特徵122以及124的最寬部分實質接觸介電鰭片114a、114b以及/或114c。更甚者,介電鰭片114a、114b以及/或114c不設置於源極/汲極接觸特徵120a下方的部份的高度可大於、小於或等於介電鰭片114a、114b以及/或114c設置於源極/汲極接觸特徵120a下方的部份的高度。
裝置100A、100B以及/或100C可包含其他組件(圖2至圖8未示),例如源極/汲極磊晶特徵122以及124上的蝕刻停止層、金屬沉積前介電(pre-metallization dielectric;PMD)層、層間介電層、通孔與接觸,以及用於連接積體電路內多個單元的金屬線。
這些裝置100的各個組件詳述於下。於本實施方式中,基板102是矽基板。或者,基板102可包含其他元素半導體,例如鍺;化合物半導體包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦以及/或銻化銦;合金半導體包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP以及/或GaInAsP;及其組合。或者,基板102是絕緣層上覆半導體 (semiconductor-on-insulator;SOI),如具有埋設介電層。
半導體鰭片110與112可包含一或多個半導體材料,例如矽、鍺或矽鍺。於部分實施方式中,每個半導體鰭片110與112可包含多個不同的半導體層,其中一半導體層堆疊於另一層半導體層。半導體鰭片110與112可以使用適當的製程製成,包含雙重圖案化或多重圖案化製程。通常,舉例而言,雙重圖案化或多重圖案化製程結合光刻微影與自我對準製程,使產生的圖案的間距小於其他使用單一、直接光刻微影產生的間距。舉例而言,在一實施方式中,在基板上形成犧牲層且使用光刻微影製程圖案化該犧牲層。使用自我對準製程沿著經圖案化的犧牲層,形成間隔物。然後,移除犧牲層,剩餘的間隔物或模蕊(mandrels)可接著用以藉由蝕刻基板102的初始磊晶半導體層,圖案化半導體鰭片110與112。此蝕刻製程可包含乾蝕刻、濕蝕刻、反應式離子蝕刻(reactive ion etching;RIE)以及/或其於適當製程。半導體鰭片110與112可以摻雜以上討論的適當摻雜物。
隔離結構106可包含氧化矽、氮化矽、氮氧化矽、摻氟石英玻璃(fluoride-doped silicate glass;FSG)、低介電(low-k)的介電材料以及/或其他適當絕緣材料。隔離結構106可以是淺溝槽隔離(shallow trench isolation;STI)特徵。在一實施方式中,藉由在基板102中蝕刻溝槽,例如鰭片110與112的形成製程,以形成隔離結構106。溝槽可接著填入隔離材料,再進行化學機械平坦化(chemical mechanical planarization;CMP)製程以及/或回蝕(etch-back)製程。 在另一實施方式中,藉由在半導體鰭片110與112的側壁上沉積介電材料,而不完全填滿半導體鰭片110與112之間的溝槽,形成隔離結構106。換句話說,隔離結構106作為鰭片側壁間隔物而形成。其他的隔離結構是可能的,例如場區氧化層(field oxide)、局部矽氧化(Local Oxidation of Silicon;LOCOS)以及/或其他適當結構。隔離結構106可包含多層結構,例如具有一或多個熱氧化襯層。
於本實施方式中,每個介電鰭片114a設置於導電型態不同的兩個半導體鰭片之間(例如位於一半導體鰭片110與一半導體鰭片112之間),每個介電鰭片114b設置於兩個n型半導體鰭片之間(例如兩個半導體鰭片112之間),且每個介電鰭片114c設置於兩個p型半導體鰭片之間(例如兩個半導體鰭片110之間)。介電鰭片114a與114b增加了相鄰的半導體鰭片的分隔距離,此有若干優點,例如防止相鄰的源極/汲極磊晶特徵合併以及增加源極/汲極接觸特徵在源極/汲極磊晶特徵上的著陸面積。
每個介電鰭片114a、114b以及114c可包含單個介電材料或多個介電材料。舉例而言,每個介電鰭片114a、114b以及114c可包含氧化矽(例如SiO2)、碳氧化矽(例如SiOC)、氮碳氧化矽(例如SiOCN)、含碳的氧化矽、含氮的氧化矽、含氮的介電材料、含金屬氧化物的介電材料、氧化鉿(HfO2)、氧化鉭(Ta2O5)、氧化鈦(TiO2)、氧化鋯(ZrO2)、氧化鋁(Al2O3)、氧化釔(Y2O3)、其他適當介電材料或其組合。
在部分實施方式中,隔離結構106作為間隔物層 設置於半導體鰭片110與112的側壁上。在隔離結構106被凹陷至低於半導體鰭片110與112之前,藉由圖案化與蝕刻製程,在隔離結構106中形成溝槽。其後,在溝槽中沉積介電材料,以形成介電鰭片114a、114b以及114c。可使用化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(pysical vapor deposition;PVD)、原子層沉積(atomic layer deposition;ALD)、流動式化學氣相沉積(flowable CVD;FCVD)或其他適當方法,沉積一或多個介電材料。接著,平坦化隔離結構106(例如藉由一或多個化學機械平坦化法),以露出每個半導體鰭片110與112的上表面以及每個介電鰭片114a、114b以及114c的上表面。此後,隔離結構106(例如藉由化學蝕刻製程)被凹陷至低於每個半導體鰭片110與112的上表面以及每個介電鰭片114a、114b以及114c的上表面。
閘極結構118包含閘極介電層130與閘極電極層132。閘極介電層130可包含氧化矽(例如SiO2)、氮氧化矽(例如SiON)、氧化鋁矽(例如AlSiO2)、高介電(high-k)的介電材料,例如氧化鉿(HfO2)、氧化鋯(ZrO2)、氧化鑭(La2O3)、氧化鈦(TiO2)、氧化釔(Y2O3)、鈦酸鍶(SrTiO3)、其他適當金屬氧化物或其組合。可藉由化學氧化法、熱氧化法、原子層沉積(ALD)或其他適當方法,沉積閘極介電層130。閘極電極層132可包含功函數金屬層、金屬填充層以及其他適當層體,例如一或多個阻擋層(barrier layer)以及一或多個覆蓋層(capping layer)。功函數金屬層可以是p型或n型功函數層,分別用於p型鰭式場效電晶體與 n型鰭式場效電晶體。p型功函數層包含金屬,例如氮化鈦(TiN)、氮化鉭(TaN)、釕(Ru)、鉬(Mo)、鎢(W)、鉑(Pt)或其組合。n型功函數層包含金屬,例如鈦(Ti)、鋁(Al)、碳化鉭(TaC)、碳化鉭(TaCN)、氮化鉭(TaSiN)或其組合。功函數金屬層可以包括多個層,並且可以通過化學氣相沉積、物理氣相沉積(PVD)和/或其他合適的製程來沉積。金屬填充層可包括鋁(Al)、鎢(W)、鈷(Co)、銅(Cu)和/或其他合適的材料。金屬填充層可以通過化學氣相沉積、物理氣相沉積、電鍍和/或其他合適的製程形成。
每個裝置100A,100B和/或100C可以更包含沿每個閘極結構118的側壁設置的閘極間隔物(未示出)。閘極間隔物可以包含一個或多個介電層,其具有氮化矽(Si3N4)、氧化矽(SiO2)、碳化矽(SiC)、氮碳化矽(SiCN)、氮氧化矽(SiON)、碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)、低介電(low-k)介電材料、其他材料或其組合。閘極間隔物可以通過一種或多種方法形成,包含化學氧化法、熱氧化法、原子層沉積、化學氣相沉積和/或其他合適的方法。
源極/汲極磊晶特徵122可以包括磊晶生長的一或多個半導體材料,例如用於n型鰭式場效電晶體的磊晶成長的矽或矽碳,並且可以額外包括一或多個n型摻雜物,例如磷或砷。源極/汲極磊晶特徵124可以包括磊晶成長的一或多個半導體材料,例如用於p型鰭式場效電晶體的磊晶成長的矽鍺,並且可以額外包括一種或多種p型摻雜物,例如硼或銦。在部分實施方式中,源極/汲極磊晶特徵124中的鍺濃度高於半導體 鰭片112的通道區域中的鍺濃度。源極/汲極磊晶特徵122和124可以藉由使用矽基前驅物的低壓化學氣相沉積(low-pressure CVD;LPCVD)製程、選擇性磊晶成長(selective epitaxial growth;SEG)製程、循環沉積和蝕刻(cyclic deposition and etching;CDE)製程或其他磊晶成長製程而形成。在許多實施方式中,介電鰭片114a、114b和114c足夠高而能防止相鄰的源極/汲極磊晶特徵122和124意外地彼此合併而短路。
雖然不是限制性的,但是本揭露的一個或多個實施方式為半導體裝置提供了許多益處。舉例而言,本揭露的實施方式提供介電鰭片,其插入主動半導體鰭片之間,以隔離相鄰的半導體鰭片。由於介電鰭片的存在,源極/汲極磊晶特徵可以生長到最大或接近最大的尺寸,這增加了對通道的應變,且也增加了源極/汲極接觸的著陸區域,從而降低了源極/汲極接觸電阻。
在一示例性態樣中,本揭露涉及一種積體電路(integrated circuit;IC),其包括具有第一、第二、第三、第四和第五介電鰭片的SRAM單元,所述第一、第二、第三、第四和第五介電鰭片沿著第一方向以此順序設置並且沿著第二方向作為長度方向定向,其中第一和第五介電鰭片定義SRAM單元的兩個側邊;第一N型半導體鰭片,設置在第一和第二介電鰭片之間;第二N型半導體鰭片,設置在第四和第五介電鰭片之間;第一P型半導體鰭片,設置在第二和第三介電鰭片之間;第二P型半導體鰭片,設置在第三和第四介電鰭片 之間,其中每一第一和第二N型半導體鰭片中以及每一第一和第二P型半導體鰭鰭片沿第二方向作為長度方向定向;閘極結構沿第一方向作為長度方向定向,其中閘極結構與一個或多個介電鰭片接合。
於部分實施方式中,積體電路還包括沿第二方向設置在第一SRAM單元旁邊的第二SRAM單元,其中第二SRAM單元的佈局是第一SRAM單元佈局相對於第一方向上的第一虛擬邊界線的鏡像;第三SRAM單元沿第一方向設置在第二SRAM單元旁邊,其中第三SRAM單元的佈局是第二SRAM單元的佈局相對於第二虛擬邊界線的鏡像,其中第二虛擬邊界線穿過第五介電鰭片的長度方向;第四SRAM單元沿第二方向設置在第三SRAM單元旁邊,沿第一方向設置在第一SRAM單元旁邊,其中第四SRAM單元的佈局是第一SRAM單元的佈局相對第二虛擬邊界線的鏡像。
於部分實施方式中,在第一和第二電介電鰭片之間以及第四和第五介電鰭片之間,只有設置一個p型半導體鰭片。
於部分實施方式中,積體電路還包括一個或多個p型半導體鰭片,設置在第一和第二介電鰭片之間以及第四和第五介電鰭片之間。
於部分實施方式中,積體電路還包括源極/汲極磊晶特徵,每個源極/汲極磊晶特徵設置在每一個第一和第二p型半導體鰭片以及第一和第二n型半導體鰭片的一部分上。在進一步的實施方式中,第一源極/汲極接觸設置於上且物理接觸 第一p型半導體鰭鰭片上的源極/汲極磊晶特徵上、第一n型半導體鰭片上的源極/汲極磊晶特徵以及第二介電鰭片。第二源極/汲極接觸設置於上且物理接觸第二p型半導體鰭片上的源極/汲極磊晶特徵、第二n型半導體鰭片上的源極/汲極磊晶特徵以及第四電介電鰭片。
於部分實施方式中,每一第一、第二、第三、第四和第五介電鰭片包括氧化矽、碳氧化矽、氮氧化矽、氮碳氧化矽、氧化鈦、氧化鋯、氧化鋁、氧化釔或其組合。
於部分實施方式中,閘極結構正下方的第一、第二、第三、第四和第五介電鰭片的部分具有第一高度,不在閘極結構下方的第一、第二、第三、第四和第五介電鰭片的部分具有第二高度,第一高度大於第二高度。
在另一示例性態樣中,本揭露涉及一種半導體裝置,其具有依順時針順序以網格排列的第一、第二、第三和第四SRAM單元,該網格以第一方向上作為長度方向定向,以第二方向上作為寬度方向定向,通常第二方向垂直於第一方向的方向,其中每一第一、第二、第三和第四SRAM單元中包括:第一p型半導體鰭片,設置在第一邊界介電鰭片和第一內部介電鰭片之間;第一和第二n型半導體鰭片,設置在第一內部介電鰭片和第二內部介電鰭片之間;第二p型半導體鰭片,設置在第二內部介電鰭片和第二邊界介電鰭片之間;源極/汲極磊晶特徵各自設置在每一第一和第二p型半導體鰭片以及第一和第二n型半導體鰭片中上;第一源極/汲極接觸設置於上且物理接觸在第一p型半導體鰭片上的源極/汲極磊晶特徵、第一n型 半導體鰭片上的源極/汲極磊晶特徵以及第一內部介電鰭片;以及第二源極/汲極接觸設置於上且物理接觸在第二p型半導體鰭片上的源極/汲極磊晶特徵、第二n型半導體鰭片上的源極/汲極磊晶特徵以及第二內部介電鰭片。在部分實施例中,第一和第二邊界介電鰭片、第一和第二內部介電鰭片、第一和第二p型半導體鰭片以及第一和第二n型半導體鰭片沿第二方向為長度方向定向,第一和第二源極/汲極接觸沿第一方向為長度方向定向。在進一步的實施方式中,第一SRAM單元設置在網格的左下角,第二SRAM單元沿第二方向設置在第一SRAM單元的旁邊,第三和第四SRAM單元分別是第二與第一SRAM單元以第二方向的鏡像。
在部分實施方式中,在穿過第一源極/汲極接觸的長度方向的剖面圖中,在第一p型半導體鰭片上的源極/汲極磊晶特徵的最寬部分和第一n型半導體鰭片上的源極/汲極磊晶特徵的最寬部分物理接觸第一內部介電鰭片。在部分實施方式中,在穿過第一源極/汲極接觸的長度方向的剖面圖中,第一內部介電鰭片的上表面低於第一p型半導體鰭片上的源極/汲極磊晶特徵的最寬部分以及第一n型半導體鰭片上的源極/汲極磊晶特徵的最寬部分。
在部分實施方式中,在穿過第二源極/汲極接觸的長度方向的剖面圖中,第二內部介電鰭片的上表面與第二n型半導體鰭片上的源極/汲極磊晶特徵的最寬部分以及第二p型半導體鰭片上的源極/汲極磊晶特徵的最寬部分實質共平面。
在部分實施方式中,在穿過第一與第二源極/汲極 接觸的長度方向的剖面圖中,每一第一和第二邊界介電鰭片中的上表面高於每一第一和第二內部介電鰭片的上表面。在進一步的實施方式中,在穿過第一與第二源極/汲極接觸的長度方向的剖面圖中,每一第一和第二邊界介電鰭片中的上表面與每一第一和第二內部介電鰭片的上表面實質共平面。在部分實施方式中,在穿過第一與第二源極/汲極接觸的長度方向的剖面圖中,每一第一和第二邊界介電鰭片中的上表面低於每一第一和第二內部介電鰭片的上表面。
在更進一步的實施方式中,在穿過第一與第二源極/汲極接觸的長度方向的剖面圖中,在第一n型半導體鰭片上方的源極/汲極磊晶特徵的最寬部分與第二n型半導體鰭片上方的源極/汲極磊晶特徵的最寬部分的間隔距離小於第一n型半導體鰭片上方的源極/汲極磊晶特徵的最寬部分與第一p型半導體鰭片上方的源極/汲極磊晶特徵的最寬部分的間隔距離。
在又一示例性態樣中,本揭露涉及一種積體電路,其包括第一SRAM單元,其沿第一方向為長度方向定向並且沿第二方向為寬度方向定向,第二方向大致垂直於第一方向的,其中SRAM單元包括第一、第二、第三和第四介電鰭片沿第一方向按此順序設置並沿以第二方向圍棋長度方向定向;第一p型半導體鰭片,設置在第一和第二介電鰭片之間;第二p型半導體鰭片,設置在第三和第四介電鰭片之間;第一和第二n型半導體鰭片,設置在第二和第三介電鰭片之間,其中每一第一和第二p型半導體鰭片以及每一第一和第二n型半導體鰭 片中第二方向為其長度方向定向;閘極結構沿第一方向為長度方向定向並沿第二方向彼此間隔開來。在部分實施方式中,第一和第四介電鰭片定義第一SRAM單元的兩個側邊。在部分實施方式中,閘極結構接合第一p型半導體鰭片而形成第一傳輸閘(first pass-gate;PG)場效電晶體和第一下拉(pull-down;PD)場效電晶體,閘極結構接合第一n型半導體鰭片而形成第一上拉(pull-up;PU)場效電晶體,閘極結構接合第二p型半導體鰭片以形成第二傳輸閘場效電晶體和第二下拉場效電晶體,閘極結構接合第二n型半導體鰭片以形成第二上拉場效電晶體。
在部分實施方式中,積體電路還包括沿第二方向設置在第一SRAM單元旁邊的第二SRAM單元,其中第二SRAM單元的佈局是第一SRAM單元的佈局相對於第一方向上的第一虛擬邊界線的鏡像;第三SRAM單元沿第一方向設置在第二SRAM單元旁邊,其中相對於穿過第四介電鰭片的長度方向的第二虛擬邊界線,第三SRAM單元的佈局是第二SRAM單元的佈局的鏡像;以及第四SRAM單元沿第二方向設置在第三SRAM單元旁邊,且沿第一方向設置在第一SRAM單元旁邊,其中第四SRAM單元的佈局是第一SRAM單元的佈局相對於第二虛擬邊界線的鏡像。
在部分實施方式中,第一和第二n型半導體鰭片之間的空間沒有任何介電鰭片。
在部分實施方式中,第一上拉場效電晶體和第一下拉場效電晶體配置為形成第一反相器,第二上拉場效電晶體 和第二下拉場效電晶體配置為形成第二反相器,第一反相器和第二反相器交叉耦合。
以上略述多個實施方式的特徵,以使該技術領域具有通常知識者能較佳地了解本揭露的態樣。該技術領域具有通常知識者應理解,他們可以此揭露為基礎,設計或調整其他製程或結構,以實現與此些實施方式相同的功效以及/或達到與此些實施方式相同的優點。該技術領域具有通常知識者應也理解,此相同的結構不脫離本揭露之精神和範圍內,且在不脫離本揭露之精神和範圍內,當可作各種之更動、替換與變化。
100A‧‧‧裝置
101a、101b、101c、101d‧‧‧單元
103‧‧‧單元間距
105‧‧‧幾何中心
110、112‧‧‧半導體鰭片
114a、114b、114c‧‧‧介電鰭片
118‧‧‧閘極結構
120a、120b、120c、120d‧‧‧源極/汲極接觸
122、124‧‧‧源極/汲極磊晶特徵
152、154‧‧‧下拉鰭式場效電晶體
156、158‧‧‧上拉鰭式場效電晶體
160、162‧‧‧傳輸閘鰭式場效電晶體
A-A’‧‧‧線
B-B’‧‧‧線
Cell-R0‧‧‧單元佈局
Cell-Mx‧‧‧單元佈局
Cell-My‧‧‧單元佈局
Cell-R180‧‧‧單元佈局
X、Y‧‧‧方向
130a、130b、130c‧‧‧寬度

Claims (1)

  1. 一種積體電路,包含:一第一靜態隨機存取記憶體(SRAM)單元,以一第一方向為該第一SRAM單元的長度方向且以一第二方向為該第一SRAM單元的寬度方向,其中該第二方向大致垂直於該第一方向,其中該第一SRAM單元包含:一第一介電鰭片、一第二介電鰭片、一第三介電鰭片、一第四介電鰭片以及一第五介電鰭片,沿該第一方向依序設置並以該第二方向為該第一至第五介電鰭片的長度方向設置,其中該第一與第五介電鰭片定義該第一SRAM單元的二個側邊;一第一P型半導體鰭片,設置於該第一及第二介電鰭片之間;一第二P型半導體鰭片,設置於該第四及第五介電鰭片之間;一第一N型半導體鰭片,設置於該第二及第三介電鰭片之間;一第二N型半導體鰭片,設置於該第三及第四介電鰭片之間,其中每該第一及第二P型半導體鰭片以及每該第一及第二N型半導體鰭片以該第二方向為長度方向設置;以及複數個閘極結構,以該第一方向為該些閘極結構的長度方向設置,其中該些閘極結構沿該第二方向分隔開來,其中該些閘極結構接合該第一至第五介電鰭片、該第一及第二N型半導體鰭片以及該第一及第二P型半導 體鰭片之一或多個。
TW107140639A 2018-07-31 2018-11-15 積體電路以及半導體裝置 TWI770311B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/050,702 US11069692B2 (en) 2018-07-31 2018-07-31 FinFET SRAM cells with dielectric fins
US16/050,702 2018-07-31

Publications (2)

Publication Number Publication Date
TW202008561A true TW202008561A (zh) 2020-02-16
TWI770311B TWI770311B (zh) 2022-07-11

Family

ID=69227550

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107140639A TWI770311B (zh) 2018-07-31 2018-11-15 積體電路以及半導體裝置

Country Status (3)

Country Link
US (3) US11069692B2 (zh)
CN (1) CN110783338A (zh)
TW (1) TWI770311B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11581414B2 (en) 2020-03-30 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices with optimized gate spacers and gate end dielectric
TWI793552B (zh) * 2020-03-30 2023-02-21 台灣積體電路製造股份有限公司 半導體結構及其製造方法
TWI809829B (zh) * 2022-02-07 2023-07-21 南亞科技股份有限公司 具有輪廓修飾子之半導體元件結構的製備方法
US11854832B2 (en) 2022-02-07 2023-12-26 Nanya Technology Corporation Semiconductor device structure having a profile modifier
US11894259B2 (en) 2022-02-07 2024-02-06 Nanya Technology Corporation Method for manufacturing the same having a profile modifier

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10886269B2 (en) * 2018-09-18 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11094695B2 (en) * 2019-05-17 2021-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit device and method of forming the same
KR20210067763A (ko) * 2019-11-29 2021-06-08 삼성전자주식회사 집적회로 소자
CN113555361A (zh) * 2020-04-23 2021-10-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11764259B2 (en) * 2021-07-23 2023-09-19 International Business Machines Corporation Vertical field-effect transistor with dielectric fin extension
US11856744B2 (en) * 2021-08-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005142289A (ja) 2003-11-05 2005-06-02 Toshiba Corp 半導体記憶装置
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7655989B2 (en) * 2006-11-30 2010-02-02 International Business Machines Corporation Triple gate and double gate finFETs with different vertical dimension fins
US7812373B2 (en) 2007-02-12 2010-10-12 Infineon Technologies Ag MuGFET array layout
US8642474B2 (en) 2007-07-10 2014-02-04 Advanced Micro Devices, Inc. Spacer lithography
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8621398B2 (en) 2010-05-14 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Automatic layout conversion for FinFET device
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9152039B2 (en) 2011-10-18 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple patterning technology method and system for achieving minimal pattern mismatch
US8815712B2 (en) 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9171929B2 (en) 2012-04-25 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structure of semiconductor device and method of making the strained structure
US8964453B2 (en) 2012-06-28 2015-02-24 Synopsys, Inc. SRAM layouts
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US8830732B2 (en) 2012-11-30 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell comprising FinFETs
US8779528B2 (en) 2012-11-30 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM cell comprising FinFETs
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US8964457B2 (en) 2012-11-30 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for operating SRAM cells
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9214555B2 (en) 2013-03-12 2015-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Barrier layer for FinFET channels
US8963258B2 (en) 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US10050030B2 (en) * 2015-09-04 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and fabricating method thereof
US9922975B2 (en) * 2015-10-05 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit having field-effect trasistors with dielectric fin sidewall structures and manufacturing method thereof
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
TWI690056B (zh) * 2016-04-27 2020-04-01 聯華電子股份有限公司 靜態隨機存取記憶體單元陣列及其形成方法
US9601497B1 (en) * 2016-04-28 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Static random access memory and method of manufacturing the same
KR102553260B1 (ko) * 2016-08-03 2023-07-07 삼성전자 주식회사 집적회로 소자 및 그 제조 방법

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11581414B2 (en) 2020-03-30 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices with optimized gate spacers and gate end dielectric
TWI793552B (zh) * 2020-03-30 2023-02-21 台灣積體電路製造股份有限公司 半導體結構及其製造方法
TWI809829B (zh) * 2022-02-07 2023-07-21 南亞科技股份有限公司 具有輪廓修飾子之半導體元件結構的製備方法
US11854832B2 (en) 2022-02-07 2023-12-26 Nanya Technology Corporation Semiconductor device structure having a profile modifier
US11894259B2 (en) 2022-02-07 2024-02-06 Nanya Technology Corporation Method for manufacturing the same having a profile modifier

Also Published As

Publication number Publication date
CN110783338A (zh) 2020-02-11
US20200043935A1 (en) 2020-02-06
US20230371226A1 (en) 2023-11-16
TWI770311B (zh) 2022-07-11
US20210343727A1 (en) 2021-11-04
US11069692B2 (en) 2021-07-20
US11792971B2 (en) 2023-10-17

Similar Documents

Publication Publication Date Title
US11855094B2 (en) FinFET devices with dummy fins having multiple dielectric layers
TWI770311B (zh) 積體電路以及半導體裝置
US11678474B2 (en) SRAM cell with balanced write port
KR101624428B1 (ko) u자형 채널을 갖는 FINFET 트랜지스터, SRAM 셀 및 그 형성 방법
TW202025394A (zh) 積體電路
US11495537B2 (en) Interconnect structure in semiconductor devices
US11437385B2 (en) FinFET SRAM cells with reduced fin pitch
US11908910B2 (en) Semiconductor device having embedded conductive line and method of fabricating thereof
TW202221899A (zh) 半導體裝置
US11652140B2 (en) Semiconductor device structure and methods of forming the same
US20200051980A1 (en) Semiconductor device and method for manufacturing the same
US20240120337A1 (en) Semiconductor device structure including forksheet transistors and methods of forming the same
US20230395599A1 (en) Semiconductor device structure including forksheet transistors and methods of forming the same
US20220320337A1 (en) Semiconductor device structure and methods of forming the same
US20230371228A1 (en) Memory device and method for manufacturing the same
US20230369133A1 (en) Semiconductor device and manufacturing method thereof
US20230225098A1 (en) Epitaxial features in semiconductor devices and method of forming the same
TW202416450A (zh) 積體電路及其製造方法