TW201933593A - 影像感測裝置、其形成方法及影像感測系統 - Google Patents

影像感測裝置、其形成方法及影像感測系統 Download PDF

Info

Publication number
TW201933593A
TW201933593A TW107141029A TW107141029A TW201933593A TW 201933593 A TW201933593 A TW 201933593A TW 107141029 A TW107141029 A TW 107141029A TW 107141029 A TW107141029 A TW 107141029A TW 201933593 A TW201933593 A TW 201933593A
Authority
TW
Taiwan
Prior art keywords
layer
anchor
anchoring
image sensing
pad
Prior art date
Application number
TW107141029A
Other languages
English (en)
Other versions
TWI685957B (zh
Inventor
魏嘉余
李承遠
林彥良
李國政
黃薰瑩
陳信吉
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201933593A publication Critical patent/TW201933593A/zh
Application granted granted Critical
Publication of TWI685957B publication Critical patent/TWI685957B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14603Special geometry or disposition of pixel-elements, address-lines or gate-electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14634Assemblies, i.e. Hybrid structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/1469Assemblies, i.e. hybrid integration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

提供錨定結構及用於形成錨定結構的方法,因而使得平坦化和晶圓接合製程可具有均勻性。錨定結構可包含形成於介電層表面上的錨定層以及形成於錨定層中和介電層表面上的錨定墊。可以經由選擇錨定層材料而使得錨定層、錨定墊與互連材料的平坦化選擇性彼此實質上相同。錨定墊可對於具有相同或類似材料的結構提供均勻的密度。

Description

影像感測裝置、其形成方法及影像感測系統
本發明實施例是關於影像感測裝置、其形成方法及影像感測系統,特別是有關於具有錨定結構(anchor structure)的影像感測裝置、其形成方法及影像感測系統。
半導體影像感測裝置用來感測射線,例如光線。將互補式金屬氧化物半導體(Complementary metal-oxide-semiconductor,CMOS)影像感測器(CIS)和電荷耦合裝置(charge-coupled device,CCD)感測器使用於多種應用中,例如數位相機和手機相機的應用。這些裝置利用基底中的畫素陣列(這可包含多個光二極體和電晶體)來吸收(例如,感測)朝向基底投射的射線,並且將感測到的射線轉換成電子信號。
本發明的一些實施例提供影像感測裝置,此影像感測裝置包含第一晶粒(die)以及第二晶粒,第二晶粒接合至第一晶粒。第一晶粒包含第一基底、第一介電層、第一錨定層(anchor layer)、第一互連結構及第一錨定墊。第一介電層直接位於第一基底上。第一錨定層直接位於第一介電層上。第一互連結構形成於第一介電層和第一錨定層中。第一錨定墊形成於第一錨定層中且直接位於第一介電層上。第二晶粒包含第二基底、第二介電層、第二錨定層、第二互連結構及第二錨定墊。第二介電層直接位於第二基底上。第二錨定層直接位於第二介電層上。第二互連結構接觸第一互連結構,第二互連結構形成於第二介電層和第二錨定層中。第二錨定墊形成於第二錨定層中且直接位於第二介電層上。第一互連結構與第二互連結構、第一錨定層與第二錨定層、以及第一錨定墊與第二錨定墊各自彼此接合。
本發明的一些實施例提供影像感測裝置的形成方法,此方法包含提供一基底;在基底上直接沉積蝕刻停止層;在蝕刻停止層上直接沉積介電層;在介電層上直接沉積錨定層;在基底上並穿過介電層和錨定層形成重佈線結構(redistribution structure);以及在錨定層中和介電層上直接形成多個錨定墊。
本發明的一些實施例提供影像感測系統,此影像感測裝置包含重佈線區、畫素區、第一錨定層以及一第二錨定層。重佈線區包括在界面處接合的第一重佈線結構和第二重佈線結構。畫素區包括在界面處接合的多個第一錨定墊和多個第二錨定墊。畫素區包括多個畫素。第一錨定層和第二錨定層在界面處接合,且第一錨定層和第二錨定層延伸穿過重佈線區和畫素區。第一錨定墊和第二錨定墊分別形成於第一錨定層中和第二錨定層中。
以下內容提供了很多不同的實施例或範例,用於實現本發明實施例的不同部件。組件和配置的具體實施例或範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件之上,可能包含形成第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。
此外,此處可能使用空間上的相關用語,例如「在…之下」、「在…下方」、「下方的」、「在…上方」、「上方的」和其他類似的用語可用於此,以便描述如圖式所示之一元件或部件與其他元件或部件之間的關係。此空間上的相關用語除了包含圖式繪示的方位外,也包含使用或操作中的裝置的不同方位。裝置可以被轉至其他方位(旋轉90度或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。
本文使用的用語「實質上」是意指一給定數量(given quantity)的數值,可在此數值的±5%之間變動。
本文使用的用語「約」是指一給定數量(given quantity)的數值可以根據標的半導體裝置相關的特定技術節點(technology node)而所有變動。根據此特定技術節點,用語「約」可意指給定數量(given quantity)的數值,可在此數值的例如5-30%(例如,此數值的±5%、±10%、±20%或±30%)之間變動。
積體電路(IC)封裝已經發展演進成可以依序地垂直堆疊多個晶粒(die),以節省水平裝置空間,並增加裝置密度。垂直堆疊多個晶粒亦提供了在堆疊之前分開地製作各個晶粒的效益,而達到製造彈性(fabrication flexibility)。舉例而言,可以在不同的溫度條件下分開來製造具有不同熱預算(thermal budget)的多個晶粒,然後接著將這些晶粒堆疊在一起。在堆疊之前,將各個晶粒的接觸表面平坦化,並使用適合的接合技術在這些接觸表面處將多個晶粒接合,適合的接合技術例如是熔合接合(fusion bonding)、混合接合(hybrid bonding)、陽極接合(anodic bonding)、直接接合(direct bonding)、室溫接合(room temperature bonding)、壓力接合(pressure bonding)、及/或上述的任意組合。為了在堆疊的晶粒之間達到堅固的接合,實質上平坦的接觸表面是必要的。當在晶粒的表面上進行平坦化製程時,由於裝置密度及/或平坦化製程的不同材料選擇性(例如,材料移除速率),基底表面的一些部分可能會發生「碟形下陷(dish)」,並形成下凹(concave)表面或突出而形成凸起(convex)表面。當後續將兩個晶粒的平坦表面結合,下凹或凸起的表面靠在一起,而在兩個晶粒的界面處形成一或多個氣泡或空隙。這些氣泡或空隙在結構上弱化了堆疊裝置,且產生可能會導致裝置失效的缺陷。因此,對於達到半導體裝置的高產率,均勻而平坦的接合界面表面是重要的。
半導體影像感測裝置是可以經由垂直堆疊多個晶粒而形成的半導體裝置的範例。影像感測裝置是用來感測電磁射線,例如光線(例如,可見光)。互補式金屬氧化物半導體影像感測器(CIS)和電荷耦合裝置(CCD)感測器可使用於多種應用中,例如數位相機和手機相機的應用。這些裝置利用基底中的畫素陣列(這可包含多個光二極體和電晶體)來吸收(例如,感測)朝向基底投射的射線。藉由(畫素裡的)光二極體可以將這些吸收的射線轉換成電子信號,例如是電荷或電流,而可以藉由影像感測裝置的其他模組進一步分析及/或處理電子信號。
影像感測裝置包含矽基底或半導體材料層,而於其中形成光感測畫素或光偵測器。影像感測器包含配置在半導體基底中的光偵測器陣列,而半導體基底上覆(overly)互連結構。互連結構或重佈線(redistribution)結構形成於影像感測裝置中,用以將電能或信號連接提供至影像感測裝置,且互連結構和重佈線結構此兩個用語在本發明實施例中可互換使用。互連結構的範例可以是配置在半導體結構的周邊開口中且側向相鄰於光偵測器的多個墊結構(pad structure)。互連結構形成於介電層中,介電層例如是氧化矽或氮化矽,而介電層形成於矽基底或半導體材料層上。
可以經由將多個積體晶片晶粒(integrated chip die)堆疊於彼此之上而製作影像感測裝置。可以藉由在位於分開的多個半導體基底之上的多個層間介電(ILD)層中形成一或多個金屬化層(metallization layer),而分開地製作這些積體晶片晶粒。然後可以在位於這些金屬化層之上的這些層間介電(ILD)層中形成一或多個重佈線層。可以進行平坦化製程(例如,化學機械研磨製程)以形成包含重佈線層和層間介電(ILD)層的平坦表面。然後可以將這些分開的積體晶片晶粒的平坦表面聚在一起並接合,藉此將分開的積體晶片晶粒的重佈線層結合。
當在分開的積體晶粒上進行平坦化製程時,基底表面的一些部分可能會發生「碟形下陷(dish)」,而形成下凹(concave)表面,此下凹表面下降至位於圍繞的層間介電(ILD)層之下。一些實施例中,基底表面的一些部分可突出於圍繞的層間介電(ILD)層。當後續將兩個積體晶片晶粒的平坦表面結合,下凹或突出的表面靠在一起,而在兩個積體晶粒的界面處形成一或多個氣泡或空隙。這些氣泡或空隙在結構上弱化了影像感測裝置結構,因此如果用來形成接合結構的力太大,位於接合墊下方的結構可能會破裂並損傷多維積體晶片。因此,對於達到影像感測裝置的高產率,均勻而平坦的接合界面表面是重要的。
本發明實施例描述錨定結構和用於形成錨定結構的方法,促進了平坦化和晶圓接合製程中的均勻性。此均勻性降低了缺陷,且在積體電路(IC)晶粒之間提供可靠的電性連接。本發明實施例所述的錨定結構和用於形成錨定結構的方法,可以應用於任何其中的多個裝置被平坦化且接合在一起的適合的半導體裝置。錨定結構可包含(i)位於介電層表面上的薄錨定層(例如,具有的厚度在200埃至2000埃之間的錨定層)以及(ii)位於錨定層中且位於介電層表面上的錨定墊。互連結構可以形成於介電層中,互連結構亦可穿過錨定層。可以在錨定層、互連結構及錨定墊的頂表面上進行平坦化製程,使得此些表面共平面。錨定層可以形成於(例如,直接形成於)層間介電(ILD)層的頂表面上。錨定層可以提供均勻的平坦化,這是因為可以經由選擇錨定層材料而使得錨定層材料與互連材料的平坦化選擇性實質上相同。可以經由選擇錨定層材料而促進積體電路(IC)晶粒之間的晶圓接合。
在預定要平坦化的表面上所形成的結構的圖案密度也可能影響平坦化均勻性。影像感測裝置可具有跨裝置結構的不同圖案密度。影像感測裝置的一些區可包含具有較大相對圖案密度的區域(例如,每單位面積內具有較多裝置結構),而影像感測裝置的一些區可包含具有較小相對圖案密度的區域(例如,每單位面積內具有較少裝置結構)。然而,需注意的是,任何相對比較性的用語「較多」和「較少」都在本發明實施例的保護範圍內。為了提供均勻的圖案密度,在錨定層中及介電層上形成錨定墊,而使得具有相同或類似材料的結構(例如,每單位面積內具有實質上相同的裝置結構)具有均勻的密度。舉例而言,錨定墊與互連結構或重佈線層可以經由相同或實質上類似的材料所形成,因而與互連材料具有相同或類似的平坦化選擇性。舉例而言,互連金屬和錨定墊材料可包含任何導電材料,包含透明氧化銦錫、氧化鋅、任何其他適合的材料、或上述的任意組合。用於形成錨定墊的材料可以是金屬或黏合性材料。錨定墊可具有被動裝置功能或可以電性連接至被動/主動裝置。錨定墊可以埋設於錨定層中,且可以具有與錨定層實質上相同的厚度。由於錨定層具有小的厚度,錨定墊也可以具有佔據小裝置空間的優點,因而改善了影像感測裝置中的裝置密度。
在描述有關於影像感測裝置中的錨定層和錨定墊結構的一些實施例之前,第1圖討論用於說明影像感測裝置的畫素區的一種背面照光式影像感測裝置。可以經由平坦化及堆疊多個晶粒,此些晶粒例如是射線感測晶粒和互連晶粒,而形成如第1圖所示的背面照光式影像感測裝置。
第1圖是根據本發明的一些實施例,背面照光式影像感測裝置100的簡化剖面示意圖。背面照光式影像感測裝置100包含堆疊在互連結構132上的射線感測結構101。在將射線感測結構101和互連結構132接合在一起而形成背面照光式影像感測裝置100之前,可以分開地製造射線感測結構101和互連結構132。
射線感測結構101包含具有射線感測區(畫素104)的半導體層102。作為範例而非限制,半導體層102包含具有p型摻質的矽材料,p型摻質例如是硼。另一實施例中,半導體層102可包含具有n型摻質的矽,n型摻質例如是磷或砷。半導體層102也可包含其他元素半導體,例如鍺或鑽石。半導體層102可選擇性地包含化合物半導體及/或合金半導體。更進一步,半導體層102可包含磊晶層,可以應變(strained) 磊晶層而增進效能。半導體層102可包含絕緣體上覆矽(silicon-on-insulator,SOI)結構。
半導體層102具有前側106(本文中也指「底表面」)以及背側108(本文中也指「頂表面」)。半導體層102具有的厚度可以是在約100微米至約3000微米的範圍。可以經由在前側106上進行平坦化製程(例如,化學機械研磨(CMP)製程)使其實質上平坦,用以準備射線感測結構101的接合步驟。
射線感測區或畫素104形成於半導體層102中。在本文的敘述中,可以互換使用「射線感測區」和「畫素」的用語。畫素104是設置用以感測射線,例如從背側108撞擊半導體層102入射光射線。根據本發明一些實施例,各個射線感測區或畫素104包含可將光子轉換成電荷的光二極體。本發明一些實施例中,畫素104可包含光二極體、電晶體、放大器、其他類似的裝置、或上述的任意組合。本文中的畫素104也指「射線偵測裝置」或「光感測器」。
為了簡化表示,第1圖中繪示兩個畫素104,但半導體層102中可設置額外的畫素104。作為範例而非限制,可以經由從後側106在半導體層102上使用離子佈植製程來形成畫素104。也可以經由摻雜擴散製程來形成畫素104。
藉由淺溝槽隔離(shallow trench isolation,STI)結構110而將畫素104彼此電性隔離。淺溝槽隔離(STI)結構110是向半導體層102內蝕刻並填充介電材料的溝槽,介電材料例如是氧化矽、氮化矽、氮氧化矽、摻氟矽酸鹽玻璃(fluoride-doped silicate glass,FSG)、低介電常數(low-k)材料(例如,具有介電常數(k)值低於3.9的材料)、任何其他適合的絕緣材料、或上述的任意組合所製成。根據本發明一些實施例,位於半導體層102的背側108上的淺溝槽隔離(STI)結構110具有抗反射塗層(anti-reflective coating,ARC)112。抗反射塗層(ARC)112是可以防止入射光射線被反射而從射線感測區/畫素104遠離的襯層。抗反射塗層(ARC)112可包含高介電常數(high-k)材料(例如,具有介電常數(k)值高於3.9的材料),例如氧化鉿(HfO2)、五氧化二鉭(Ta2O5)、二氧化鋯(ZrO2)、氧化鋁、或任何其他高介電常數(high-k)材料。可以經由使用濺射製程、以化學氣相沉積為主的(chemical vapor deposition-based,CVD-based)製程、以原子層沉積為主的(atomic layer deposition-based,ALD-based)技術、或任何其他適合的沉積技術來沉積抗反射塗層(ARC)112。本發明一些實施例中,抗反射塗層(ARC)112的厚度可以是在約10埃(Å)至約500埃的範圍(例如,10埃至500埃)。
背面照光式影像感測裝置100也包含形成於半導體層102之上的蓋層(capping layer)114,如第1圖所示,蓋層114例如是在抗反射塗層(ARC)112之上。本發明一些實施例中,蓋層114可提供平坦表面,而背面照光式影像感測裝置100的額外膜層可以形成於此平坦表面上。蓋層114可包含介電材料,例如是氧化矽(SiO2)、氮化矽(Si3N4)、氮氧化矽(SiON)、或任何其他適合的介電材料。更進一步,可以使用化學氣相沉積(CVD)或任何其他適合的沉積技術來沉積蓋層114。本發明一些實施例中,蓋層114的厚度可以是在約500埃至約2000埃的範圍(例如,500埃至2000埃)。更進一步,背面照光式影像感測裝置可包含形成於蓋層114之上的複合網格結構(為了簡化表示,並未繪示於第1圖中)。複合網格結構可更包含排列成多個行與多個列的單元,其中各個單元對齊分別的射線感測區。這些單元可容置紅色、綠色或藍色濾光器。
互連結構132可接合至射線感測結構101以形成堆疊裝置。互連結構132在半導體層102的前側106接合至射線感測結構101。互連結構132可包含圖案化的介電層和導電層,這些圖案化的介電層和導電層在畫素104與基於簡化而未繪示於第1圖中的其他元件之間形成互連件(例如,線路)。互連結構132可以例如是埋設於層間介電(ILD)層136中的一或多個多層互連(multilayer interconnect,MLI)結構134。根據本發明一些實施例,多層互連(MLI)結構134可包含接觸物/導孔以及金屬線。為了說明,多個導電線138與導孔/接觸物140如第1圖所示。導電線138與導孔/接觸物140的位置與構造可根據設計需要而改變,並不以第1圖所示為限。更進一步,互連結構132可包含感測裝置142。感測裝置142可以例如是場效電晶體(FET)及/或記憶單元的陣列,這些場效電晶體(FET)及/或記憶單元電性連接至分別的射線感測區(或畫素104)並設置用以偵測在這些區域中產生的電子信號,而形成光線至電荷的轉換過程。
第2圖是根據一些實施例,設有錨定層與錨定墊結構的影像感測裝置200的剖面示意圖。影像感測裝置200可包含晶粒(例如,晶片)201。晶粒201包含基底202、重佈線結構203、蝕刻停止層204、層間介電(ILD)層206、錨定層208、以及錨定墊210。影像感測裝置200可包含額外的結構,例如其他的介電層、導電互連結構、和光感測器(為了清楚與簡化而未繪示於第2圖中)。晶粒201可包含重佈線區A和畫素區B,重佈線區A包含重佈線結構,畫素區B包含一或多個射線感測區或畫素結構。基底202可以是p型基底,例如是摻雜p型摻質(例如,硼)的矽材料。一些實施例中,基底202可以是n型基底,例如是摻雜n型摻質(例如,磷或砷)的矽材料。一些實施例中,基底202可包含鍺、鑽石、化合物半導體、合金半導體、絕緣體上覆矽(silicon-on-insulator,SOI)結構、任何其他適合的材料、或上述的任意組合。基底202可具有的初始厚度是在約100微米至約3000微米的範圍(例如,100微米至3000微米)。基底202可以是感測裝置、特定應用積體電路(application-specific integrated circuit,ASIC)、場可程式化邏輯閘陣列(field programmable gate array,FPGA)、記憶裝置、微機電系統(MEMS)、任何適合的裝置、或上述的任意組合。
蝕刻停止層204可以用在形成重佈線區域。蝕刻停止層204可包含介電材料,例如氮化矽。一些實施例中,蝕刻停止層可包含氧化矽、旋塗式玻璃(spin-on-glass)、氮氧化矽、摻氟矽酸鹽玻璃(fluoride-doped silicate glass,FSG)、低介電常數(low-k)介電材料、任何其他適合的絕緣材料、或上述的任意組合。可以經由使用任何適合的沉積方法沉積蝕刻停止層材料而形成蝕刻停止層204,適合的沉積方法例如是化學氣相沉積(CVD)、電漿化學氣相沉積(PECVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、可流動化學氣相沉積(flowable CVD,FCVD)、任何其他適合的製程、或上述的任意組合。
層間介電(ILD)層206設置於蝕刻停止層204上。層間介電(ILD)層206可包含介電材料,例如是氧化矽。可以將用於在影像感測裝置200的多種摻雜部件、電路、和輸入端/輸出端之間提供互連件(例如,線路)的導電層和結構埋設於層間介電(ILD)層206中。導電層和結構可以是多層互連(MLI)結構的一些部分,而多層互連結構包含接觸物、導孔、及/或金屬線。
錨定層208設置於層間介電(ILD)層206上。錨定層208可提供均勻的平坦化,這是因為錨定層的材料與互連材料或重佈線結構材料具有實質上相同的平坦化選擇性。一些實施例中,錨定層208可包含促進晶圓至晶圓之接合的材料。一些實施例中,錨定層208可包含黏合性材料。一些實施例中,錨定層的材料可以是包含矽、氧、氟化物、碳和氮化物的化合物(SiOxFyCzNa)、聚合物、樹脂、低介電常數(low-k)介電材料、高介電常數(high-k)介電材料、絕緣材料、任何其他適合的材料、或上述的任意組合。可以使用紫外光固化製程來形成錨定層208。錨定層208也可以提供防止銅在重佈線結構、錨定墊、和影像感測裝置200的其他結構之間擴散的效益。可以經由使用任何適合的沉積方法來沉積蝕刻停止層材料而形成錨定層208,適合的沉積方法例如是化學氣相沉積(CVD)、電漿化學氣相沉積(PECVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、可流動化學氣相沉積(flowable CVD,FCVD)、任何其他適合的製程、或上述的任意組合。參照第2圖,錨定層208的厚度T可以是在約200埃至約2000埃之間(例如,200埃至2000埃)。一些實施例中,錨定層208的厚度T可以是在約400埃至約1200埃之間(例如,400埃至1200埃)。一些實施例中,錨定層208的厚度T可以是約800埃。一些實施例中,錨定層208的厚度T可以是約750埃。
重佈線結構203形成於影像感測裝置200的重佈線區A中。重佈線結構203可以是互連結構,設置用以在影像感測結構的不同層級(tier)中的多個金屬線之間提供電性連接,及/或用以在影像感測裝置200與後續接合於其上的結構之間提供電性連接。重佈線結構203的底部分可以形成於基底202中。可以經由在基底202中形成溝槽,並在所形成的溝槽中沉積導電材料,以形成底部分。重佈線結構203可垂直延伸穿過蝕刻停止層204、層間介電(ILD)層206、及錨定層208,且在影像感測裝置200的頂表面露出。一些實施例中,重佈線結構可包含導電材料,例如銅、鋁、鎢、銀、鈦、任何適合的導電材料或其合金、或上述的任意組合。一些實施例中,可以經由使用透明的氧化銦錫、氧化鋅、或任何其他適合的材料來形成重佈線結構203。重佈線結構203可以是單鑲嵌(single damascene)結構、雙鑲嵌(dual damascene)結構、或任何其他適合的結構。
可以經由使用適合的製程來製作重佈線結構203,適合的製程包含圖案化及蝕刻製程。圖案化製程可包含在錨定層208之上形成光阻層、以一圖案將光阻層曝光、進行後曝光烘烤製程、以及將光阻層顯影以形成包含阻劑的遮罩元件。當使用蝕刻製程在錨定層208及其下伏層(underlying layer)中形成開口時,遮罩元件可以保護錨定層的一些區。蝕刻製程可以是反應性離子蝕刻(reactive ion etch,RIE)及/或其他適合的製程。蝕刻製程可以持續直到露出基底202或持續直到進入基底202至一標定深度(nominal depth)。形成開口之後,可以使用任何適合的製程將導電金屬沉積至開口中,導電金屬例如是本文前述的一些材料。用於在影像感測裝置200中形成重佈線結構203的其他方法可能適合。重佈線結構203的寬度可以是在約0.01微米至約200微米的範圍內(例如,0.01微米至200微米)。
可以在影像感測裝置200的畫素區B中形成錨定墊210。第2圖中僅繪示一個錨定墊,為了簡化而在第2圖中省略更多的錨定墊。平坦化的均勻性受到圖案密度的影響,例如是互連結構的圖案密度,而錨定墊在影像感測裝置中是用來提供金屬結構的均勻的圖案密度,進而提供平坦化均勻性。為了提供均勻的圖案密度,錨定墊是用與錨定層208中和層間介電(ILD)層206上的相同或類似的材料所形成。舉例而言,形成錨定墊所使用的材料是與用來形成重佈線結構203的材料可以是相同或實質上類似的。錨定墊可具有長方形導電結構。一些實施例中,錨定墊可具有實心導電結構。一些實施例中,錨定墊可具有中空結構。一些實施例中,錨定墊可具有導電金屬槽孔(slot)結構。
錨定墊材料和重佈線結構材料可具有相同或類似的平坦化選擇性。舉例而言,重佈線結構金屬和錨定墊材料可包含任何導電材料,例如透明氧化銦錫、氧化鋅、任何其他適合的材料、或上述的任意組合。用於形成錨定墊的材料可以是金屬或黏合性材料。黏合性材料的範例包含聚合物、導電黏合性材料、任何其他適合的材料、或上述的任意組合。可以經由將錨定層圖案化以形成開口並沉積錨定墊材料在開口中,而形成錨定墊。圖案化製程可包含形成光阻層以上覆錨定層208、以一圖案將光阻層曝光、進行後曝光烘烤製程、以及將光阻層顯影以形成包含阻劑的遮罩元件。當使用蝕刻製程在錨定層208及其下伏層(underlying layer)中形成開口時,遮罩元件可以保護錨定層208的一些區。蝕刻製程可以是反應性離子蝕刻(reactive ion etch,RIE)及/或其他適合的製程。蝕刻製程可以持續直到露介電層206或持續直到進入介電層206至一標定深度(nominal depth)。形成開口之後,可以使用任何適合的沉積方法將錨定墊材料沉積至開口中,錨定墊材料例如是本文前述的一些材料。適合的沉積方法例如是化學氣相沉積(CVD)、電漿化學氣相沉積(PECVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、可流動化學氣相沉積(flowable CVD,FCVD)、任何其他適合的製程、或上述的任意組合。
錨定墊亦可具有被動裝置功能或可以電性連接至被動/主動裝置。錨定墊寬度可以是在約0.01微米至約200微米的範圍內(例如,0.01微米至200微米)。一些實施例中,錨定墊具有的厚度可以是類似於錨定層208的厚度。一些實施例中,錨定墊可垂直延伸進入層間介電(ILD)層206。一些實施例中,錨定墊厚度可以是在100埃至7000埃之間。錨定墊寬度W1也可以是實質上類似於重佈線結構203的寬度。錨定墊的寬度可以是在0.01微米至約200微米的範圍內。錨定墊厚度可以是小於互連結構的厚度。重佈線結構203和錨定墊之間的分隔節距 (pitch separation)可以是在約0.1微米至約100微米的範圍內(例如,0.1微米和100微米)。相鄰的錨定墊之間的分隔節距(pitch separation)可以是在約0.1微米至約100微米的範圍內(例如,0.1微米和100微米)。
在形成上述的結構之後,可以使用平坦化製程來平坦化重佈線結構203、錨定層208、和錨定墊210的頂表面,平坦化製程例如是化學機械研磨(CMP)。因為錨定墊可包含與互連材料和錨定層具有相同或類似平坦化選擇性的材料,因而跨過頂表面的平坦化速度可以是類似的,而這產生出實質上平坦的表面。並且,錨定墊形成於錨定層中且位於介電層上,提供了具有相同或類似的材料的結構的均勻的圖案密度,這也促進了均勻的平坦化。
第3圖是根據一些實施例,設有錨定層與錨定墊結構的影像感測裝置300的剖面示意圖。影像感測裝置300可包含第一晶粒(例如,晶片)301,第一晶粒301在接合的界面330處接合至第二晶粒311。第一晶粒301和第二晶粒311分別包含基底302和312、重佈線結構303和313、蝕刻停止層304和314、層間介電(ILD)層306和316、錨定層308和318、以及錨定墊310a、310b、320a和320b。影像感測裝置300可包含額外的結構,例如其他的介電層、導電互連結構、和光感測器(為了清楚與簡化而未繪示於第3圖中)。各個晶粒可包含具有重佈線結構的重佈線區A以及具有畫素結構的畫素區B。
基底302和312各自可以是p型基底,例如是摻雜p型摻質(例如,硼)的矽材料。一些實施例中,基底302和312各自可以是n型基底,例如是摻雜n型摻質(例如,磷或砷)的矽材料。基底302和312可以類似於前述如第2圖所示的基底202,在此不再細述。基底302和312可具有的初始厚度是在約100微米至約3000微米的範圍內。
蝕刻停止層304和314可以用在形成後續的重佈線區域。蝕刻停止層304和314可包含介電材料,例如氮化矽。一些實施例中,可以使用類似於前述如第2圖所示的蝕刻停止層204的材料來形成蝕刻停止層304和314。可以經由使用任何適合的沉積方法沉積蝕刻停止層304和314,適合的沉積方法例如是化學氣相沉積(CVD)、電漿化學氣相沉積(PECVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、可流動化學氣相沉積(flowable CVD,FCVD)、任何其他適合的製程、或上述的任意組合。
層間介電(ILD)層306和316分別設置於蝕刻停止層304和314上。層間介電(ILD)層306和316可包含介電材料,例如是氧化矽。一些實施例中,層間介電(ILD)層306和316可包含類似於前述如第2圖所示的層間介電(ILD)層206的材料。可以經由使用任何適合的沉積方法沉積層間介電(ILD)層306和316,適合的沉積方法例如是化學氣相沉積(CVD)、電漿化學氣相沉積(PECVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、可流動化學氣相沉積(FCVD)、任何其他適合的製程、或上述的任意組合。
錨定層308和318分別設置於層間介電(ILD)層306和316上。如前所述,錨定層可以形成於畫素區B中,且可提供均勻的平坦化,這是因為錨定層的材料與互連材料或重佈線結構材料具有實質上相同的平坦化選擇性。一些實施例中,錨定層308和318可包含促進晶圓至晶圓之接合的材料。一些實施例中,錨定層308和318可包含黏合性材料。可以經由使用類似於前述第2圖所示的錨定層208的材料與製程來形成錨定層308和318。錨定層也可以防止銅在重佈線結構、錨定墊、和影像感測裝置的其他結構之間擴散。可以經由使用任何適合的沉積方法沉積錨定層308和318,適合的沉積方法例如是化學氣相沉積(CVD)、電漿化學氣相沉積(PECVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、可流動化學氣相沉積(FCVD)、任何其他適合的製程、或上述的任意組合。
一些實施例中,錨定層308和318的材料可以是包含矽、氧、氟化物、碳和氮化物的化合物(SiOxFyCzNa)、聚合物、樹脂、低介電常數(low-k)介電材料、高介電常數(high-k)介電材料、絕緣材料、任何其他適合的材料、或上述的任意組合。舉例而言,錨定層可包含氮氧化矽。可以使用紫外光固化製程來形成錨定層308和318。錨定層308和318的厚度可以是在約200埃至約2000埃之間(例如,200埃至2000埃)。一些實施例中,錨定層308和318的厚度T可以是在約400埃至約1200埃之間(例如,400埃至1200埃)。一些實施例中,錨定層308和318的厚度T可以是約800埃。一些實施例中,錨定層308和318的厚度T可以是約750埃。一些實施例中,錨定層308和318可具有不同的厚度。一些實施例中,錨定層308和318可具有相同或實質上相同的厚度。
重佈線結構303和313形成於影像感測裝置300的重佈線區A中。重佈線結構303和313可以是互連結構,設置用以在影像感測結構的不同層級(tier)中的多個金屬線之間提供電性連接,及/或用以在晶粒301和晶粒311之間提供電性連接。重佈線結構303和313可分別垂直延伸穿過蝕刻停止層304和314、層間介電(ILD)層306和316、及錨定層308和318。一些實施例中,重佈線結構303和313可包含導電材料,例如銅、鋁、鎢、銀、鈦、任何適合的導電材料或其合金、或上述的任意組合。一些實施例中,可以經由使用透明的氧化銦錫及/或氧化鋅來形成重佈線結構303和313。一些實施例中,可以經由使用類似於前述第2圖所示的重佈線結構203的材料與製程來形成重佈線結構303和313。重佈線結構303和313的寬度可以是在約0.01微米至約200微米的範圍內。一些實施例中,重佈線結構303和313的寬度可以是相同或實質上相同的。一些實施例中,重佈線結構303和313的寬度可以是不同的。可以透過任何數量的適合的技術來形成重佈線結構303和313,適合的技術包含化學氣相沉積(CVD)、電漿化學氣相沉積(PECVD)、電化學沉積(electrochemical deposition,ECD)、分子束磊晶(molecular beam epitaxy,MBE)、原子層沉積(ALD)、電鍍、和類似的技術。舉例而言,重佈線結構303和313可以由銅、鎳、鉑、金、銀、或上述的任意組合所形成。
可以在影像感測裝置300的畫素區B中形成錨定墊310a~310b和320a~320b。錨定墊310a~310b和320a~320b分別形成於錨定層308和318中以及層間介電(ILD)層306和316上,用以提供具有相同或類似的材料的結構的均勻密度。可以經由使用類似於前述第2圖所示的錨定墊210的材料來形成錨定墊310a~310b和320a~320b。舉例而言,形成錨定墊310a~310b和320a~320b所使用的材料與用來形成重佈線結構303和313的材料可以是相同或實質上類似的。錨定墊材料和重佈線結構材料可具有相同或類似的平坦化選擇性。舉例而言,重佈線結構材料和錨定墊材料可以是任何導電材料,包含透明氧化銦錫、氧化鋅、任何其他適合的材料、或上述的任意組合。用於形成錨定墊的材料可以是金屬或黏合性材料。黏合性材料的範例可以是聚合物、導電黏合性材料、任何其他適合的材料、或上述的任意組合。可以經由在錨定層308和318中形成開口而分別形成錨定墊310a~310b和320a~320b。一些實施例中,錨定層的圖案化製程可包含光微影和蝕刻製程。光微影製程可包含形成光阻層以上覆錨定層308和318、以一圖案將光阻層曝光、進行後曝光烘烤製程、以及將光阻層顯影以形成包含阻劑的遮罩元件。之後當使用蝕刻製程移除露出的錨定層材料並形成開口時,遮罩元件可以用來保護錨定層308和318的一些區。蝕刻製程可以是反應性離子蝕刻(RIE)或其他適合的製程。形成開口之後,可以透過任何數量的適合的技術來形成錨定墊310a~310b和320a~320b,適合的技術包含化學氣相沉積(CVD)、電漿化學氣相沉積(PECVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、可流動化學氣相沉積(FCVD)、電化學沉積(ECD)、分子束磊晶(MBE)、電鍍、和類似的技術。
錨定墊310a~310b和320a~320b亦可具有被動裝置功能或可以電性連接至被動/主動裝置。錨定墊310a~310b和320a~320b的寬度可以是在約0.01微米至約200微米的範圍內。錨定墊310a~310b和320a~320b的寬度也可以是實質上類似於重佈線結構303的寬度。一些實施例中,錨定墊310a~310b和320a~320b各自具有的厚度可以是類似於錨定層308和318的厚度。錨定墊的厚度可以是小於互連結構的厚度。一些實施例中,錨定墊310a~310b和320a~320b可分別垂直延伸進入層間介電(ILD)層306和316。一些實施例中,錨定墊310a~310b和320a~320b的厚度可以是在100埃至7000埃之間。重佈線結構303與錨定墊310a~310b和320a~320b之間的分隔節距(pitch separation)可以是在0.1微米至100微米的範圍內。相鄰的錨定墊310a~310b和320a~320b之間的分隔節距(pitch separation)可以是在0.1微米至100微米的範圍內。
根據一些實施例,晶粒301和晶粒311在界面330處晶圓接合在一起。晶粒301和311的錨定結構和互連結構可以在晶圓接合製程中分別對齊並彼此接合。晶粒301和311經由適合的接合方法而接合,例如是熔合接合(fusion bonding)、混合接合(hybrid bonding)、陽極接合(anodic bonding)、直接接合(direct bonding)、室溫接合(room temperature bonding)、任何其他適合的接合製程、及/或上述的任意組合。因為改善了在錨定層與錨定墊中的平坦化均勻性,晶粒301和311之間的黏合性與接合則降低或消除了接合的界面330處的空隙的形成。因此,可以可靠地形成重佈線結構303和313之間的電性連接。一些實施例中,第一晶粒的錨定墊對齊第二晶粒的錨定墊。舉例而言,晶粒301的錨定墊310a對齊晶粒311的錨定墊320a。一些實施例中,第一晶粒的錨定墊部分地對齊第二晶粒的錨定墊,並仍能完全保持如同完全對齊的功能,而額外地為了光微影製程的對齊與疊覆必要條件提供了增大邊界餘裕(increased margin)的優點。舉例而言,晶粒301的錨定墊310b與晶粒311的錨定墊320b可以如第3圖所示地部分地對齊。
一些實施例中,在接合製程中,第一晶粒的錨定墊可以是平行於第二晶粒的錨定墊。一些實施例中,第一晶粒和第二晶粒的錨定墊可以是彼此垂直或彼此之間形成介於0°至90°之間的角度。根據本發明一些實施例,一些實施例中,在晶圓接合製程之後,錨定墊及/或互連結構也可以部分地對齊且結合在一起。第4A~4B、5和6圖描述第一晶粒和第二晶粒的錨定墊之間的多種配置。這些圖式說明錨定墊的範例構造,而為了簡化與清楚則省略了其他結構。在這些圖式中所繪示的結構中也可以形成其他適合的結構。
第4A~4B圖分別是根據一些實施例的影像感測裝置400的立體示意圖與剖面示意圖,其中設有的多個錨定墊結構彼此間形成一角度。第4A~4B圖包含第一晶粒的錨定墊402的陣列和第二晶粒的錨定墊403的陣列。如第4A圖所示,錨定墊402的陣列接合至錨定墊403的陣列。錨定墊402的陣列中的各個錨定墊實質上垂直於錨定墊403的陣列中的錨定墊。一些實施例中,錨定墊402的陣列和錨定墊403的陣列之間可形成介於約0°至約90°之間的角度。一些實施例中,錨定墊402的陣列和錨定墊403的陣列中的錨定墊可具有的厚度是在100埃至7000埃之間。錨定墊的寬度可以是在0.01微米至約200微米的範圍內。相鄰的錨定墊之間的分隔節距(pitch separation)可以是在0.1微米至100微米的範圍內。根據一些實施例,錨定墊402的陣列和錨定墊403的陣列可具有實質上類似的尺寸。一些實施例中,兩個錨定墊的陣列可具有不同的尺寸。
第5圖是根據一些實施例的影像感測裝置500的立體示意圖,其中設有多個側向偏移(lateral offset)的錨定墊結構。第5圖包含第一晶粒的錨定墊501的陣列和第二晶粒的錨定墊503的陣列。如第5圖所示,錨定墊501的陣列接合至錨定墊503的陣列。錨定墊501的陣列中的各個錨定墊實質上平行於錨定墊503的陣列中的錨定墊。一些實施例中,經由形成重疊區域,而可以將一個陣列的一個錨定墊電性連接至另一陣列的兩個錨定墊。一些實施例中,重疊區域具有的寬度W4是介於錨定墊寬度的0%至100%之間。一些實施例中,錨定墊501和錨定墊503的陣列中的錨定墊可具有的厚度是在100埃至7000埃之間。錨定墊的寬度W2和寬度W3可以是在0.01微米至約200微米的範圍內。相鄰的錨定墊之間的分隔節距(pitch separation)可以是在0.1微米至100微米的範圍內。錨定墊501的陣列和錨定墊503的陣列可具有實質上類似的尺寸。一些實施例中,兩個錨定墊的陣列可具有不同的尺寸。
第6圖是根據一些實施例的影像感測裝置600的立體示意圖,其中設有多個側向偏移(lateral offset)的錨定墊結構。第6圖包含第一晶粒的錨定墊601的陣列和第二晶粒的錨定墊603的陣列。如第6圖所示,錨定墊601的陣列接合至錨定墊603的陣列。錨定墊601的陣列中的各個錨定墊實質上平行於錨定墊603的陣列中的錨定墊。一些實施例中,經由形成重疊區域,而可以將一個陣列的一個錨定墊電性連接至另一陣列的一個錨定墊。一些實施例中,重疊區域具有的寬度是介於錨定墊寬度的0%至100%之間。一些實施例中,錨定墊601和錨定墊603的陣列中的錨定墊可具有的厚度是在100埃至7000埃之間。錨定墊的寬度可以是在0.01微米至約200微米的範圍內。相鄰的錨定墊之間的分隔節距(pitch separation)可以是在0.1微米至100微米的範圍內。根據一些實施例,錨定墊601的陣列和錨定墊603的陣列可具有實質上類似的尺寸。一些實施例中,兩個錨定墊的陣列可具有不同的尺寸。
第7圖是根據本發明一些實施例,形成具有錨定層和錨定墊的堆疊半導體裝置的範例方法700的流程圖。基於本發明實施例的內容,可以經由不同的順序操作及/或改變方法700中的操作步驟。
根據一些實施例,在操作步驟702中,提供具有裝置形成於其上的半導體基底。在半導體結構上及/或之中形成半導體裝置。根據一些實施例,基底可以是矽基底。一些實施例中,基底可以是(i)另一個半導體,例如鍺;(ii)化合物半導體,其包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、磷砷化鎵(GaAsP)、砷化銦鋁(AlInAs)、砷化鋁鎵(AlGaAs)、砷化銦鎵(GaInAs)、磷化銦鎵(GaInP)、砷磷化銦鎵(GaInAsP)、銻化銦、任何其他適合的材料、或上述的任意組合;(iii)合金半導體,其包含SiGe;或(iv)上述的任意組合。一些實施例中,基底可以是絕緣體上覆矽(SOI)。一些實施例中,基底可以是磊晶材料。一些實施例中,基底也可包含加工積體電路晶圓,其包含例如以多個電晶體配置而成的互補式金屬氧化物半導體(CMOS)電路、射頻電路(RF circuitry)、及其類似物。一些實施例中,可以在半導體基底上或之中形成主動和被動裝置,例如是電晶體、二極體、電容、電阻、電感、及其類似物。基底的範例可以是參照第2圖所述的基底202。
根據一些實施例,在操作步驟704中,在基底上形成蝕刻停止層、介電層、及其他適合的結構。基底可包含任何適合的層/結構,例如蝕刻停止層、介電層、導孔、互連件、或任何適合的結構。介電層可包含介電材料,例如是氧化矽、旋塗式玻璃(spin-on-glass)、氮化矽(SiN)、氮氧化矽、摻氟矽酸鹽玻璃(FSG)、低介電常數(low-k)介電材料、任何其他適合的絕緣材料、或上述的任意組合。可以經由任何適合的製程完成介電層的沉積。蝕刻停止層的範例可以是如第2和3圖所述的蝕刻停止層204、304和314。介電層的範例可以是如第2和3圖所述的層間介電(ILD)層206、306和316。
根據一些實施例,在操作步驟706中,在介電層上沉積錨定層。錨定層可以設置於層間介電(ILD)層上且形成於畫素區中,以提供均勻的平坦化。可以使用與互連材料或重佈線結構材料具有實質上相同平坦化選擇性的材料來形成錨定層。一些實施例中,錨定層可包含促進晶圓至晶圓之接合的材料或黏合性材料。並且,錨定層也可以防止銅在影像感測裝置的多個結構之間的擴散。可以使用任何適合的沉積方法來沉積錨定層,適合的沉積方法例如是化學氣相沉積(CVD)、電漿化學氣相沉積(PECVD)、原子層沉積(ALD)、物理氣相沉積(PVD)、可流動化學氣相沉積(FCVD)、任何其他適合的製程、或上述的任意組合。錨定層的範例可以是如第2和3圖所述的錨定層208、308和318。
根據一些實施例,在操作步驟708中,在基底上形成重佈線結構並延伸穿過蝕刻停止層、介電層、及錨定層。重佈線結構可以形成於影像感測裝置的重佈線區中。重佈線結構可以是互連結構,設置用以在影像感測結構的不同層級(tier)中的多個金屬線之間提供電性連接,及/或用以在影像感測裝置與後續接合的結構之間提供電性連接。可以經由在基底中形成溝槽,並在所形成的溝槽中沉積導電材料,而將重佈線結構的底部分形成於基底中。重佈線結構可垂直延伸穿過蝕刻停止層、層間介電(ILD)層、及錨定層。重佈線結構可在影像感測裝置的頂表面露出。重佈線結構的範例可以是如第2和3圖所述的重佈線結構203、303和313。
根據一些實施例,在操作步驟710中,在錨定層中及介電層上形成錨定墊。可以在影像感測裝置的畫素區中形成錨定墊。錨定墊形成於錨定層中以及層間介電(ILD)層上,用以提供具有相同或類似的材料的結構的均勻密度。舉例而言,用來形成錨定墊所使用的材料與用來形成重佈線結構的材料可以是相同或實質上類似的。錨定墊材料和重佈線結構材料可具有相同或類似的平坦化選擇性。一些實施例中,用於形成錨定墊的材料可以是金屬或黏合性材料。一些實施例中,錨定墊亦可具有被動裝置功能或可以電性連接至被動/主動裝置。當多個晶粒彼此對齊並接合在一起,來自各別的晶粒的錨定結構和互連結構彼此對齊並接合在一起。因為改善了在錨定層與錨定墊中的平坦化均勻性,晶粒之間的黏合性與接合則降低或消除了接合界面處的空隙的形成。因此,可以可靠地形成相對並接合在一起的重佈線結構之間的電性連接。一些實施例中,第一晶粒的錨定墊對齊第二晶粒的錨定墊。一些實施例中,第一晶粒的錨定墊部分地對齊第二晶粒的錨定墊,並仍能完全保持如同完全對齊的功能,而額外地為了光微影製程的對齊與疊覆必要條件提供了增大邊界餘裕(increased margin)的優點。一些實施例中,第一晶粒的錨定墊可以是平行於第二晶粒的錨定墊。一些實施例中,第一晶粒和第二晶粒的錨定墊可以是彼此垂直或彼此之間形成介於0°至90°之間的角度。一些實施例中,在晶圓接合製程之後,錨定墊及/或互連結構也可以部分地對齊且結合在一起。錨定墊的範例可以是如第2~6圖所述的錨定墊210、310a~310b、320a~320b、402、403、501、503、601和603。
根據一些實施例,在操作步驟712中,將接觸表面平坦化,並在接觸表面處將裝置接合。錨定墊形成於錨定層中且提供均勻的圖案密度,而增進平坦化均勻性。舉例而言,錨定墊與互連或重佈線結構可以經由相同或實質上類似的材料所形成,因而與互連材料具有相同或類似的平坦化選擇性。一些實施例中,互連金屬和錨定墊材料可包含任何適合的導電材料。用於形成錨定墊的材料可以是金屬或黏合性材料。錨定墊可具有被動裝置功能或可以電性連接至被動/主動裝置。錨定墊可以埋設於錨定層中,且可以具有與錨定層實質上相同的厚度。由於錨定層具有小的厚度(例如,介於100埃至7000埃之間),錨定墊也可以具有佔據小裝置空間的優點,因而改善了影像感測裝置中的裝置密度。錨定層可以是包含矽、氧、氟化物、碳和氮化物的化合物(SiOxFyCzNa)、聚合物、樹脂、低介電常數(low-k)介電材料、高介電常數(high-k)介電材料、絕緣材料、任何其他適合的材料、或上述的任意組合。錨定層也可以提供防止銅在重佈線結構、錨定墊、和影像感測裝置的其他結構之間擴散的效益。
本發明的多個實施例描述錨定結構和用於形成錨定結構的方法,因而使得平坦化和晶圓接合製程可具有均勻性,這進而降低裝置了缺陷,且在裝置之間提供可靠的電性連接。錨定結構可包含形成於介電層表面上的錨定層以及形成於錨定層中和介電層表面上的錨定墊。因為互連結構是形成於介電層中且穿過錨定層,在平坦化製程之後,錨定層、互連結構和錨定墊的頂表面是共平面的。錨定層可以提供均勻的平坦化,這是因為錨定層材料與錨定墊材料和互連材料可具有實質上相同的平坦化選擇性。錨定墊形成於錨定層中以及介電層上,用以提供具有相同或類似的材料的結構的均勻密度。兩個不同積體電路(IC)晶粒的錨定墊及/或互連結構可以彼此部分地對齊並在晶圓接合製程之後結合在一起。兩個不同積體電路(IC)晶粒的錨定墊可具有實質上類似或者不同的尺寸。
一些實施例中,影像感測裝置包含第一晶粒,第一晶粒具有第一介電層以及第一錨定層(anchor layer),第一介電層直接位於第一基底上,第一錨定層直接位於第一介電層上。影像感測裝置也包含第一互連結構,第一互連結構形成於第一介電層和第一錨定層中。影像感測裝置更包含第一錨定墊(anchor pad)以及第二晶粒,第一錨定墊形成於第一錨定層中,第二晶粒接觸第一晶粒。第二晶粒包含位於第二介電層上的第二錨定層。影像感測裝置也包含第二互連結構,第二互連結構接觸第一互連結構,且第二互連結構形成於第二介電層和第二錨定層中。影像感測裝置也包含形成於第二錨定層中的第二錨定墊。第一互連結構與第二互連結構、第一錨定層與第二錨定層、以及第一錨定墊與第二錨定墊具有實質上相同的平坦化選擇性。
一些實施例中,影像感測裝置的形成方法包含提供一基底以及在基底上直接沉積蝕刻停止層。此方法更包含在蝕刻停止層上直接沉積介電層以及在介電層上直接沉積錨定層。此方法也包含在基底上並穿過介電層和錨定層形成重佈線結構(redistribution structure)。此方法更包含在錨定層中形成多個錨定墊。
一些實施例中,影像感測系統包含重佈線區,重佈線區具有在界面處接合的第一重佈線結構和第二重佈線結構。影像感測系統也包含畫素區,畫素區具有在界面處接合的多個第一錨定墊和多個第二錨定墊。畫素區包含多個畫素。影像感測系統更包含第一錨定層和第二錨定層,第一錨定層和第二錨定層在界面處接合,且第一錨定層和第二錨定層延伸穿過重佈線區和畫素區。第一錨定墊和第二錨定墊分別形成於第一錨定層中和第二錨定層中。
需理解的是,實施方式的內容是用以解釋申請專利範圍,而摘要的內容則並非用以解釋申請專利範圍。摘要與實施方式的內容闡述了一或多個範例實施例,但並非闡述了預期的所有實施例,因次並非用以限定所附的申請專利範圍。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100、200、300、400、500、600‧‧‧影像感測裝置
101‧‧‧射線感測結構
102‧‧‧半導體層
104‧‧‧畫素
106‧‧‧前側
108‧‧‧背側
110‧‧‧淺溝槽隔離結構
112‧‧‧抗反射塗層
114‧‧‧覆蓋層
132‧‧‧互連結構
134‧‧‧多層互連結構
136、206、306、316‧‧‧層間介電層
138‧‧‧導電線
140‧‧‧導孔/接觸物
142‧‧‧感測裝置
201、301、311‧‧‧晶粒
202、302、312‧‧‧基底
203、303、313‧‧‧重佈線結構
204、304、314‧‧‧蝕刻停止層
208、308、318‧‧‧錨定層
210、310a、310b、320a、320b、402、403、501、503、601、603‧‧‧錨定墊
330‧‧‧界面
700‧‧‧方法
702、704、706、708、710、712‧‧‧操作步驟
A‧‧‧重佈線區
B‧‧‧畫素區
T‧‧‧厚度
W1、W2、W3、W4‧‧‧寬度
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多特徵部件(feature)並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。 第1圖是根據一些實施例的背面照光式影像感測裝置的剖面示意圖。 第2圖是根據一些實施例的影像感測裝置的剖面示意圖。 第3圖是根據一些實施例的影像感測裝置的剖面示意圖。 第4A~4B圖分別是根據一些實施例的影像感測裝置的立體示意圖與剖面示意圖。 第5圖是根據一些實施例的影像感測裝置的立體示意圖。 第6圖是根據一些實施例的影像感測裝置的立體示意圖。 第7圖是根據一些實施例,形成影像感測裝置的一範例方法的流程圖。

Claims (20)

  1. 一種影像感測裝置,包括: 一第一晶粒(die),包括: 一第一基底; 一第一介電層,直接位於該第一基底上; 一第一錨定層(anchor layer),直接位於該第一介電層上; 一第一互連結構,形成於該第一介電層和該第一錨定層中; 一第一錨定墊(anchor pad),形成於該第一錨定層中且直接位於該第一介電層上;以及 一第二晶粒,接合至該第一晶粒,其中該第二晶粒包括: 一第二基底; 一第二介電層,直接位於該第二基底上; 一第二錨定層,直接位於該第二介電層上; 一第二互連結構,接觸該第一互連結構,其中該第二互連結構形成於該第二介電層和該第二錨定層中;以及 一第二錨定墊,形成於該第二錨定層中且直接位於該第二介電層上,其中該第一互連結構與該第二互連結構、該第一錨定層與該第二錨定層、以及該第一錨定墊與該第二錨定墊各自彼此接合。
  2. 如申請專利範圍第1項所述之影像感測裝置,其中該第一錨定層的厚度和該第二錨定層的厚度是在約200埃至約2000埃之間。
  3. 如申請專利範圍第1項所述之影像感測裝置,其中該第一錨定墊的厚度和該第二錨定墊的厚度是在約100埃至約7000埃之間。
  4. 如申請專利範圍第1項所述之影像感測裝置,其中該第一錨定墊和該第二錨定墊包括一導電材料。
  5. 如申請專利範圍第4項所述之影像感測裝置,其中該導電材料包括透明氧化錫或氧化鋅。
  6. 如申請專利範圍第1項所述之影像感測裝置,其中該第一錨定墊的一寬度是在約0.01微米至約200微米之間。
  7. 如申請專利範圍第1項所述之影像感測裝置,其中該第一互連結構與該第二互連結構、該第一錨定層與該第二錨定層、以及該第一錨定墊與該第二錨定墊具有實質上相同的平坦化選擇性。
  8. 如申請專利範圍第1項所述之影像感測裝置,其中該第一錨定層和該第二錨定層各自包括矽、氧、氟化物、碳或氮化物(SiOx Fy Cz Na )。
  9. 如申請專利範圍第1項所述之影像感測裝置,其中該第一錨定層和該第二錨定層各自包括一聚合物、一樹脂、一低介電常數(low-k)介電材料、一高介電常數(high-k)介電材料、或一絕緣材料。
  10. 如申請專利範圍第1項所述之影像感測裝置,其中該第一錨定墊和該第一互連結構之間的一距離是在約0.1微米至約200微米之間。
  11. 一種影像感測裝置的形成方法,包括: 提供一基底; 在該基底上直接沉積一蝕刻停止層; 在該蝕刻停止層上直接沉積一介電層; 在該介電層上直接沉積一錨定層; 在該基底上並穿過該介電層和該錨定層形成一重佈線結構(redistribution structure);以及 在該錨定層中和該介電層上直接形成多個錨定墊。
  12. 如申請專利範圍第11項所述之影像感測裝置的形成方法,其中沉積該錨定層包括將一錨定層材料沉積至約200埃至約2000埃之間的厚度。
  13. 如申請專利範圍第12項所述之影像感測裝置的形成方法,其中該錨定層材料包括矽、氧、氟化物、碳或氮化物(SiOx Fy Cz Na )。
  14. 如申請專利範圍第12項所述之影像感測裝置的形成方法,其中該錨定層材料包括一聚合物、一樹脂、一低介電常數(low-k)介電材料、一高介電常數(high-k)介電材料、或一絕緣材料。
  15. 如申請專利範圍第11項所述之影像感測裝置的形成方法,其中該些錨定墊之間的一分隔節距(pitch separation)是在約0.1微米至約100微米之間。
  16. 一種影像感測系統,包括: 藉由一熱沉積(thermal deposition)方法或一電子束沉積(electron beam deposition,e-beam deposition)方法將一介電材料沉積在一基底之上,其中該介電材料具有低於3.9的介電常數; 一重佈線區,包括在一界面處接合的一第一重佈線結構和一第二重佈線結構; 一畫素區,包括在該界面處接合的多個第一錨定墊和多個第二錨定墊,其中該畫素區包括多個畫素;以及 一第一錨定層和一第二錨定層,該第一錨定層和該第二錨定層在該界面處接合,且該第一錨定層和該第二錨定層延伸穿過該重佈線區和該畫素區,其中該些第一錨定墊和該些第二錨定墊分別形成於該第一錨定層中和該第二錨定層中。
  17. 如申請專利範圍第16項所述之影像感測系統,其中該些第一錨定墊和該些第二錨定墊彼此平行配置。
  18. 如申請專利範圍第16項所述之影像感測系統,其中該些第一錨定墊和該些第二錨定墊彼此垂直配置。
  19. 如申請專利範圍第16項所述之影像感測系統,其中該些第一錨定墊的各個錨定墊與該些第二錨定墊的另一個錨定墊對齊。
  20. 如申請專利範圍第16項所述之影像感測系統,其中該些第一錨定墊的一個錨定墊與該些第二錨定墊的另一個錨定墊部分地重疊。
TW107141029A 2017-11-21 2018-11-19 影像感測裝置、其形成方法及影像感測系統 TWI685957B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762589463P 2017-11-21 2017-11-21
US62/589,463 2017-11-21
US16/055,758 2018-08-06
US16/055,758 US11152417B2 (en) 2017-11-21 2018-08-06 Anchor structures and methods for uniform wafer planarization and bonding

Publications (2)

Publication Number Publication Date
TW201933593A true TW201933593A (zh) 2019-08-16
TWI685957B TWI685957B (zh) 2020-02-21

Family

ID=66533320

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107141029A TWI685957B (zh) 2017-11-21 2018-11-19 影像感測裝置、其形成方法及影像感測系統

Country Status (3)

Country Link
US (1) US11152417B2 (zh)
KR (1) KR102220674B1 (zh)
TW (1) TWI685957B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI759678B (zh) * 2019-11-05 2022-04-01 大陸商長江存儲科技有限責任公司 半導體裝置、三維記憶體裝置和用於形成半導體結構的方法
RU2782989C1 (ru) * 2022-01-13 2022-11-08 Акционерное общество "НПО "Орион" Способ формирования гибридного диэлектрического покрытия на поверхности антимонида индия ориентации (100)
TWI830178B (zh) * 2021-12-15 2024-01-21 台灣積體電路製造股份有限公司 具有深接合墊的封裝及其形成方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10840205B2 (en) 2017-09-24 2020-11-17 Invensas Bonding Technologies, Inc. Chemical mechanical polishing for hybrid bonding
DE102018124337A1 (de) 2017-11-21 2019-05-23 Taiwan Semiconductor Manufacturing Co. Ltd. Ankerstrukturen und verfahren zur gleichmässigen waferplanarisierung und -bondung
US11056348B2 (en) 2018-04-05 2021-07-06 Invensas Bonding Technologies, Inc. Bonding surfaces for microelectronics
US11393779B2 (en) 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV
EP3807927A4 (en) 2018-06-13 2022-02-23 Invensas Bonding Technologies, Inc. TSV AS A HIDEPAD
US11011494B2 (en) 2018-08-31 2021-05-18 Invensas Bonding Technologies, Inc. Layer structures for making direct metal-to-metal bonds at low temperatures in microelectronics
US11158573B2 (en) 2018-10-22 2021-10-26 Invensas Bonding Technologies, Inc. Interconnect structures
KR20220033619A (ko) 2020-09-08 2022-03-17 삼성전자주식회사 반도체 패키지
US11264357B1 (en) 2020-10-20 2022-03-01 Invensas Corporation Mixed exposure for large die
KR20220126539A (ko) 2021-03-09 2022-09-16 삼성전자주식회사 반도체 패키지

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100610481B1 (ko) * 2004-12-30 2006-08-08 매그나칩 반도체 유한회사 수광영역을 넓힌 이미지센서 및 그 제조 방법
JP5693060B2 (ja) 2010-06-30 2015-04-01 キヤノン株式会社 固体撮像装置、及び撮像システム
US8896125B2 (en) 2011-07-05 2014-11-25 Sony Corporation Semiconductor device, fabrication method for a semiconductor device and electronic apparatus
JP5994274B2 (ja) 2012-02-14 2016-09-21 ソニー株式会社 半導体装置、半導体装置の製造方法、及び、電子機器
US8710607B2 (en) 2012-07-12 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for image sensor packaging
KR102136845B1 (ko) * 2013-09-16 2020-07-23 삼성전자 주식회사 적층형 이미지 센서 및 그 제조방법
US9257399B2 (en) * 2013-10-17 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3D integrated circuit and methods of forming the same
US9299736B2 (en) 2014-03-28 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid bonding with uniform pattern density
JP6335099B2 (ja) 2014-11-04 2018-05-30 東芝メモリ株式会社 半導体装置および半導体装置の製造方法
KR102274775B1 (ko) 2014-11-13 2021-07-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN111883501A (zh) * 2015-05-18 2020-11-03 索尼公司 半导体装置和成像装置
JP2016219660A (ja) 2015-05-22 2016-12-22 ソニー株式会社 半導体装置、製造方法、固体撮像素子、および電子機器

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI759678B (zh) * 2019-11-05 2022-04-01 大陸商長江存儲科技有限責任公司 半導體裝置、三維記憶體裝置和用於形成半導體結構的方法
US11424208B2 (en) 2019-11-05 2022-08-23 Yangtze Memory Technologies Co., Ltd. Semiconductor devices having adjoined via structures formed by bonding and methods for forming the same
TWI804314B (zh) * 2019-11-05 2023-06-01 大陸商長江存儲科技有限責任公司 半導體裝置與三維記憶體裝置
US11887954B2 (en) 2019-11-05 2024-01-30 Yangtze Memory Technologies Co., Ltd. Semiconductor devices having adjoined via structures formed by bonding and methods for forming the same
TWI830178B (zh) * 2021-12-15 2024-01-21 台灣積體電路製造股份有限公司 具有深接合墊的封裝及其形成方法
RU2782989C1 (ru) * 2022-01-13 2022-11-08 Акционерное общество "НПО "Орион" Способ формирования гибридного диэлектрического покрытия на поверхности антимонида индия ориентации (100)

Also Published As

Publication number Publication date
US20190157334A1 (en) 2019-05-23
TWI685957B (zh) 2020-02-21
KR20190058345A (ko) 2019-05-29
US11152417B2 (en) 2021-10-19
KR102220674B1 (ko) 2021-03-03

Similar Documents

Publication Publication Date Title
TWI685957B (zh) 影像感測裝置、其形成方法及影像感測系統
US11894408B2 (en) Dual facing BSI image sensors with wafer level stacking
US11817470B2 (en) Stacked substrate structure with inter-tier interconnection
US9553020B2 (en) Interconnect structure for connecting dies and methods of forming the same
US10090357B2 (en) Method of using a surfactant-containing shrinkage material to prevent photoresist pattern collapse caused by capillary forces
US9147703B2 (en) CMOS image sensor structure
KR102456271B1 (ko) 후면 정렬 마크가 있는 bsi 칩
TW201725715A (zh) 積體晶片與其形成方法
CN109768057A (zh) 影像感测装置
Wuu et al. A review of 3-dimensional wafer level stacked backside illuminated CMOS image sensor process technologies
US20230387172A1 (en) Anchor Structures And Methods For Uniform Wafer Planarization And Bonding
TWI771875B (zh) 影像感測裝置以及其製造方法
TWI817390B (zh) 具有複合中介結構的光學半導體元件
TWI803238B (zh) 具有整合晶粒的光學半導體元件
TW202328722A (zh) 具有串接導通孔的光學半導體元件
US20130264618A1 (en) Method for manufacturing backside-illuminated image sensor