TW201926562A - 半導體結構的製造方法 - Google Patents

半導體結構的製造方法 Download PDF

Info

Publication number
TW201926562A
TW201926562A TW107139713A TW107139713A TW201926562A TW 201926562 A TW201926562 A TW 201926562A TW 107139713 A TW107139713 A TW 107139713A TW 107139713 A TW107139713 A TW 107139713A TW 201926562 A TW201926562 A TW 201926562A
Authority
TW
Taiwan
Prior art keywords
inhibitor
layer
atomic layer
dielectric
deposition
Prior art date
Application number
TW107139713A
Other languages
English (en)
Inventor
蘇嘉偉
顏甫庭
陳婷婷
蔡騰群
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201926562A publication Critical patent/TW201926562A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Composite Materials (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

一種方法包括在基板上形成閘極堆疊和層間介電質,其中該層間介電質與該閘極堆疊相鄰;形成抑制物覆蓋該層間介電質,使得該閘極堆疊從該抑制物暴露出;執行沉積製程以在該閘極堆疊上方形成導電層,直至該導電層開始在該抑制物上形成,其中該沉積製程對該閘極堆疊具有相對於該抑制物的沉積選擇性;以及執行蝕刻製程以移除該抑制物上方的該導電層的一部分。

Description

半導體結構的製造方法
本揭露是關於一種半導體結構的製造方法。
半導體集積體電路(IC)行業已經經歷了快速增長。在IC進化過程中,功能密度(即,每個晶片面積的互連元件的數量)已經增加,而幾何尺寸(即,可以使用製造製程創建的最小部件(或線))已經減小。此種按比例縮小過程提高了生產效率並降低了相關成本。
此種按比例縮小亦增加了加工和製造IC的複雜性,並且為了實現該等進步,期望IC加工和製造中的類似發展。例如,已經引入了諸如鰭狀場效電晶體(FinFET)的三維電晶體來代替平面電晶體。
本揭露之一實施方式包括在基板上形成閘極堆疊和層間介電質(ILD),其中該層間介電質與該閘極堆疊相鄰;形成抑制物覆蓋該層間介電質,使得該閘極堆疊從該抑制物暴露出;執行沉積製程以在該閘極堆疊上方形成導電 層,直至該導電層開始在該抑制物上形成,其中該沉積製程對該閘極堆疊具有相對於該抑制物的沉積選擇性;以及執行蝕刻製程以移除該抑制物上方的該導電層的一部分。
10‧‧‧下伏結構
12‧‧‧第一材料
14‧‧‧第二材料
20‧‧‧抑制物
30‧‧‧圖案化沉積層
30'‧‧‧圖案化沉積層
100‧‧‧基板
110‧‧‧半導體鰭
120‧‧‧閘極堆疊
121‧‧‧界面層
122‧‧‧閘極介電層
123‧‧‧覆蓋層
124‧‧‧第一功函數金屬層
125‧‧‧第二功函數金屬層
126‧‧‧閘電極
128‧‧‧間隔物
130‧‧‧源極/汲極結構
135‧‧‧接觸蝕刻停止層
138‧‧‧間隔物
140‧‧‧層間介電質
150‧‧‧抑制物(自組裝單層)
150C‧‧‧分子鏈
150H‧‧‧頭部基團
150T‧‧‧末端基團
160‧‧‧導電層
160'‧‧‧導電層
200‧‧‧基板
210‧‧‧半導體鰭
220‧‧‧閘極堆疊
221‧‧‧界面層
222‧‧‧閘極介電質
223‧‧‧覆蓋層
224‧‧‧第一功函數金屬層
225‧‧‧第二功函數金屬層
226‧‧‧閘電極
228‧‧‧間隔物
230‧‧‧源極/汲極結構
235‧‧‧接觸蝕刻停止層
238‧‧‧間隔物
240‧‧‧層間介電質
250‧‧‧抑制物(自組裝單層)
250C‧‧‧分子鏈
250H‧‧‧頭部基團
250T‧‧‧末端基團
260‧‧‧導電層
270‧‧‧金屬層
300‧‧‧基板
310‧‧‧半導體鰭
320‧‧‧閘極堆疊
321‧‧‧界面層
322‧‧‧閘極介電質
323‧‧‧覆蓋層
324‧‧‧第一功函數金屬層
325‧‧‧第二功函數金屬層
326‧‧‧閘電極
328‧‧‧間隔物
330‧‧‧源極/汲極結構
335‧‧‧接觸蝕刻停止層
338‧‧‧間隔物
340‧‧‧層間介電質
350‧‧‧抑制物(自組裝單層)
350C‧‧‧分子鏈
350H‧‧‧頭部基團
350T‧‧‧末端基團
360‧‧‧介電層
360'‧‧‧介電層
400‧‧‧基板
410‧‧‧半導體鰭
420‧‧‧閘極堆疊
421‧‧‧界面層
422‧‧‧閘極介電質
423‧‧‧覆蓋層
424‧‧‧第一功函數金屬層
425‧‧‧第二功函數金屬層
426‧‧‧閘電極
428‧‧‧間隔物
430‧‧‧源極/汲極結構
435‧‧‧接觸蝕刻停止層
438‧‧‧間隔物
440‧‧‧層間介電質
450‧‧‧抑制物(自組裝單層)
450C‧‧‧分子鏈
450H‧‧‧頭部基團
450T‧‧‧末端基團
460‧‧‧介電層
460'‧‧‧介電層
D‧‧‧基板
M‧‧‧基板
R1‧‧‧凹陷
R2‧‧‧凹陷
T2‧‧‧厚度
T3‧‧‧厚度
T4‧‧‧厚度
T11‧‧‧厚度
T12‧‧‧厚度
T13‧‧‧厚度
T21‧‧‧厚度
T22‧‧‧厚度
T23‧‧‧厚度
T31‧‧‧厚度
T32‧‧‧厚度
T33‧‧‧厚度
T41‧‧‧厚度
T42‧‧‧厚度
T43‧‧‧厚度
當結合附圖閱讀時,從以下詳細描述可以最好地理解本揭示案的各態樣。值得注意的是,根據行業中的標準慣例,並未按比例繪製各個特徵件。事實上,為了論述的清楚性,可以任意地增大或縮小各個特徵件的尺寸。
第1圖至第7圖圖示了根據一些實施例處於各個階段的製造半導體結構的方法。
第8圖至第13圖圖示了根據一些實施例處於各個階段的製造半導體結構的方法。
第14圖至第19圖圖示了根據一些實施例處於各個階段的製造半導體結構的方法。
第20圖至第25圖圖示了根據一些實施例處於各個階段的製造半導體結構的方法。
第26圖至第31圖圖示了根據一些實施例處於各個階段的製造半導體結構的方法。
以下揭示內容提供了用於實施所提供標的的不同特徵的許多不同實施例或實例。下文描述了部件和佈置的特定實例以簡化本揭示案。此等當然僅僅是實例,而並非意欲為限 制性的。例如,在接下來的描述中在第二特徵件上方或之上形成第一特徵件可以包括其中第一和第二特徵件形成為直接接觸的實施例,並且亦可以包括其中可以在第一和第二特徵件之間形成有額外特征件,使得第一和第二特徵件可以不是直接接觸的實施例。此外,本揭示案可以重複各種實例中的參考元件和/或字母。此重複是為了簡單和清楚的目的,並且本身並不規定所論述的各種實施例和/或配置之間的關係。
此外,在本文中可以出於描述目的使用诸如「在......下方」、「在......下面」、「低於......」、「在......上方」、「在......上面」等之类的空间相对术语,从而描述一个元件或特征件与另一元件或特征件的关系,如图所示。空间相对术语意欲涵盖除了附图所绘示的取向之外,设备在使用或操作中的不同取向。該裝置可以以其他方式取向(旋轉90度或在其他取向上),並且本文中所使用的空間相對描述詞同樣可以相應被解釋。
第1圖至第7圖圖示了根據一些實施例處於各個階段的製造半導體結構的方法。
參考第1圖。該圖中圖示了半導體結構。半導體結構包括具有半導體鰭110的基板100。半導體鰭110可以藉由合適的方法形成。例如,半導體鰭110可以使用包括雙重圖案化或多重圖案化製程在內的一種或多種光刻製程形成。在一些實施例中,雙重圖案化或多重圖案化製程組合光刻和自對準製程,從而允許創建具有例如比使用單個直接光刻製程以其他方式可獲得的節距更小的節距的圖案。
基板100可以是塊狀矽基板。或者,基板100可以包括本質半導體,諸如晶體結構的矽(Si)或鍺(Ge);化合物半導體,諸如矽鍺(SiGe)、碳化矽(SiC)、砷化镓(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)和/或銻化銦(InSb);或其組合。可能的基板100亦包括絕緣體上矽(silicon-on-insulator;SOI)基板。使用藉由注入氧氣進行分離(SIMOX)、晶圓接合及/或其他合適的方法來製造絕緣體上矽基板。
基板100亦可以包括各種摻雜區域。摻雜區域可以摻雜有p型摻雜劑,諸如硼或BF2;n型摻雜劑,諸如磷或砷;或其組合。摻雜區域可以直接形成在基板100上、在P阱結構中、在N阱結構中、在雙阱結構中,及/或使用凸起結構。基板100亦可以包括各種有源區域,諸如被配置用於N型金屬氧化物半導體電晶體元件的區域及被配置用於P型金屬氧化物半導體電晶體元件的區域。
複數個閘極堆疊120設置在基板100的半導體鰭110上。在一些實施例中,閘極堆疊120中的至少一個可包括界面層121、閘極介電層122、覆蓋層123、第一功函數金屬層124、第二功函數金屬層125和閘電極126,所述閘極堆疊可以藉由合適的製程形成。
界面層121可包含介電材料,諸如氧化矽(SiO2)、HfSiO及/或氧氮化矽(SiON)。閘極介電層122可包含其他高k介電質,諸如TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、 BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、氧氮化矽(SiON),及其組合或其他合適的材料。覆蓋層123可包含氮化鈦(TiN)和/或氮化鉭(TaN),但是針對覆蓋層123設想了其他材料和材料層的組合。
第一功函數金屬層124和第二功函數金屬層125可以是n型功函數層或p型功函數層,或其組合。示例性的p型功函數金屬包括TiN、TaN、Ru、Mo、Al、WN、ZrSi2、MoSi2、TaSi2、NiSi2、WN、其他合適的p型功函數材料,或其組合。示例性的n型功函數金屬包括Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合適的n型功函數材料,或其組合。功函數層可包括複數個層。在一些實施例中,第一功函數金屬層124和第二功函數金屬層125可以包括相同的摻雜劑類型或不同的摻雜劑類型。閘電極126可以包含鎢(W)。在一些其他實施例中,閘電極126包含鋁(Al)、銅(Cu)或其他合適的導電材料。
複數個閘極間隔物128和138形成在閘極堆疊120的相對側壁上。更詳細地,閘極間隔物128形成在閘極堆疊的側壁上,並且閘極間隔物138形成在閘極間隔物128的外側壁上。可以藉由在先前形成的結構上毯覆沉積一個或多個介電層(未圖示)來形成閘極間隔物128和138。一個或多個介電層可以包含氮化矽(SiN)、氧氮化物、碳化矽(SiC)、氧氮化矽(SiON)、氧化物等。閘極間隔物128和138可以藉由諸如CVD、電漿增強CVD、濺射等方法形成。隨後可以圖案化閘 極間隔物128和138,諸如藉由一個或多個蝕刻製程以從該結構的水平表面移除閘極間隔物128和138的水平部分。在一些實施例中,間隔物138可包括SiO、SiN、SiOC和SiOCN。在一些其他實施例中,可以省略間隔物138。
複數個源極/汲極結構130分別設置在閘極堆疊120中的至少一者的相對側上及半導體鰭110中。該等源極/汲極結構130可以藉由使用一個或多個磊晶或磊晶(epi)製程形成,使得Si特徵部、SiGe特徵部及/或其他合適的特徵部可以在基板100上以結晶態形成。在一些實施例中,源極/汲極結構130可以包含半導體材料,諸如鍺(Ge)或矽(Si);或化合物半導體材料,諸如砷化鎵(GaAs)、砷化鋁鎵(AlGaAs)、矽鍺(SiGe)、碳化矽(SiC)或磷砷化鎵(GaAsP)。
接觸蝕刻停止層(CESL)135設置在源極/汲極結構130上。在一些實施例中,接觸蝕刻停止層135可以包含SiNx、SiOx、SiON、SiC、SiCN、BN、SiBN、SiCBN,或其組合。層間介電質140設置在源極/汲極結構130及接觸蝕刻停止層135上方。在一些實施例中,層間介電質140可以包含氧化矽、氧氮化物或其他合適的材料。層間介電質140可以包括單層或多層。接觸蝕刻停止層135可以藉由電漿增強化學氣相沉積(PECVD)製程及/或其他合適的沉積製程或氧化製程形成。層間介電質140,層間介電質140可以藉由CVD、PVD或其他合適的沉積技術來沉積。
參考第2A圖及第2B圖。回蝕閘極堆疊120以在兩個相鄰的閘極間隔物128之間形成凹槽R1。可以藉由合適的製 程如蝕刻來移除閘極堆疊120。例如,可以採用乾蝕刻、濕蝕刻或其組合。如第2A圖所示,蝕刻的閘極堆疊120的頂表面被圖示為平坦的。然而,在一些其他實施例中,蝕刻的閘極堆疊120的頂表面可以是陡峭的,因為蝕刻製程可能相對於閘極堆疊120的不同層(亦即,閘極介電層122、覆蓋層123等)具有不同的蝕刻選擇性。換言之,第2A圖中蝕刻的閘極堆疊120的形狀僅用於解釋,但是本揭示案不限於此。
隨後,在基板100上形成抑制物150。更詳細地,抑制物150選擇性地形成在介電材料(亦即,第2A圖中的閘極間隔物128及138以及層間介電質140)上,同時使閘極堆疊120的一部分暴露。此外,抑制物150與由閘極堆疊120暴露的閘極間隔物128的側壁的各部分接觸。抑制物150包含能夠抑制介電材料(亦即,第2A圖中的閘極間隔物128和138以及層間介電質140)上的後續沉積的材料。
在一些實施例中,可以藉由液體沉積製程和/或氣相沉積製程形成抑制物150。在藉由液相沉積製程形成抑制物150的一些實施例中,將經清潔的基板浸入約10mM的十八烷硫醇的純乙醇溶液中,保持在約40℃的受控溫度下約30分鐘至約48小時。隨後將基板在純乙醇中超聲處理並用氮氣乾燥。在藉由氣相沉積製程形成抑制物150的一些實施例中,藉由將腔室內的樣品暴露於約60mTorr的DDT壓力達在約30秒至約2小時範圍內的時間來在約60℃下進行十二烷硫醇沉積。之後,將基板在純乙醇中超聲處理約30秒以從基板表面移除過量的硫醇分子,並在氮氣流下乾燥。在一些其他實施例中,沉積 時間可以在約1秒至約24小時的範圍內,並且溫度可以在約0℃至約300℃的範圍內。
在一些實施例中,抑制物150可以是聚合物或自組裝單層(SAM)。SAM抑制物包括矽烷類抑制物或硫醇類抑制物。在一些實施例中,矽烷類抑制物可以是十八烷基三氯矽烷(CH3(CH2)17SiCl3)、三氯(1H,1H,2H,2H-全氟辛基)矽烷(CF3(CF2)5(CH2)2SiCl3)、二甲基二氯矽烷((CH3)2SiCl2)/(二甲氨基)三甲基矽烷((CH3)2NSi(CH3)3)、1-(三甲基甲矽烷基)吡咯啶((CH3)3Si-NC4H8)、六甲基二矽氮烷([[(CH3)3Si]2NH)、或雙(二甲基氨基)二甲基矽烷([(CH3)2N]2Si(CH3)2)。在一些其他實施例中,硫醇類抑制物可以是烷硫醇、丙硫醇、丁硫醇、己硫醇、庚硫醇、十八烷硫醇、壬基硫醇或十二烷硫醇。在一些實施例中,矽烷類抑制物可以選擇性地形成在介電層上,而不是形成在金屬層上。因此,閘極堆疊120的金屬部分,諸如層123至126,不覆蓋抑制物150。
在抑制物150由自組裝單層(SAM)形成的一些實施例中,抑制物150的分子各自具有位於任選中間部分(分子鏈)的相對側上的第一突出末端部分(例如,頭部基團)和第二突出末端部分(例如,末端基團)。第一突出末端部分包括在由NH4F移除原生氧化物后,選擇性地附接至羥基封端的表面(亦即,-OH封端的表面,諸如氧化矽表面),而不附接至氫封端的表面(諸如具有-H端基的氮化矽表面)的基團。第二突出末端部分包括金屬氧化物沉積抑制物基團。任選的中間部分可包括 烷基鏈。該等鏈之間的凡得瓦相互作用使自組裝單層是有序的。在所述抑制物150包括烷基三氯矽烷(X-(CH2)n-SiCl3)的一些實施例中,頭部基團可以結合至介電材料的表面,而不是金屬的表面。因此,可以在由介電材料組成的特定表面上選擇性地形成(生長)抑制物150,同時可以抑制在金屬上形成抑制物150。因此,抑制物150形成在該半導體結構的介電質部分上,但不形成在該半導體結構的金屬部分上。
參考第2B圖。例如,自組裝單層(SAM)150形成在基板D上,所述基板D包含介電材料,諸如第2A圖中的閘極間隔物128和138,以及層間介電質140。自組裝單層150包括藉由分子鏈150C(亦即,尾部)連接至末端基團150T(亦即,官能團)的頭部基團150H。頭部基團150H具有親水界面特性,所述親水界面特性將自組裝單層150吸引至由介電材料製成的基板D。在一些實施例中,頭部基團150H可包括三氯矽(SiCl3)或三甲氧基矽烷(Si(OCH3)3),其提供親水界面性質。例如在一些實施例中,分子鏈150C可包括烷基鏈,諸如亞甲基(CH2)n。末端基團150T具有排斥金屬的疏水性界面性質,從而防止金屬附接至自組裝單層150。在一些實施例中,末端基團150T可包括甲基(CH3),所述甲基提供疏水界面性質。
參考第3圖。採用原子層沉積(ALD)製程來形成與閘極堆疊120的暴露表面自對準的導電層160。原子層沉積製程採用前驅物材料,前驅物材料可以在製程中與表面反應或化學吸附於表面上,以形成連續沉積的層,這些層中的每一者的特徵在於厚度僅為約僅一個原子層。根據適當選擇的製程條 件,化學吸附反應具有自限性特徵,此意謂在每個反應循環中沉積的前驅物材料的量是恆定的並且前驅物材料被限制為在該表面上生長,因此膜厚度可以藉由施加的生長循環的數量而容易且精確地控制。
由於抑制物150的材料性質,例如第2B圖中的抑制物150的末端基團150T具有金屬排斥性質,原子層沉積製程的前驅物具有不黏附至抑制物150的表面的趨勢。特別地,抑制物150的末端基團150T對原子層沉積製程的前驅物基本上是惰性的,使得抑制物150的中間部分形成良好覆蓋以阻止前驅物(成形空間位阻)與由抑制物150覆蓋的結構反應。原子層沉積製程的前驅物在抑制物150與閘極堆疊120之間具有高選擇性。特別地,原子層沉積製程具有對閘極堆疊120相對於抑制物150的選擇性。如本文所用,材料A在材料B上的沉積對材料C是「選擇性的」指示若沉積製程以將材料A沉積在材料C上的速率至少兩倍的速率將材料A沉積在材料B上。材料B上的沉積速率與材料C上的沉積速率的比率在本文中被稱為沉積製程對材料B相對於材料C的「選擇性」。此外,閘極堆疊120相對於抑制物150的原子層沉積選擇性大於閘極堆疊120相對於介電材料的原子層沉積選擇性。如此,藉由形成抑制物150,可以有效地抑制導電層160在介電材料上(在抑制物150上)的沉積速率。
因此,在原子層沉積製程期間,導電層160可以形成在閘極堆疊120上,而不形成在抑制物150的頂表面上。應注意,因為抑制物150形成在閘極間隔物128的暴露側壁 上,所以導電層160黏附至凹陷閘極堆疊120的頂表面,並且隨後以自下而上的方式形成。抑制物150使得導電層160在剩餘的凹陷R1中具有改善的填充特性,並且因此藉由促進對剩餘凹陷R1的填充以形成自對準觸點而不在所述自對準觸點中留下未填充的空隙來產生連續的無空隙自對準觸點。在自對準觸點中產生的空隙可能使元件的電特性和可靠性退化、增加閘極的電阻,及/或削弱閘極的結構完整性。因此,此配置可以改善上述問題。在一些實施例中,導電層160可以是金屬,諸如W、TiN、Co、Ru、PT,或其他合適的金屬。
參考第4圖。然而,在原子層沉積製程的複數個反應循環之後,導電層160可以開始在抑制物150上形成。如圖所示,導電層160在閘極堆疊120上方的一部分的厚度為T11,並且導電層160在抑制物150上方的另一部分的厚度為T12,其中厚度T11大於厚度T12。換言之,由於抑制物150和閘極堆疊120的材料性質,導電層160在閘極堆疊120上的生長速率大於在抑制物150上的生長速率。在一些實施例中,原子層沉積製程的反應循環次數可以在約1至約100的範圍內。
參考第5圖。執行原子層蝕刻(ALE)製程以移除抑制物150上方的導電層160。亦即,在原子層蝕刻製程期間移除在抑制物150上形成的不需要的導電層160,以暴露抑制物150的表面。原子層蝕刻技術能夠從基板逐層地受控移除材料,其中蝕刻厚度為單層的數量級。自限反應是原子層蝕刻的特徵。吸附和解吸附操作是自限的,其最大速率相當於每個循環單層。特別地,原子層蝕刻反應循環順序地包括在基板的暴 露表面上形成包含蝕刻劑的吸附單層,淨化腔室以移除未與基板反應的過量蝕刻劑,藉由將吸附單層暴露於氣體離子以激活蝕刻劑的反應來使該吸附單層解吸,以及淨化腔室以移除解吸的單層。移除的材料總量由重複的反應循環的次數確定。如此,可以很好地控製材料的蝕刻厚度。每個循環中的蝕刻劑可以相同或不同。
在原子層蝕刻製程之後,導電層160在閘極堆疊120上方的部分的厚度為T13。在原子層蝕刻製程期間,部分地移除導電層160在閘極堆疊120上方的部分。在一些實施例中,導電層160在閘極堆疊120上方的被移除部分的厚度基本上等於導電層160在抑制物150上方的移除厚度。換言之,厚度T11(參見第4圖)、T12(參見第4圖)及T13基本上滿足:T13=T11-T12。在一些實施例中,厚度T13在約10nm至約100nm的範圍內。原子層蝕刻製程可包括複數個反應循環以移除所需厚度的導電層160。在一些實施例中,原子層蝕刻的反應循環次數可以在約1至約50的範圍內。
在一些實施例中,原子層蝕刻製程的蝕刻劑可包括O2、Ar、H2電漿等。在一些其他實施例中,原子層蝕刻製程的蝕刻劑可包括基於Cl的氣體或基於F的氣體。例如,基於Cl的氣體可以是Cl2、BCl3等。基於F的氣體可以是CF4、C4F8、CH3F、CH2F2、CHF3、CFx、NF3等。在一些其他實施例中,原子層蝕刻製程的蝕刻劑可包括離子轟擊。例如,離子轟擊的離子可以是Ar、He等。
在第3圖至第5圖中論述的原子層沉積製程和原子層蝕刻製程可以視為用於在閘極堆疊120上方形成厚度為T13的導電層160並且其中抑制物150未被覆蓋的形成循環。形成循環可以用以下等式表示:X*(原子層沉積反應循環)+Y*(原子層蝕刻反應循環)=1*(形成循環)
換言之,形成循環包括執行原子層沉積循環X次和原子層蝕刻循環Y次。在一些實施例中,X與Y的比率(X/Y)在約1至約15的範圍內。在一些其他實施例中,X大於Y,因此X與Y的比率(X/Y)大於1。
參考第6圖。重複第3圖至第5圖中論述的製程複數次(或以交替方式執行)以形成導電層160'。換言之,重複第3圖至第5圖的導電層160的形成循環,以形成導電層160'。在一些實施例中,形成循環的次數Z可以在約100至約1000的範圍內。在一些其他實施例中,形成循環的次數Z可以在約100至約500的範圍內。不同形成循環中的X值(原子層沉積反應循環的次數)可以不同或相同,並且/或者不同形成循環中的Y值(原子層蝕刻反應循環的次數)可以不同或相同。例如,順序地執行X1次原子層沉積反應循環、Y1次原子層蝕刻反應循環、X2次原子層沉積反應循環和Y2次原子層蝕刻反應循環,其中X1和X2不同或相同,並且/或者Y1和Y2不同或相同。在第6圖中,因為導電層160'以自下而上的方式與閘極堆疊120的暴 露表面自對準,並且不形成在由抑制物150覆蓋的介電材料(亦即,閘極間隔物128和138,以及層間介電質)上,所以可以跳過平坦化製程(諸如化學機械研磨製程)以避免材料損失。
隨後,移除抑制物150(參考第5圖)以暴露閘極間隔物128和138以及層間介電質140的頂表面。應注意,抑制物150的多個部分保留在閘極間隔物128與導電層160'之間以及閘極堆疊120上。亦即,抑制物150與閘極間隔物128、導電層160'以及閘極堆疊120接觸。可以藉由烘焙或蝕刻製程移除抑制物150。在藉由烘焙移除抑制物150的一些實施例中,烘焙溫度可以在約1℃至約60℃的範圍內以分解抑制物150的CH鍵合。隨後,可以用諸如H3PO4、HCl的稀酸性溶液或其他合適的溶液來洗去抑制物150的分解部分。在抑制物150藉由蝕刻移除一些其他實施例中,蝕刻劑可以包括CF3、C4F6、CHF3、CH2F2、CH3F、NF3,或其他合適的材料。
參考第7圖。第7圖圖示了結合第3圖至第5圖的形成圖,其中垂直軸表示導電層160的厚度,並且水平軸表示原子層沉積製程和原子層蝕刻製程的反應循環。在第7圖中,實線表示在閘極堆疊120的金屬部分上形成的導電層160的厚度(參見第3圖),並且虛線表示在抑制劑150上形成的導電層160的厚度(參見第4圖)。在開始時,執行複數個原子層沉積反應循環。而在原子層沉積反應循環的C1次循環之後,導電層160開始在抑制物150上形成(如圖所示,虛線的斜率增加)。在原子層沉積反應循環的C2次循環之後,執行複數個循環的原子層蝕刻製程以移除抑制物150上的導電層160。原子層蝕刻反 應循環可經歷C3至C2次,直至抑制物150上的導電層160的厚度減小至0。然而,閘極堆疊120上的導電層160仍然保持足夠的厚度(亦即,第5圖的厚度T13)。原子層沉積循環(C2次循環)和原子層蝕刻循環(C3至C2次)的組合可以稱為第一形成循環。
隨後,執行第二形成循環以進一步增加導電層160的厚度。在第二形成循環中,執行C5至C3次循環的原子層沉積以形成導電層160,同時在執行C4至C3次循環的原子層沉積之後,導電層160開始在抑制物150上形成。類似地,執行C6至C5次循環的原子層蝕刻以移除抑制物150上的不希望的導電層160。因此,在第一形成循環和第二形成循環之後,閘極堆疊120上的導電層160可以具有一定的厚度,而抑制物150的頂表面沒有導電層160的覆蓋。在第7圖中,圖示了兩個形成循環,然而應注意,可以執行若干個形成循環以獲得導電層160的期望厚度。
第8圖至第13圖圖示了根據一些實施例處於各個階段的製造半導體結構的方法。
參考第8圖,其中第8圖的結構類似於第1圖中描述的結構,因此在下文中將不再重複相關的結構細節。例如,半導體結構包括基板200,該基板具有半導體鰭210、閘極堆疊220、閘極間隔物228及238、源極/汲極結構230、接觸蝕刻停止層235、以及層間介電質240。閘極堆疊220包括界面層221、閘極介電質222、覆蓋層223、第一功函數金屬層224、第二功函數金屬層225和閘電極226。
在一些實施例中,金屬層270形成在閘極堆疊220上並覆蓋該閘極堆疊,以便提供對在稍後階段中形成抑制物的選擇性。換言之,閘極間隔物228及238以及層間介電質240從金屬層270暴露。金屬層270可以藉由合適的製程形成,諸如在半導體結構上形成含金屬的毯覆層,之後進行圖案化製程以移除含金屬層的不希望部分以形成金屬層270。在一些實施例中,金屬層270可包含W、TiN、Co、Ru、Pt或其他合適的金屬。
參考第9A圖至第9B圖。抑制物250在基板200上選擇性地形成,並覆蓋閘極間隔物228及238以及層間介電質240。更詳細地,抑制物250選擇性地形成在閘極間隔物228及238以及層間介電質240上,而不形成在金屬層270的頂表面上。抑制物250包含能夠抑制介電材料(亦即,第9A圖中的閘極間隔物228和238以及層間介電質240)上的後續沉積的材料。在一些實施例中,可以藉由液體沉積製程和/或氣相沉積製程形成抑制物250。抑制物250的形成類似於第2A圖及第2B圖的抑制物150。
在一些實施例中,抑制物250可以是聚合物或自組裝單層(SAM)。SAM抑制物包括矽烷類抑制物或硫醇類抑制物。在一些實施例中,矽烷類抑制物可以是十八烷基三氯矽烷(CH3(CH2)17SiCl3)、三氯(1H,1H,2H,2H-全氟辛基)矽烷(CF3(CF2)5(CH2)2SiCl3)、二甲基二氯矽烷((CH3)2SiCl2)/(二甲氨基)三甲基矽烷((CH3)2NSi(CH3)3)、1-(三甲基甲矽烷基)吡咯啶((CH3)3Si-NC4H8)、六甲基二矽氮烷 ([[(CH3)3Si]2NH)、或雙(二甲基氨基)二甲基矽烷([(CH3)2N]2Si(CH3)2)。在一些其他實施例中,硫醇類抑制物可以是烷硫醇、丙硫醇、丁硫醇、己硫醇、庚硫醇、十八烷硫醇、壬基硫醇或十二烷硫醇。在一些實施例中,矽烷類抑制物可以選擇性地形成在介電層上,而不是形成在金屬層上。因此,金屬層270不覆蓋抑制物250。
在抑制物250由自組裝單層(SAM)形成的一些實施例中,抑制物250的分子各自具有位於任選中間部分(分子鏈)的相對側上的第一突出末端部分(例如,頭部基團)和第二突出末端部分(例如,末端基團)。第一突出末端部分包括在由NH4F移除原生氧化物后,選擇性地附接至羥基封端的表面(亦即,-OH封端的表面,諸如氧化矽表面),而不附接至氫封端的表面(諸如具有-H端基的氮化矽表面)的基團。第二突出末端部分包括金屬氧化物沉積抑制物基團。任選的中間部分可包括烷基鏈。該等鏈之間的凡得瓦相互作用使自組裝單層是有序的。在所述抑制物250包括烷基三氯矽烷(X-(CH2)n-SiCl3)的一些實施例中,頭部基團可以結合至介電材料的表面,而不是金屬的表面。因此,可以在由介電材料組成的特定表面上選擇性地形成(生長)抑制物250,同時可以抑制在金屬上形成抑制物250。
參考第9B圖。例如,自組裝單層250形成在基板D上,所述基板D包含介電材料,諸如第9A圖中的閘極間隔物228和238,以及層間介電質240。自組裝單層250包括藉由分子鏈250C(亦即,尾部)連接至末端基團250T(亦即,官能團) 的頭部基團250H。頭部基團250H具有親水界面特性,所述親水界面特性將自組裝單層250吸引至由介電材料製成的基板D。在一些實施例中,頭部基團250H可包括三氯矽(SiCl3)或三甲氧基矽烷(Si(OCH3)3),其提供親水界面性質。例如在一些實施例中,分子鏈250C可包括烷基鏈,諸如亞甲基(CH2)n。末端基團250T具有排斥金屬的疏水性界面性質,從而防止金屬附接至SAM 150。在一些實施例中,末端基團250T可包括甲基(CH3),所述甲基提供疏水界面性質。
參考第10圖。採用原子層沉積製程來形成與金屬層270自對準的導電層260。由於如上所論述的抑制物250的材料性質,原子層沉積製程的前驅物具有不黏附至抑制物250的表面的趨勢。因此,在原子層沉積製程期間,導電層260可以形成在金屬層270上,但使抑制物250的頂表面保留不被覆蓋。
參考第11圖。然而,在原子層沉積製程的複數個反應循環之後,導電層260可以開始在抑制物250上形成。如圖所示,導電層260在金屬層270上方的一部分的厚度為T21,並且導電層260在抑制物250上方的另一部分的厚度為T22,其中厚度T21大於厚度T22。從其他角度來看,由於材料性質,導電層260在金屬層270上的生長速率大於在抑制物250上的生長速率。在一些實施例中,原子層沉積製程的反應循環次數可以在約1至約100的範圍內。
參考第12圖。執行原子層蝕刻製程以移除抑制物250上方的導電層260。亦即,在原子層蝕刻製程期間移除在抑制物250上形成的不需要的導電層260,以暴露抑制物250 的表面。在原子層蝕刻製程之後,導電層260在金屬層270上方的部分的厚度為T23。在原子層蝕刻製程期間,部分地移除導電層260在金屬層270上方的部分。在一些實施例中,導電層260在金屬層270上方的被移除部分的厚度基本上等於導電層260在抑制物250上方的移除厚度。換言之,厚度T21(參見第11圖)、T22(參見第11圖)及T23基本上滿足:T23=T21-T22。在一些實施例中,厚度T23在約10nm至約100nm的範圍內。原子層蝕刻製程可包括複數個反應循環以移除所需厚度的抑制劑250。在一些實施例中,原子層蝕刻的反應循環次數可以在約1至約50的範圍內。
在第10圖至第12圖中論述的原子層沉積製程和原子層蝕刻製程可以視為用於在金屬層270上方形成厚度為T23的導電層260並且其中抑制物250未被覆蓋的形成循環。形成循環可以用以下等式表示:X*(原子層沉積反應循環)+Y*(原子層蝕刻反應循環)=1*(形成循環)
換言之,形成循環包括執行原子層沉積循環X次和原子層蝕刻循環Y次。在一些實施例中,X與Y的比率(X/Y)在約1至約15的範圍內。在一些其他實施例中,X大於Y,因此X與Y的比率(X/Y)大於1。
參考第13圖。重複第10圖至第12圖中論述的製程複數次(或以交替方式執行)以形成導電層260'。換言之,重複 第10圖至第12圖的導電層260的形成循環,以形成導電層160'。在第13圖中,導電層260'被稱為自對準觸點(SAC)。在一些實施例中,形成循環的次數Z可以在約100至約1000的範圍內。在一些其他實施例中,形成循環的次數Z可以在約100至約500的範圍內。導電層260'的形成原理類似於第7圖中描述的形成原理,並且為簡單起見將不再重複。
隨後,移除抑制物250(參考第12圖)以暴露閘極間隔物228和238以及層間介電質240的頂表面。抑制物250的移除與第6圖的抑制物150的移除相同或相似,並且為簡單起見將不再重複。
第14圖至第19圖圖示了根據一些實施例處於各個階段的製造半導體結構的方法。
參考第14圖,其中第14圖的結構類似於第1圖中描述的結構,因此在下文中將不再重複相關的結構細節。例如,半導體結構包括基板300,該基板具有半導體鰭310、閘極堆疊320、閘極間隔物328及338、源極/汲極結構330、接觸蝕刻停止層335、以及層間介電質340。閘極堆疊320包括界面層321、閘極介電質322、覆蓋層323、第一功函數金屬層324、第二功函數金屬層325和閘電極326。
參考第15A圖及第15B圖。部分地移除層間介電質340以在接觸蝕刻停止層335之間形成凹陷R2。可以藉由合適的製程如蝕刻來移除層間介電質340。在閘極堆疊320、閘極間隔物328及338以及接觸蝕刻停止層335上形成抑制物350。換言之,層間介電質340的頂表面從抑制物350暴露。
在一些實施例中,抑制物350可以是聚合物或自組裝單層(SAM)。SAM抑制物包括矽烷類抑制物或硫醇類抑制物。在一些實施例中,矽烷類抑制物可以是十八烷基三氯矽烷(CH3(CH2)17SiCl3)、三氯(1H,1H,2H,2H-全氟辛基)矽烷(CF3(CF2)5(CH2)2SiCl3)、二甲基二氯矽烷((CH3)2SiCl2)/(二甲氨基)三甲基矽烷((CH3)2NSi(CH3)3)、1-(三甲基甲矽烷基)吡咯啶((CH3)3Si-NC4H8)、六甲基二矽氮烷([[(CH3)3Si]2NH)、或雙(二甲基氨基)二甲基矽烷([(CH3)2N]2Si(CH3)2)。在一些其他實施例中,硫醇類抑制物可以是烷硫醇、丙硫醇、丁硫醇、己硫醇、庚硫醇、十八烷硫醇、壬基硫醇或十二烷硫醇。在一些實施例中,硫醇類抑制物可以選擇性地形成在金屬層上,而不是形成在介電層上。
在抑制物350是自組裝單層(SAM)的一些實施例中,抑制物350的分子各自具有位於任選中間部分(分子鏈)的相對側上的第一突出末端部分(例如,頭部基團)和第二突出末端部分(例如,末端基團)。第一突出末端部分包括在由NH4F移除原生氧化物后,選擇性地附接至羥基封端的表面(亦即,-OH封端的表面,諸如氧化矽表面),而不附接至氫封端的表面(諸如具有-H端基的氮化矽表面)的基團。第二突出末端部分包括金屬氧化物沉積抑制物基團。任選的中間部分可包括烷基鏈。該等鏈之間的凡得瓦相互作用使自組裝單層是有序的。在抑制物350包括烷硫醇(X-(CH2)n-SH)的一些實施例中,頭部基團可以結合至金屬材料的表面。如此,抑制物350可以選擇性地形成(生長)在金屬層上,而不是在介電層上。
參考第15B圖。例如,自組裝單層350形成在包含金屬的基板M上。自組裝單層350包括藉由分子鏈350C(亦即,尾部)連接至末端基團350T(亦即,官能團)的頭部基團350H。頭部基團350H具有親水性界面性質,所述親水性界面性質將自組裝單層350吸引至基板M。在一些實施例中,頭部基團350H可包括巰基或硫醇基,其提供親水界面性質。例如在一些實施例中,分子鏈350C可包括烷基鏈,諸如亞甲基(CH2)n。末端基團350T具有排斥金屬的疏水性界面性質,從而防止金屬附接至自組裝單層350。自組裝單層在一些實施例中,末端基團350可包括甲基(CH3),所述甲基提供疏水界面性質。
如上所述,抑制物350主要形成為與金屬表面如閘極堆疊320自對準。然而,若沉積時間足夠長,則抑制物350可以開始在與金屬表面相鄰的介電質表面上形成。換言之,金屬表面上的抑制物350可以溢流至與金屬表面相鄰的介電區域。作為實例,在第15A圖中,可以控制抑制物350的沉積時間以進一步形成覆蓋閘極堆疊320的相鄰區域(諸如閘極間隔物328和338,以及接觸蝕刻停止層335)的抑制物350。因此,抑制物350在閘極堆疊320上的部分比抑制物350在閘極間隔物328及338以及接觸蝕刻停止層335上的部分厚,此係因為抑制物350最初形成在閘極堆疊320上,隨後溢流至相鄰的介電材料。應注意,控制抑制物350的沉積時間,使得抑制物350不形成在意欲在其上形成介電層(亦即,第16圖中的介電層360)的表面上。例如,抑制器350可以覆蓋閘極堆疊320、閘 極間隔物328和338,同時使接觸蝕刻停止層335和層間介電質340的頂表面保留為暴露的。在一些其他實施例中,抑制物350可以形成在閘極堆疊320的金屬部分(亦即,層323至326)上,並且不形成在相鄰的介電質表面(亦即,閘極介電質322、閘極間隔物328和338,以及接觸蝕刻停止層335)上。應當理解,第15A圖中的抑制物350的形狀僅用於解釋,而不意欲限製本揭示內容。
參考第16圖。採用原子層沉積製程來在層間介電質340上方形成介電層360。由於抑制物350的材料性質,原子層沉積製程的前驅物具有不黏附至抑制物350的表面的趨勢。因此,在原子層沉積製程期間,介電層360可以形成在層間介電質340上,但使抑制物350的頂表面保留不被覆蓋。
參考第17圖。然而,在原子層沉積製程的複數個反應循環之後,介電層360可以開始在抑制物350上形成。如圖所示,介電層360在層間介電質340上方的一部分的厚度為T31,並且介電層360在抑制物350上方的另一部分的厚度為T32,其中厚度T31大於厚度T32。從其他角度來看,由於抑制物350的材料性質,介電層360在層間介電質340上的生長速率大於在抑制物350上的生長速率。在一些實施例中,原子層沉積製程的反應循環次數可以在約1至約100的範圍內。
參考第18圖。執行原子層蝕刻製程以移除抑制物350上方的介電層360。亦即,在原子層蝕刻製程期間移除形成在抑制物350上的不希望的介電層360,以暴露抑制物350的表面。在原子層蝕刻製程之後,介電層360在層間介電質340 上方的部分的厚度為T33。在原子層蝕刻製程期間,部分地移除介電層360在層間介電質340上方的部分。在一些實施例中,介電層360在層間介電質340上方的被移除部分的厚度基本上等於介電層360在抑制物350上方的移除厚度。換言之,厚度T31(參見第17圖),T32(參見第17圖)及T33基本上滿足:T33=T31-T32。在一些實施例中,厚度T33在約10nm至約100nm的範圍內。原子層蝕刻製程可包括複數個反應循環以移除所需厚度的抑制劑350。在一些實施例中,原子層蝕刻的反應循環次數可以在約1至約50的範圍內。
在第16圖至第18圖中論述的原子層沉積製程和原子層蝕刻製程可以視為用於在層間介電質340上方形成厚度為T33的介電層360並且其中抑制物350未被覆蓋的形成循環。形成循環可以用以下等式表示:X*(原子層沉積反應循環)+Y*(原子層蝕刻反應循環)=1*(形成循環)
換言之,形成循環包括執行原子層沉積循環X次和原子層蝕刻循環Y次。在一些實施例中,X與Y的比率(X/Y)在約1至約15的範圍內。在一些其他實施例中,X大於Y,因此X與Y的比率(X/Y)大於1。
參考第19圖。重複第16圖至第18圖中論述的製程複數次(或以交替方式執行)以形成介電層360'。換言之,重複第16圖至第18圖的介電層360的形成循環,以形成介電層 360'。在第19圖中,介電層360'可以被稱為硬掩模層。在一些實施例中,形成循環的次數Z可以在約100至約1000的範圍內。在一些其他實施例中,形成循環的次數Z可以在約100至約500的範圍內。介電層360'的形成原理類似於第7圖中描述的形成原理,並且為簡單起見將不再重複。
隨後,移除抑制物350(參考第18圖)以暴露閘極堆疊320、閘極間隔物328和338以及接觸蝕刻停止層335的頂表面。抑制物350的移除與第6圖的抑制物150的移除相同或相似,並且為簡單起見將不再重複。在一些實施例中,可以執行回蝕製程(未圖示)以移除閘極堆疊320的一部分。在回蝕製程期間,介電層360'可以用作掩模以保護下面的材料(亦即,層間介電質340)不被蝕刻。
第20圖至第25圖圖示了根據一些實施例處於各個階段的製造半導體結構的方法。
參考第20圖,其中第20圖的結構類似於第1圖中描述的結構,因此在下文中將不再重複相關的結構細節。例如,半導體結構包括基板400,該基板具有半導體鰭410、閘極堆疊420、閘極間隔物428及438、源極/汲極結構430、接觸蝕刻停止層435、以及層間介電質440。閘極堆疊420包括界面層421、閘極介電質422、覆蓋層423、第一功函數金屬層424、第二功函數金屬層425和閘電極426。
參考第21A圖及第21B圖。在閘極堆疊420上形成抑制物450。在一些實施例中,抑制器450覆蓋閘極間隔物428的一部分,其原因將在後面論述。
在一些實施例中,抑制物450可以是聚合物或自組裝單層(SAM)。自組裝單層抑制物包括矽烷類抑制物或硫醇類抑制物。在一些實施例中,矽烷類抑制物可以是十八烷基三氯矽烷(CH3(CH2)17SiCl3)、三氯(1H,1H,2H,2H-全氟辛基)矽烷(CF3(CF2)5(CH2)2SiCl3)、二甲基二氯矽烷((CH3)2SiCl2)/(二甲氨基)三甲基矽烷((CH3)2NSi(CH3)3)、1-(三甲基甲矽烷基)吡咯啶((CH3)3Si-NC4H8)、六甲基二矽氮烷([[(CH3)3Si]2NH)、或雙(二甲基氨基)二甲基矽烷([(CH3)2N]2Si(CH3)2)。在一些其他實施例中,硫醇類抑制物可以是烷硫醇、丙硫醇、丁硫醇、己硫醇、庚硫醇、十八烷硫醇、壬基硫醇或十二烷硫醇。在一些實施例中,硫醇類抑制物可以選擇性地形成在金屬層上,而不是形成在介電層上。
在抑制物450是自組裝單層的一些實施例中,抑制物450的分子各自具有位於任選中間部分(分子鏈)的相對側上的第一突出末端部分(例如,頭部基團)和第二突出末端部分(例如,末端基團)。第一突出末端部分包括在由NH4F移除原生氧化物后,選擇性地附接至羥基封端的表面(亦即,-OH封端的表面,諸如氧化矽表面),而不附接至氫封端的表面(諸如具有-H端基的氮化矽表面)的基團。第二突出末端部分包括金屬氧化物沉積抑制物基團。任選的中間部分可包括烷基鏈。該等鏈之間的凡得瓦相互作用使自組裝單層是有序的。在抑制物450包括烷硫醇(X-(CH2)n-SH)的一些實施例中,頭部基團可以結合至金屬材料的表面。如此,抑制物450可以選擇性地形成(生長)在金屬層上,而不是在介電層上。
參考第21B圖。例如,自組裝單層450形成在包含金屬的基板M上。自組裝單層450包括藉由分子鏈450C(亦即,尾部)連接至末端基團450T(亦即,官能團)的頭部基團450H。頭部基團450H具有親水性界面性質,所述親水性界面性質將自組裝單層450吸引至基板M。在一些實施例中,頭部基團450H可包括巰基或硫醇基,其提供親水界面性質。例如在一些實施例中,分子鏈450C可包括烷基鏈,諸如亞甲基(CH2)n。末端基團450T具有排斥金屬的疏水性界面性質,從而防止金屬附接至自組裝單層450。在一些實施例中,末端基團450T可包括甲基(CH3),所述甲基提供疏水界面性質。
如上所述,抑制物450主要形成為與金屬表面如閘極堆疊420自對準。然而,若沉積時間足夠長,則抑制物450可以開始在與金屬表面相鄰的介電質表面上形成。換言之,金屬表面上的抑制物450可以溢流至與金屬表面相鄰的介電區域。作為實例,在第21A圖中,可以控制抑制物450的沉積時間以進一步形成覆蓋閘極堆疊420的相鄰區域(諸如閘極間隔物428)的抑制物450。因此,抑制物450在閘極堆疊420上的部分比抑制物450在閘極間隔物428上的部分厚,此係因為抑制物450最初形成在閘極堆疊420上,隨後溢流至閘極間隔物428。應注意,控制抑制物450的沉積時間,使得抑制物450不形成在意欲在其上形成介電層(亦即,第22圖中的介電層460)的表面上。例如,抑制器450可以覆蓋閘極堆疊420和閘極間隔物428,同時使閘極間隔物438、接觸蝕刻停止層435和層間介電質440的頂表面保留為暴露的。在一些其他實施例 中,抑制物450可以形成在閘極堆疊420的金屬部分(亦即,層423至426)上,並且不形成在相鄰的介電質表面(亦即,閘極介電質422、閘極間隔物428和438,以及接觸蝕刻停止層435)上。應當理解,第21A圖中的抑制物450的形狀僅用於解釋,而不意欲限製本揭示內容。
參考第22圖。採用原子層沉積製程來在層間介電質440、閘極間隔物428和438以及接觸蝕刻停止層435上方形成介電層460。由於材料性質,原子層沉積製程的前驅物具有不黏附至抑制物450的表面的趨勢。因此,在原子層沉積製程期間,介電層460可以形成在層間介電質440、閘極間隔物428和438以及接觸蝕刻停止層435上,但使抑制物450的頂表面保留不被覆蓋。
參考第23圖。然而,在原子層沉積製程的複數個反應循環之後,介電層460可以開始在抑制物450上形成。如圖所示,介電層460在層間介電質440、閘極間隔物428和438以及接觸蝕刻停止層435上方的一部分的厚度為T41,並且介電層460在抑制物450上方的另一部分的厚度為T42,其中厚度T41大於厚度T42。從其他角度來看,由於材料性質,介電層460在層間介電質440、閘極間隔物428和438以及接觸蝕刻停止層上的生長速率大於在抑制物450上的生長速率。在一些實施例中,原子層沉積製程的反應循環次數可以在約1至約100的範圍內。
參考第24圖。執行原子層蝕刻製程以移除抑制物450上方的介電層460。亦即,在原子層蝕刻製程期間移除形 成在抑制物450上的不希望的介電層460,以暴露抑制物450的表面。在原子層蝕刻製程之後,介電層460在層間介電質340上方的部分的厚度為T43。在原子層蝕刻製程期間,部分地移除介電層460在層間介電質440、閘極間隔物428和438以及接觸蝕刻停止層435上方的部分。在一些實施例中,介電層460在層間介電質440上方的被移除部分的厚度基本上等於介電層460在抑制物450上方的移除厚度。換言之,厚度T41(參見第23圖),T42(參見第23圖)及T43基本上滿足:T43=T41-T42。在一些實施例中,厚度T43在約10nm至約100nm的範圍內。原子層蝕刻製程可包括複數個反應循環以移除所需厚度的抑制劑450。在一些實施例中,原子層蝕刻的反應循環次數可以在約0至約50的範圍內。
在第22圖至第24圖中論述的原子層沉積製程和原子層蝕刻製程可以視為用於在層間介電質440、閘極間隔物428以及接觸蝕刻停止層435上方形成厚度為T43的介電層460並且其中抑制物450未被覆蓋的形成循環。形成循環可以用以下等式表示:X*(原子層沉積反應循環)+Y*(原子層蝕刻反應循環)=1*(形成循環)
換言之,形成循環包括執行原子層沉積循環X次和原子層蝕刻循環Y次。在一些實施例中,X與Y的比率(X/Y)在約1 至約15的範圍內。在一些其他實施例中,X大於Y,因此X與Y的比率(X/Y)大於1。
參考第25圖。重複第22圖至第24圖中論述的製程複數次(或以交替方式執行)以形成介電層460'。換言之,重複第22圖至第24圖的介電層460的形成循環,以形成介電層460'。在第25圖中,介電層460'可以被稱為硬掩模層。在一些實施例中,形成循環的次數Z可以在約100至約1000的範圍內。在一些其他實施例中,形成循環的次數Z可以在約100至約500的範圍內。介電層460'的形成原理類似於第7圖中描述的形成原理,並且為簡單起見將不再重複。
隨後,移除抑制物450(參考第24圖)以暴露閘極堆疊420的頂表面。抑制物450的移除與第6圖的抑制物150的移除相同或相似,並且為簡單起見將不再重複。在一些實施例中,可以執行回蝕製程(未圖示)以移除閘極堆疊420的一部分。在回蝕製程期間,介電層460'可以用作掩模以保護下面的材料(亦即,層間介電質440)不被蝕刻。
第26圖至第31圖圖示了根據一些實施例處於各個階段的製造半導體結構的方法。
參考第26圖。提供下伏結構10。在一些實施例中,下伏結構10可以是基板,諸如矽基板。在一些其他實施例中,下伏結構10可以是導電的結構、電晶體、電阻器、電容器、局部佈線、隔離層及/或元件隔離層。
在下伏結構10上方形成第一材料12。此外,在下伏結構10上方並且在第一材料12附近形成至少一種第二材料 14。第一材料12和第二材料14的數量僅用於解釋,並且本揭示內容不限於此。
在一些實施例中,第一材料12和第二材料14可以是金屬、金屬氧化物或介電質。然而,第一材料12和第二材料14由不同類型的材料製成。例如,一旦第一材料12由金屬製成,則第二材料由金屬氧化物或介電質製成。或者,一旦第一材料12由金屬氧化物製成,則第二材料由金屬或介電質製成。或者,一旦第一材料12由介電質製成,則第二材料由金屬或金屬氧化物製成。在一些實施例中,第一材料12和第二材料14的厚度可以在約1nm至約500nm的範圍內。
在一些實施例中,可能的金屬可以是W、TiN、Co、Ru或Pt,但是本揭示內容不限於此。在一些實施例中,可能的金屬氧化物可以是SiO、SiN、SiC、SiOC、SiON、SiCN或SiOCN,但是本揭示內容不限於此。在一些實施例中,可能的介電質可以是ZrO2、Al2O3、Y2O3、AlON、Yb2O3、ZrAlOx、La2O3、或TiO2,但是本揭示內容不限於此。
隨後,在第一材料12上選擇性地形成抑制物20。在一些實施例中,抑制物20具有的材料性質使得抑制物20可以形成在第一材料12上,而不是形成在第二材料14上。亦即,抑制物20以自對準方式形成在第一材料12上。在一些實施例中,抑制物20的厚度可以在0.1nm至約10nm的範圍內。抑制物20的材料可以類似於第1圖至第25圖中描述的抑制物150、250、350和450,並且為簡單起見將不再重複。抑制物20可以包含在後續步驟中抑制沉積製程的沉積速率的材料。
參考第27圖。採用原子層沉積(原子層沉積)製程來形成與第二材料14自對準的圖案化沉積層30。在第27圖中,圖案化沉積層30的厚度由原子層沉積製程的沉積循環確定。每個循環中的前驅物(和/或反應物)可以相同或不同。在一些實施例中,圖案化沉積層30可以是導電層;在一些其他實施例中,圖案化沉積層30可以是介電層。
此外,原子層沉積是表面敏感的沉積製程,亦即,膜生長取決於材料的表面特性。例如,抑制物20的末端基團對原子層沉積製程的前驅物基本上是惰性的,並且該抑制物20的中間部分形成良好覆蓋以阻止前驅物(成形空間位阻)與第一材料12反應。如此,可以防止前驅物結合至抑制物20,並且可以在第二材料14上選擇性地形成圖案化沉積層30。
在第27圖中,由於如上所述的材料性質,原子層沉積製程的前驅物具有不黏附至抑制物20的表面的趨勢。以此方式,原子層沉積製程的前驅物在抑制物20與第二材料14之間具有高選擇性。特別地,原子層沉積製程具有對第二材料14相對於抑制物20的選擇性。此外,第二材料14相對於抑制物20的原子層沉積選擇性大於第二材料14相對於第一材料12的原子層沉積選擇性。如此,藉由形成抑制物20,可以有效地抑制圖案化沉積層30在第一材料12上(在抑制物上)的沉積速率。因此,在原子層沉積製程期間,圖案化沉積層30可以形成在第二材料14上,但使抑制物20的頂表面保留不被覆蓋。換言之,圖案化沉積層30可以不形成在覆蓋第一材料12的抑制 物20上。此種方法亦可稱為「抑制物塗佈」。原子層沉積製程可包括複數個反應循環以形成所需厚度的圖案化沉積層30。
在一些實施例中,原子層沉積製程的前驅物可包括基於C1的材料和金屬-有機材料。例如,基於Cl的材料可包括AlCl3、ZrCl4、或HfCl4。此外,金屬-有機材料可以包括三(二甲氨基)環戊二烯基鋯(Zy原子層沉積)、Y(異丙基環戊二烯基)2(iPr-amd)、三甲基(甲基環戊二烯基)鉑(CH3CpPt(CH3)3)、雙(甲基環戊二烯基)甲基甲氧基鉿(HfD-04)、環戊二烯基三氯化鋯(CpZrCl3)。在一些實施例中,可以在0.5托至100托的壓力範圍內,500sccm至10000sccm的前驅物流速範圍內,以及100至700的溫度範圍內執行原子層沉積製程。
在一些實施例中,圖案化沉積層30可以包括SiO、SiN、SiC、SiOC、SiON、SiCN、SiOCN、ZrO2、Al2O3、Y2O3、AlON、HfO2、HfZrOx、HfSiOx、HfSiON、ZrSiOx、HfZrSiOx、HfAlOx、HfAlN、ZrAlOx、La2O3、TiO2、Yb2O3
參考第27圖。然而,在原子層沉積製程的複數個反應循環之後,圖案化沉積層30可能開始在抑制物20上形成。亦即,在執行原子層沉積製程的關鍵反應循環之後,抑制物塗佈方法可能失敗。在一些實施例中,當圖案化沉積層30的厚度達到約10nm時,抑制物塗佈方法可能失敗。如圖所示,圖案化沉積層30在第二材料14上方的一部分的厚度為T1,並且圖案化沉積層30在抑制物20上方的另一部分的厚度為T2,其中厚度T1大於厚度T2。換言之,由於材料性質,圖案化沉積 層30在第二材料14上的生長速率大於在抑制物20上的生長速率。在一些實施例中,原子層沉積製程的反應循環次數可以在約1至約100的範圍內。
參考第29圖。執行原子層蝕刻製程以移除抑制物20上方的圖案化沉積層30。在原子層蝕刻製程之後,抑制物20的頂表面藉由移除抑制物20上方的圖案化沉積層30而暴露。在原子層蝕刻製程之後,圖案化沉積層30在第二材料14上方的部分的厚度為T3。在原子層蝕刻製程期間,部分地移除圖案化沉積層30在第二材料14上方的部分。在一些實施例中,圖案化沉積層30在第二材料14上方的被移除部分的厚度基本上等於圖案化沉積層30在抑制物20上方的移除厚度。換言之,厚度T1(參見第1C圖)、T2(參見第1C圖)及T3基本上滿足:T3=T1-T2。在一些實施例中,厚度T3在約10nm至約100nm的範圍內。原子層蝕刻製程可包括複數個反應循環以移除抑制劑20上方的圖案化沉積層30的所需厚度。在一些實施例中,原子層蝕刻的反應循環的次數小於原子層沉積的反應循環的次數,並且可以在約1至約50的範圍內。
在一些實施例中,原子層蝕刻製程的蝕刻劑可包括O2、Ar、H2電漿等。在一些其他實施例中,原子層蝕刻製程的蝕刻劑可包括基於Cl的氣體或基於F的氣體。例如,基於Cl的氣體可以是Cl2、BCl3等。基於F的氣體可以是CF4、C4F8、CH3F、CH2F2、CHF3、CFx、NF3等。在一些其他實施例中,原子層沉積製程的蝕刻劑可包括離子轟擊。例如,離子轟擊的離子可以是Ar、He等。
再次参考第27圖、第28圖及第29圖。第27圖和第28圖論述了執行原子層沉積製程的複數個反應循環以在第二材料14上方形成圖案化沉積層30,直至圖案化沉積層30開始在抑制物20上形成。第29圖論述了原子層蝕刻製程的複數個反應循環,用以移除抑制物20上的圖案化沉積層30,直至暴露抑制物20的頂表面。如前所述,原子層沉積製程的反應循環的次數X可以在約1至約100的範圍內,並且原子層蝕刻製程的反應循環的次數Y可以在約1至約50的範圍內。在一些實施例中,X與Y的比率(X/Y)在約1至約15的範圍內。在一些其他實施例中,原子層沉積製程的反應循環的次數X大於原子層蝕刻製程的反應循環的次數Y。亦即,X大於Y,因此X與Y的比率(X/Y)大於1。
在第27圖至第29圖中論述的原子層沉積製程和原子層蝕刻製程可以視為用於在第二材料14上方形成厚度為T3的圖案化沉積層30並且其中抑制物20未被覆蓋的形成循環。形成循環可以用以下等式表示:X*(原子層沉積反應循環)+Y*(原子層蝕刻反應循環)=1*(形成循環)
換言之,形成循環包括執行原子層沉積反應循環X次和原子層蝕刻反應循環Y次。
參考第29圖。重複第27圖至第29圖中論述的製程複數次(或以交替方式執行)以形成具有期望厚度T4的圖案化 沉積層30’。換言之,重複第27圖至第29圖的圖案化沉積層30的形成循環,以形成圖案化沉積層30’。在一些實施例中,形成循環的次數Z可以在約100至約1000的範圍內。在一些其他實施例中,形成循環的次數Z可以在約100至約500的範圍內。不同形成循環中的X值可以不同或相同,並且/或者不同形成循環中的Y值可以不同或相同。例如,順序地執行X1次原子層沉積反應循環、Y1次原子層蝕刻反應循環、X2次原子層沉積反應循環和Y2次原子層蝕刻反應循環,其中X1和X2不同或相同,並且/或者Y1和Y2不同或相同。
參考第31圖。在形成圖案化沉積層30'之後,移除抑制物20(參考第30圖)以暴露第一材料12的頂表面。可以藉由執行烘焙或蝕刻製程來移除抑制物20。
根據上述實施例,第一材料和第二材料形成在下層結構上。在第一材料上選擇性地形成抑制物,並且使第二材料的頂表面保留不被覆蓋。執行沉積製程以在第二材料上方形成圖案化沉積層,直至介電層開始在抑制物上形成。隨後執行蝕刻製程以移除抑制物上方的介電層的一部分。重複沉積製程和蝕刻製程以形成所需厚度的介電層。因此,本揭示案的實施例提供了在靶材料上選擇性地形成圖案化沉積層的有效方式。本揭示案的實施例亦可減少圖案化沉積層中的空隙及/或接縫。亦可以跳過諸如化學機械研磨的平坦化製程以避免材料損失。
在本揭示案的一些實施例中,方法包括在基板上形成閘極堆疊和層間介電質(層間介電質),其中該層間介電質 與該閘極堆疊相鄰;形成抑制物覆蓋該層間介電質,使得該閘極堆疊從該抑制物暴露出;執行沉積製程以在該閘極堆疊上方形成導電層,直至該導電層開始在該抑制物上形成,其中該沉積製程對該閘極堆疊具有相對於該抑制物的沉積選擇性;以及執行蝕刻製程以移除該抑制物上方的該導電層的一部分。
根據一些實施例,該方法亦包括在執行蝕刻製程之後移除抑制物。
根據一些實施例,沉積製程包括複數個第一反應循環。
根據一些實施例,蝕刻製程包括複數個第二反應循環,並且第一反應循環的次數大於或等於第二反應循環的次數。
根據一些實施例,在執行蝕刻製程期間,部分地移除第二材料上方的導電層的另一部分。
根據一些實施例,抑制物包含聚合物或自組裝單層。
根據一些實施例,該方法亦包括重複執行沉積製程以及執行蝕刻製程。
根據一些實施例,沉積製程對閘極堆疊相對於抑制物的沉積選擇性大於沉積製程對閘極堆疊相對於層間介電質的沉積選擇性。
根據一些實施例,該方法亦包括在形成抑制物之前使閘極堆疊凹陷。
根據一些實施例,該方法亦包括在閘極堆疊的相對側壁上形成閘極間隔物,其中抑制物形成在閘極間隔物的內側壁上。
根據一些實施例,該方法亦包括在形成抑制物之前在閘極堆疊上方形成金屬層。
在本揭示案的一些實施例中,方法包括在下伏結構上方形成閘極堆疊和層間介電質(層間介電質),其中該層間介電質與該閘極堆疊相鄰;在該閘極堆疊上方選擇性地形成抑制物;執行原子層沉積(原子層沉積)製程以在該層間介電質上形成介電層,直至該介電層開始在該抑制物上形成,其中該介電層在該層間介電質上的生長速率大於在該抑制物上的生長速率;以及執行原子層蝕刻(原子層蝕刻)製程以移除該介電層,直至暴露出該抑制物的頂表面。
根據一些實施例,原子層沉積製程包括X個反應循環,並且原子層蝕刻製程包括Y個反應循環,其中XY。
根據一些實施例,閘極堆疊由金屬製成。
根據一些實施例,抑制物包括十八烷基三氯矽烷(CH3(CH2)17SiCl3)、三氯(1H,1H,2H,2H-全氟辛基)矽烷(CF3(CF2)5(CH2)2SiCl3)、二甲基二氯矽烷((CH3)2SiCl2)/(二甲氨基)三甲基矽烷((CH3)2NSi(CH3)3)、1-(三甲基甲矽烷基)吡咯啶((CH3)3Si-NC4H8)、六甲基二矽氮烷([[(CH3)3Si]2NH)、或雙(二甲基氨基)二甲基矽烷([(CH3)2N]2Si(CH3)2)、烷硫醇、丙硫醇、丁硫醇、己硫醇、庚硫醇、十八烷硫醇、壬基硫醇或十二烷硫醇。
根據一些實施例,該方法亦包括重複執行原子層沉積製程以及執行原子層蝕刻製程。
根據一些實施例,該方法亦包括在形成抑制物之前使層間介電質凹陷。
在本揭示案的一些實施例中,半導體結構包括閘極堆疊;圍繞該閘極堆疊的層間介電層;分別在該閘極堆疊與該層間介電質之間的複數個閘極間隔物;觸點;以及抑制物。閘極間隔物在閘極堆疊上限定凹陷。觸點在凹陷中。抑制物位於觸點與一個閘極間隔物之間。
根據一些實施例,抑制物包含聚合物或自組裝單層。
根據一些實施例,抑制物在閘極堆疊上方。
上文概述了若干實施例的特徵,使得本領域的技藝人士可以更好地理解本揭示內容的各態樣。本領域的技藝人士應當理解,他們可以容易地將本揭示內容用作設計或修改用於執行本文介紹的實施例的相同目的和/或實現相同優點的其他製程和結構的基礎。本領域的技藝人士亦應該意識到,此類等效的結構不脫離本揭示案的精神和範疇,並且在不脫離本揭示案的精神和範疇的情況下,本領域的技藝人士可以在此進行各種改變、替換和變更。

Claims (1)

  1. 一種半導體結構的製造方法,包含:在一基板上形成一閘極堆疊和一層間介電質(層間介電質),其中該層間介電質與該閘極堆疊相鄰;形成一抑制物覆蓋該層間介電質,使得該閘極堆疊從該抑制物暴露出;執行一沉積製程以在該閘極堆疊上方形成一導電層,直至該導電層開始在該抑制物上形成,其中該沉積製程對該閘極堆疊具有相對於該抑制物的一沉積選擇性;以及執行一蝕刻製程以移除該抑制物上方的該導電層的一部分。
TW107139713A 2017-11-28 2018-11-08 半導體結構的製造方法 TW201926562A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762591737P 2017-11-28 2017-11-28
US62/591,737 2017-11-28
US16/122,235 2018-09-05
US16/122,235 US10727065B2 (en) 2017-11-28 2018-09-05 Semiconductor structure and manufacturing method thereof

Publications (1)

Publication Number Publication Date
TW201926562A true TW201926562A (zh) 2019-07-01

Family

ID=66632592

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107139713A TW201926562A (zh) 2017-11-28 2018-11-08 半導體結構的製造方法

Country Status (3)

Country Link
US (4) US10727065B2 (zh)
CN (1) CN109841571A (zh)
TW (1) TW201926562A (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200099986A (ko) * 2019-02-14 2020-08-25 에이에스엠 아이피 홀딩 비.브이. 반응 챔버에서 주기적 증착 공정에 의해 기판 상에 하프늄 란타늄 산화물 막을 증착하는 방법
US11993844B2 (en) * 2019-04-24 2024-05-28 The Regents Of The University Of California Passivation of silicon dioxide defects for atomic layer deposition
US11812610B2 (en) * 2019-08-13 2023-11-07 Micron Technology, Inc. Three-dimensional memory with conductive rails in conductive tiers, and related apparatus, systems, and methods
US20210134669A1 (en) * 2019-10-31 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structure for metal interconnect
US11319449B2 (en) * 2019-12-20 2022-05-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Area selective deposition of metal containing films
KR20230024298A (ko) * 2020-06-17 2023-02-20 도쿄엘렉트론가부시키가이샤 표면 세정 공정을 이용한 영역 선택적 증착 방법
CN112151504B (zh) * 2020-08-17 2022-04-29 复旦大学 一种带有封孔层的铜互连结构及其制备方法
US20230157007A1 (en) * 2021-11-17 2023-05-18 Nanya Technology Corporation Memory array structure with contact enhancement sidewall spacers

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7235489B2 (en) * 2004-05-21 2007-06-26 Agere Systems Inc. Device and method to eliminate shorting induced by via to metal misalignment
US8465592B2 (en) * 2008-08-25 2013-06-18 Tokyo Electron Limited Film deposition apparatus
KR101585996B1 (ko) * 2009-04-20 2016-01-18 삼성전자주식회사 포토레지스트 조성물, 이를 이용한 미세 패턴의 형성방법 및 반도체 장치의 제조방법
US20140051239A1 (en) * 2012-08-14 2014-02-20 International Business Machines Corporation Disposable carbon-based template layer for formation of borderless contact structures
JP6287121B2 (ja) * 2013-11-28 2018-03-07 株式会社リコー 電気−機械変換膜の製造方法、電気−機械変換素子、液体吐出ヘッド、インクジェット記録装置
TWI656603B (zh) * 2015-07-31 2019-04-11 聯華電子股份有限公司 半導體元件及其製程
US9589807B1 (en) * 2016-05-25 2017-03-07 Globalfoundries Inc. Method for eliminating interlayer dielectric dishing and controlling gate height uniformity
JP2018056502A (ja) * 2016-09-30 2018-04-05 株式会社ディスコ デバイスウエーハの加工方法
US10141225B2 (en) * 2017-04-28 2018-11-27 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gates of transistors having reduced resistivity
US10347540B1 (en) * 2017-12-14 2019-07-09 International Business Machines Corporation Gate cut using selective deposition to prevent oxide loss

Also Published As

Publication number Publication date
US11024504B2 (en) 2021-06-01
US11557483B2 (en) 2023-01-17
US11915936B2 (en) 2024-02-27
US20190164762A1 (en) 2019-05-30
US20200357646A1 (en) 2020-11-12
CN109841571A (zh) 2019-06-04
US20210287904A1 (en) 2021-09-16
US20230146366A1 (en) 2023-05-11
US10727065B2 (en) 2020-07-28

Similar Documents

Publication Publication Date Title
US10672667B2 (en) Semiconductor device and method
US11915936B2 (en) Semiconductor structure and manufacturing method thereof
US10957545B2 (en) Method for manufacturing semiconductor device
US11398381B2 (en) Method for forming semiconductor structure
US11915981B2 (en) Semiconductor device and manufacturing method thereof
US20200350421A1 (en) Semiconductor structure with metal containing layer
CN109427901B (zh) 半导体器件和方法
US11264283B2 (en) Multi-channel devices and methods of manufacture
TW201916120A (zh) 鰭式場效電晶體裝置的製造方法
US20220181202A1 (en) Air-replaced spacer for self-aligned contact scheme
CN112992787A (zh) 半导体器件和形成半导体器件的方法
US11908745B2 (en) Semiconductor device with non-conformal gate dieletric layers
KR102575956B1 (ko) 집적 회로 구조체 및 그 제조 방법
CN115566064A (zh) 半导体结构