TW201910933A - 微影製程方法 - Google Patents

微影製程方法 Download PDF

Info

Publication number
TW201910933A
TW201910933A TW107126100A TW107126100A TW201910933A TW 201910933 A TW201910933 A TW 201910933A TW 107126100 A TW107126100 A TW 107126100A TW 107126100 A TW107126100 A TW 107126100A TW 201910933 A TW201910933 A TW 201910933A
Authority
TW
Taiwan
Prior art keywords
reticle
exposure
pattern
sub
resist layer
Prior art date
Application number
TW107126100A
Other languages
English (en)
Other versions
TWI798241B (zh
Inventor
游信勝
余青芳
王文娟
許庭豪
秦聖基
濤南 嚴
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201910933A publication Critical patent/TW201910933A/zh
Application granted granted Critical
Publication of TWI798241B publication Critical patent/TWI798241B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/52Reflectors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Manufacture Of Macromolecular Shaped Articles (AREA)

Abstract

一種微影製程方法,包括:形成一阻劑層於一基板上;執行一第一曝光製程以將一第一光罩之一第一子區域之一第一圖案成像至一次場中之一阻劑層;執行一第二曝光製程以將第一光罩之一第二子區域之一第二圖案成像至次場中之上述阻劑層;以及執行一第三曝光製程以將一第二光罩之第一子區域之一第三圖案成像至次場中之阻劑層。其中,第二圖案以及第三圖案與第一圖案相同;以及第一曝光製程、第二曝光製程以及第三曝光製程共同於次場中之阻劑層上形成第一圖案之一潛像(latent image)。

Description

微影製程方法
本發明實施例係有關於一種減少光罩缺陷影響之微影製程方法。
半導體裝置工業係經歷了快速成長。積體電路材料以及設計的技術進步產生了數代的積體電路,其中每一代都具有比前一代更小以及更複雜的電路。在積體電路發展的進程中,隨著幾何尺寸(亦即,利用一製程可形成之最小構件(或線))的減少,功能密度(定義為每晶片面積之互連元件的數量)大體上已獲得增加。尺寸縮減過程通常可帶來益處,例如增加製造之效率以及降低相關成本,但也會增加半導體裝置的設計以及製造的複雜度。然而,這樣的縮減也增加了積體電路(IC)的加工以及製造的複雜性,為了實現這些進展,需要在IC加工以及製造方面取得類似的發展。舉例來說,高解析度的微影製程(例如極紫外線(EUV)微影製程是為了滿足32奈米技術節點以及以下接近臨界尺寸公差之尺寸限制而實施的。EUV微影使用一反射光罩(亦稱為中間光罩(reticle)),以將積體電路裝置之一個層的圖案轉移到晶圓上。一個反射光罩通常包括位於一基板上的一反射多重膜層塗層(多層鏡像堆疊)。在基板上的任何缺陷(包括微小的缺陷)都會造成反射多重膜層塗層的材料層中的擾動(或變形),而這些變形將影 響反射光罩的圖案之轉印。這種缺陷往往難以檢測,而即使檢測到了也很難修復。因此,儘管現有的EUV光罩以及製造EUV光罩之方法已普遍適用於它們的預期用途,但它們在各方面仍不盡理想。
本發明一實施例係提供一種微影製程方法,包括:形成一阻劑層於一基板上;執行一第一曝光製程以將一第一光罩之一第一子區域之一第一圖案成像至一次場中之一阻劑層;執行一第二曝光製程以將第一光罩之一第二子區域之一第二圖案成像至次場中之上述阻劑層;以及執行一第三曝光製程以將一第二光罩之第一子區域之一第三圖案成像至次場中之阻劑層。其中,第二圖案以及第三圖案與第一圖案相同;以及第一曝光製程、第二曝光製程以及第三曝光製程共同於次場中之阻劑層上形成第一圖案之一潛像(latent image)。
100、600、1100‧‧‧光罩
1110、920‧‧‧次場的頂點
112‧‧‧基板表面
114‧‧‧基板表面
120‧‧‧反射多重膜層塗層
1221-122N‧‧‧材料層
130‧‧‧缺陷
200、500、700‧‧‧方法
210-230、510-540、705-720、810-830‧‧‧操作
310‧‧‧基板
320‧‧‧阻劑層
320A‧‧‧圖案化阻劑層
330‧‧‧第一曝光製程
332‧‧‧潛像
340‧‧‧第二曝光製程
350‧‧‧第三曝光製程
362‧‧‧開口
370‧‧‧次場
610‧‧‧光罩框架區域
910‧‧‧場
本發明可透過閱讀以下之詳細說明以及範例並配合相應之圖式以更詳細地瞭解。需要強調的是,依照業界之標準操作,各種特徵並未依照比例繪製,並且僅用於說明之目的。事實上,為了清楚論述,各種特徵之尺寸可以任意地增加或減少。
第1圖為根據本發明一些實施例所述之一光罩之側面剖視圖。
第2圖為根據本發明一些實施例所述之用於形成一圖案化阻劑層之方法之流程圖。
第3A、3B、3C、3D、3E圖為根據本發明一些實施例所述之第2圖之方法之各個階段期間的一阻劑層之示意性剖視圖。
第4A、4B、4C、4D、4E圖為根據本發明一些實施例所述之使用第2圖之方法之不同光罩圖案來圖案化一阻劑層(例如第3A-3E圖中的阻劑層)之頂部示意圖。
第5圖為根據本發明一些實施例所述之用於混合微影曝光製程之方法之流程圖。
第6A圖為根據本發明一些實施例所述之光罩之俯視圖。
第6B圖為根據本發明一些實施例所述之光罩之俯視圖。
第7圖為根據本發明一些實施例所述之透過混合微影曝光製程形成一圖案化阻劑層之方法之流程圖。
第8圖為根據本發明一些實施例所述之用於第7圖中透過混合微影曝光製程所形成的一圖案化阻劑層之一操作之流程圖。
第9A、9B、9C、9D、9E、9F、9G、9H、9I、9J、9K、9L、9M、9N、9O、9P圖為根據本發明一些實施例所述之透過混合微影曝光製程圖案化一阻劑層之頂部示意圖。
第10圖為根據本發明一些實施例所述之第8圖中透過混合微影曝光製程形成一圖案化阻劑層之第一組曝光製程之流程圖。
第11-1、11-2、11-3、11-4、11-5、11-6、11-7、11-8、11-9、11-10、11-11、11-12、11-13、11-14、11-15、11-16、11-17、11-18、11-19、11-20、11-21、11-22、11-23、11-24、11-25、11-26、11-27、11-28、11-29、11-30、11-31、11-32、11-33、 11-34以及11-35圖為根據本發明一些實施例所述之透過混合微影曝光製程圖案化一阻劑層之頂部示意圖。
以下係提供了許多不同之實施例、或示例,用於實現本發明之不同特徵。以下係揭示各種元件以及配置之具體實施例或示例以簡化描述本發明。當然這些僅為示例但不以此為限。舉例來說,說明書中第一特徵位於第二特徵上方之結構可包括以第一特徵與第二特徵直接接觸之形式,以及可包括以於第一特徵與第二特徵之間插入額外之特徵之形式,使得第一特徵以及第二特徵並未直接接觸。此外,本發明於各種示例中將重複標號和/或字母。上述之重複係用於簡化以及清楚之目的,並非用以指定各種實施例和/或上述配置中之關係。此外,空間相關術語例如”在...之下”、”以下”、”較低的”、”以上”、”上方”等空間相關術語在此被用於描述圖中例示之一個元件或特徵與另一元件或特徵之間之關係。空間相關術語可包括設備於使用或操作中除了圖中描繪之方位以外之不同方位。設備可以其它方式被定向(旋轉90度或往其它方位),並且在此使用之空間相關描述詞應可被相應地理解。
第1圖為根據本發明一些實施例所述之光罩100(亦稱為光罩(photomask)或中間光罩(reticle))之示意性側面剖視圖。在所描繪的實施例中,光罩100為將經歷一光罩製作過程之空白光罩,光罩製作過程用以利用一積體電路(IC)裝置之一個層之一設計來圖案化此空白光罩,使得光罩100可用於積體電路裝置之製造。為了清楚說明,第1圖已經過簡化, 以更佳地理解本發明實施例的發明概念。額外之特徵可被添加在光罩100中,以及在光罩100之其它實施例中以下所描述之一些特徵將可被替換或刪除。
光罩100包括基板110。基板110具有一表面112以及相對於表面112之一表面114。在本示例中,基板110包括一低熱膨脹材料(LTEM)。在一示例中,LTEM基板包括摻雜熔融矽石(SiO2)之二氧化鈦(TiO2)。或者,取決於光罩100的設計需求,基板110可包括其它材料,例如石英或玻璃。
一反射多重膜層塗層(reflective multilayer coating,RMLC)120(亦稱為一多重膜層鏡(multilayer mirror,MLM))沉積在基板110上,特別是沉積在基板110之表面112上。RMLC 120包括多個材料層1221、1222、1223、1224...,其中N為RMLC 120之材料層之總數量。之後,為了便於討論,”一材料層”或”複數材料層”被簡稱為”一層”或”層”。在本示例中,關於基板110之表面112,層1221可被稱為RMLC 120之一最底層,以及層122N可被稱為RMLC 120之一最頂層。更進一步地,多個層1221、1222、1223、1224、...、122N形成多個層對,其中每個層對包括具有不同反射率的兩個層(即第一材料以及第二材料之組合,其中第一材料之反射率不同於第二材料之反射率)。舉例來說,層對包括層1221以及層1222,另一層對包括層1223以及層1224等。選擇層對中材料的組合以在兩層之間提供具有較大差異的反射率(例如根據菲涅耳(Fresnel)方程在兩層的一介面處實現較大的反射率),但對層提供了小的消光係數(extinction coefficient)(例如以將吸收最小化)。於一示例 中,RMLC 120包括鉬-矽(Mo/Si)層對。在另一個示例中,RMLC 120包括鉬-鈹(Mo/Be)層對。根據入射在光罩100上的光(例如極紫外(EUV)輻射)的一波長以及一入射角度來調整RMLC 120之每個層對之每個層的厚度,使得光罩100實現從RMLC 120的不同介面反射的光的最大建設性干涉(interference)。一般而言,RMLC 120的反射率隨著RMLC 120層對數目的增加而增加。因此,原則上,如果層對的數量夠大且層的材料的消光係數接近於零,則不管層對中層的材料的反射率差異如何,RMLC 120的反射率可接近100%。然而,在EUV波長範圍內,可實現的最高反射率受到RMLC 120層的材料的消光係數的限制。在本示例中,RMLC 120的層對的數量為20~80。舉例來說,在所描繪之實施例中,為了實現RMLC 120大於90%的最大可實現反射率(透過選擇的材料)以及最小化光罩製造時間以及成本,RMLC 120包括約四十個層對,例如四十個Mo/Si對(其中N=80;包括矽且具有約3~5nm的厚度(例如約4nm)。
RMLC 120包括改變從RMLC 120反射的光之相位的一相位缺陷區域(phase-defect region)。在所描繪的實施例中,缺陷130(例如突起缺陷(bump defect))位於基板110的表面112上。如第1圖所示,缺陷130造成沉積在基板110之表面112上之RMLC 120的多個層中的變形。更具體地,變形發生在每個層1221、1222、1223、1224,...122N中。因此,缺陷130形成在每個連續形成的層中,使得RMLC 120的相位缺陷區域從最底層(層1221)延伸至最頂層(層122N)。或者是說,相 位缺陷區域延伸穿過RMLC 120一有限數量的層1221、1222、1223、1224、...。在另一實施例中,相位缺陷區域延伸穿過有限數量的層1221、1222、1223、1224、...、122N,某一層中/上的缺陷導致隨後沉積的層中的變形,而隨後沉積的層中的變形與層中/上的缺陷有關,而非與基板110的表面上的缺陷(例如缺陷130)有關。RMLC 120的變形可以具有不同的輪廓,其取決於在形成RMLC 120的層1221、1222、1223、1224、...、122N期間與變形以及製程條件有關的缺陷類型。
從RMLC 120反射的光包括從RMLC 120的各種不同介面反射的所有光。在本示例中,部分反射光是相移的(即具有相位誤差),原因為RMLC 120中層1221、1222、1223、1224、...、122N的變形(在此由缺陷130所造成)。所示之相位缺陷區域(RMLC 120的層中的變形集合)主要影響從RMLC 120反射的光的相位,儘管它可僅輕微地影響這種反射光的幅度。當使用小成像波長(例如EUV波長)來照射光罩100時,儘管1221、1222、1223、1224、...、122N等層的變形與RMLC 120的相位缺陷區域有關程度可能很小,但仍有可能在RMLC 120的相位缺陷區域中造成大的相位誤差。舉例來說,當成像波長為13.5nm時,具有約3.4nm的高度或深度(約四分之一成像波長)的缺陷可能引起多達180°的一相位誤差。由於RMLC 120的相位缺陷區域所產生的相位偏移(誤差),從而對使用光罩100的微影製程產生負面影響。事實上,一個相對小於180°的相位差(例如30°)可對微影製程視窗或利用光罩100所產生的圖案保真度(fidelity)有負面的影響。因此,基板110(特別 是基板110的表面112)以及RMLC 120是希望不存在缺陷的(例如突起缺陷、凹陷缺陷(pit defect)以及其它類型的缺陷)。然而,使用傳統技術很難實現無缺陷的基板以及無缺陷的RMLC。以下討論了如何減少這些缺陷的影響,特別是缺陷區域的影響。更進一步地,本發明實施例更提供一種實現EUV微影製程的方法,其有效地消除了缺陷的影響,並進一步地減少或最小化製造成本。
第2圖為根據本發明一些實施例所述之用於形成一圖案化阻劑層之方法的流程圖。方法200起始於方塊210,形成一阻劑層於基板上。於方塊220,決定一最佳曝光劑量(EOP)。在一給定的微影製程中,一給定的阻劑層具有一既定閥值的曝光劑量(ETH),既定閥值的曝光劑量為最小曝光劑量,相較於未曝光的部分,其可可靠地改變阻劑層之曝光部分(當光罩上的圖案與所使用的輻射源的波長相比較大時)。舉例來說,在阻劑層包括正型的阻劑材料時,在閥值曝光劑量下阻劑層的曝光部分會變為可溶化的,如此,在顯影製程中,阻劑層的曝光部分被移除,使得被顯影的阻劑層包括該圖案。在另一示例中,阻劑層包括一種負型的阻劑材料,在閥值曝光劑量下阻劑層的曝光部分變為不可溶化的,如此,在顯影製程中,阻劑層的未曝光部分被移除,而被顯影的阻劑層包括該圖案。然而,各種現實的影響可能導致閥值劑量不足。舉例來說,當光罩上的圖案大小接近或小於所使用的輻射源的波長時,降低空中圖像之對比度的繞射影響將變得明顯。在另一示例中,曝光後的烘烤程序可能會表現出如化學放大阻抗的酸擴散 (acid diffusion)、淬滅劑(quencher)的酸湮沒(acid annihilation)等作用。為了校正上述作用,在一些實施例中,可根據用於預先指定的測試圖案的曝光劑量來決定一最佳曝光劑量,以在對應的單一曝光製程中於一晶圓上實現一預先確定的目標維度(target dimension)。
於方塊230,透過混合微影曝光製程以及顯影製程以於阻劑層中形成一圖案。混合微影曝光製程包括多個分別使用不同的光罩以及同一光罩的不同圖案區域之曝光製程。以下將提出詳細的說明。在一些實施例中,多重曝光製程使用極紫外線(EUV)進行,而每個曝光製程的輻射可能具有大致相同的波長。於方塊230之多重曝光製程中,每個曝光製程可利用小於最佳曝光劑量的一曝光劑量,並且多重曝光製程的總曝光劑量(ET)大約等於最佳曝光劑量(換言之,E1<EOP、E2<EOP、...、EL<EOP以及,L為執行的曝光製程之一總數)。
在多重曝光製程期間,阻劑層以相同的圖案(例如相同的積體電路(IC)圖案)多次於同一區域進行曝光。然而,相同的IC圖案來自不同的光罩圖案,包括多個光罩(光罩的數量為M)以及每個光罩的多個部分(部分的數量為N)。光罩的部分在下面的描述中亦被稱為子區域。每個曝光製程皆用不同的光罩或一個光罩的不同部分來實現的。因此,多重曝光製程被統稱為混合微影曝光製程。混合微影曝光製程包括共L個曝光製程,其中L=M*N。以下將提出更詳細的說明。
多重曝光製程被分為複數組曝光製程,每組曝光 製程都與一相同的光罩有關。在這種情況下,與一光罩有關之同一組曝光製程中的每個曝光製程都使用該光罩的不同部分來曝光阻劑層,其中該光罩每個部分具有相同的圖案。另一組曝光製程使用不同的光罩,以同樣的方式曝光阻劑層。不同的光罩以及一光罩的不同部分可包括缺陷(如突起缺陷、凹陷缺陷、其它類型的缺陷或其組合)以及相位缺陷區域。然而,這些缺陷通常是隨機分佈的,且只對多個曝光製程中的其中一者作出貢獻,例如對曝光劑量的貢獻小於ET/L。當L夠大時,比如L>10,那麼來自一個缺陷的劑量變化太小(遠小於總曝光劑量ET)而不會引起所顯影的光阻圖案的實際上的變化。因此,混合微影曝光製程會減少缺陷的影響。因此,即使是光罩有合理數量缺陷(例如1或2),它仍然為可用的。總而言之,其降低製造成本。
在阻劑層中形成圖案的步驟更可包括一顯影製程,其中一顯影溶液會被施加到曝光後的阻劑層上。阻劑層亦可能在阻劑層曝光前及/或曝光後進行一烘烤程序。額外的步驟可提供在方法200之前、期間以及之後,所述的一些步驟可被替換、移除或移動到方法200的其它實施例中。以下的討論說明了一阻劑層的各種實施例,阻劑層可根據第2圖的方法進行圖案化。
第3A-3E圖為第2圖所示方法之不同階段中阻劑層之不同示意圖。第3A~3E圖已被簡化以更佳地理解本發明實施例所揭露的概念。在第3A圖中,提供了一晶圓310。晶圓310為一基板、一光罩(亦被稱為光罩(photomask)或中間光罩), 或任何一種用於可於其上提供複數材料層以形成積體電路裝置的各種特徵部件的基礎材料。在所述的實施例中,晶圓310為包括矽的一半導體基板(即一矽晶圓)。另外,晶圓310包括另一種基本半導體(elementary semiconductor),例如鍺;一種化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、銦砷化銦和/或銻化銦;一種合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP和/或GaInAsP;或其組合。在另一種選擇中,晶圓310為絕緣體基板上的半導體(SOI)。晶圓310亦可被稱為材料層,或晶圓310可包括一材料層,以在其上形成一層阻劑層。在一示例中,材料層為一金屬層、一半導體層或一介電層。在另一示例中,材料層為一硬罩幕層(hard mask layer),例如矽氧化物層或氮化矽層。
一阻劑層320為透過一旋轉塗佈技術設置在晶圓310上。阻劑層(resist layer)320亦被稱為光阻層(photoresist layer)、感光層(photosensitive layer)、成像層、圖案化層或感應輻射層(radiation sensitive layer)。一清洗製程(rinsing process)(例如去離子水沖洗)可在設置阻劑層320前在晶圓310上進行。阻劑層320可能會會被施加一烘烤程序,例如軟烘烤程序(亦稱為後應用烘烤程序)。在所述之實施例中,阻劑層320包括正型阻劑材料。另外,阻劑層320包括負型阻劑材料。阻劑層320有合適的厚度,如厚度約10~1000奈米。
在第3B~3E圖中,阻劑層320被圖案化以形成一圖案化阻劑層320A。圖案化阻劑層320的步驟包括執行一混合微影曝光製程,其包括如第3B~3D圖所示之一個以上的曝光製 程。其中,每個曝光製程所使用的曝光劑量小於相應的單一曝光製程的最佳曝光劑量EOP。於所述之實施例中,第一曝光製程330(第3B圖)、第二曝光製程340(第3C圖)以及第三曝光製程350(第3D圖)之每一者係使用一不同的光罩圖案分別以第一曝光劑量(E1)、第二曝光劑量(E2)、第三曝光劑量(E3)的輻射照射選擇性地阻劑層320,從而於阻劑層320中形成一潛像332。在此所述的光罩圖案指在光罩的不同部分或不同的光罩所形成的相同IC圖案。在本示例中,僅示例性地描述三個曝光製程,以進行說明以及解釋。總曝光數量並不限制為L。第一曝光劑量(E1)、第二曝光劑量(E2)以及第三曝光劑量(E3)皆小於最佳曝光劑量(EOP)。更進一步地,在當前的示例中,第一曝光劑量(E1)、第二曝光劑量(E2)、以及第三曝光劑量(E3)的總曝光劑量(ET)約等於最佳曝光劑量(EOP)(即),如此在第三曝光製程350後潛像332為可顯影的。在所述的實施例中,第一曝光製程330、第二曝光製程340以及第三曝光製程350以具有波長小於約100奈米之輻射(例如一極紫外線(EUV)範圍、x射線範圍、深紫外範圍、真空紫外線範圍或其組合)照射阻劑層320。在一示例中,輻射為波長等於13.5奈米之EUV輻射。或者,輻射的波長範圍大於100奈米。舉例來說,一輻射源可能為一光源(例如具有波長為248奈米之氟化氪(KrF)準分子雷射、具有波長為193奈米之氟化氬(ArF)準分子雷射、具有波長為157奈米之氟化物(F2)準分子雷射、或其它光源)。值得注意的是,在替代實施例中,只有兩個曝光製程或三個以上的曝光製程可在阻劑層 320上形成一可顯影的潛像圖案。
在第一曝光製程330、第二曝光製程340以及第三曝光製程350中所使用的光罩圖案包括一圖案(例如根據一設計佈局之積體電路圖案),此圖案於每個曝光製程330、340以及350期間被轉移到阻劑層。在一示例中,在第一曝光製程、第二曝光製程為340以及第三曝光製程為350中使用了具有相同積體電路圖案的不同光罩圖案。
在下面的示例中,更進一步地描述一組與一光罩有關的曝光製程。同一光罩中具有相同的積體電路圖案的不同部係分別在第一曝光製程中330、第二曝光製程為340以及第三曝光製程350中被使用。舉例來說,參閱第4A~4E圖,在第一曝光製程330中所使用的光罩之第一部分之具有一積體電路圖案,在第二曝光製程340中所使用的光罩的第二部分(即不同於第一部分)具有相同的積體電路圖案,在第三曝光製程350中所使用的光罩的第三部分(即不同於第一部分以及第二部分)具有的相同的積體電路圖案。光罩的這些部分被稱為光罩的子區域。在光罩的子區域上所定義的IC圖案為相同的。在第4A圖中,一光罩包括光罩成像區域以及光罩框架區域,其中光罩成像區域包括一第一部分(或第一光罩子區域),第一部分包括一積體電路圖案1,一第二部分(或第二光罩子區域)包括一積體電路圖案2,以及一第三部分(或第三光罩子區域)包括一積體電路圖案3。在所描述的實施例中,積體電路圖案1、2以及3為相同的積體電路圖案。該光罩用以將積體電路圖案1、2以及3(彼此皆相同)轉移到晶圓310,特別是多次轉移 到晶圓310上的阻劑層320(第4A-4E圖中未顯示)。舉例來說,該光罩用於多重曝光製程,以將積體電路圖案轉移到晶圓310的各個次場(subfield)。光罩的子區域具有相同的圖案。每個場(field)表示晶圓310中將以給定時間進行曝光的一區域,以及每個場對應至一光罩圖像區域。每個次場表示晶圓310中以一給定時間進行曝光的區域,在所描述的實施例中,每個次場對應至一光罩子區域。在第4A圖中,一次場表示為370。一次場可定義一積體電路晶片,其最後將自晶圓310上切割,並被封裝成一電路晶片。或者,次場可定義複數積體電路晶片,其最後將被封裝成複數電路晶片。在現有的方法中,微影製程為基於場的製程,而本發明實施例之方法為基於次場的製程。這將於以下提出更進一步的說明。在一示例中,一曝光工具(例如步進器或掃描器)處理一或多個次場,接著處理下一個或多個次場,以此類推,如此每個次場370被分別定義光罩的第一、第二以及第三子區域的積體電路圖案1、2以及3加以曝光。
為了便於討論,本示例顯示單一個積體電路裝置區域370的所有曝光程序(晶圓310上側、左側的積體電路裝置區域370)。然而,必須理解的是,在晶圓製作過程中,多個積體電路裝置區域370被加工並被完全曝光。參考第3B以及4B圖,第一曝光製程330將光罩中具有積體電路圖案1的第一子區域與次場370中的其中一個(在此為左上方次場370)對齊,並將第一曝光劑量(E1)的輻射投射到光罩上。一部分輻射傳輸到阻劑層320,從而將光罩第一子區域的積體電路圖案1轉印到阻劑層320,以形成潛像圖案332,其中潛像圖案332包括潛像 部分332A。在所描述的實施例中,阻劑層320包括正型阻劑材料,潛像部分332A部分變成部分可溶化的。接著,參閱第3C以及4C圖,第二曝光過程340將光罩中具有積體電路圖案2的第二部分與同一次場370(在此為左上方次場370)對齊,並將第二曝光劑量(E2)的輻射投射到光罩上。在第二曝光製程期間,光罩的第一子區域亦與晶圓310的另一子場370對齊。輻射的一部分被傳輸到阻劑層320,從而將光罩第一以及第二區域的積體電路圖案分別轉印到阻劑層320。在本示例中,潛影圖案332的可溶性(尤其是潛影部分332A)在左上方的次場370中增加。潛像圖案332因此包括潛像部分332B,其比潛像部分332A更容易溶解。由於第二曝光製程340中所提供的額外曝光劑量,潛像部分的可溶性增加。為了進一步地說明本示例,曝光至光罩的第一部分的其它次場370包括潛像部分332A,因為其只接受第二曝光製程340。參閱第3D以及4D圖,第三曝光製程350將光罩中具有積體電路圖案3的第三子區域與同一次場370(在此為左上方的次場370)對齊,並將第三曝光劑量(E3)的輻射曝光在光罩上。輻射的一部分被傳輸到阻劑層320,從而將光罩的第一、第二以及第三子區域的積體電路圖案分別轉印到阻劑層320。在本示例中,潛像圖案332的可溶性(尤其是潛像部分332B)在左上方的次場370中增加。潛像圖案332因此包括潛像部分332C,其比潛像部分332B更容易溶解。在所有M個光罩被執行之前,此一過程在其它光罩上重複執行。由於第一曝光劑量(E1)、第二曝光劑量(E2)、第三曝光劑量(E3)、...、以及第Lth曝光劑量約等於最佳曝光劑量(EOP),潛像部分為 可溶的,如此在左上方的積體電路裝置區域370中可顯影出潛像332。根據各個實施例,曝光劑量(Ei)為彼此相同或不同。在一示例中,曝光劑量(Ei)為彼此相同的,每個曝光劑量Ei=Eop/L=Eop/(M*N)=Eop/(M*Nx*Ny)。在前述的公式中,N代表光罩的子區域的總數量,並且光罩上的次場配置為Nx×Ny的陣列。在第4A圖的光罩示例中,該光罩包括在1*3的陣列中配置3個子區域,因此在本示例中,N、Nx以及Ny分別為3、1以及3。
在第4E圖中,隨著後續曝光製程的進行,由於已接收最佳曝光劑量,因此左上方的次場370已完全曝光。前面提到的多重曝光製程完全符合掃描器透過步進以及掃描曝光整個晶圓時所採取的動作。掃描器的晶圓台在掃描方向上移動時僅需要在掃描方向上移動一部分的場大小(晶圓的一或多個次場或對光罩而言一或多個子區域),以及在掃描期間沿掃描方向移動整個場大小。因此,多重曝光製程所產生的對晶圓生產率的影響將可最小化。除了減少隨機分佈的光罩缺陷(包括相位缺陷、圖案缺陷以及粒子)的影響外,該方法亦能有效地減少其它隨機誤差的影響,例如線邊緣粗糙度以及光罩圖案的重合誤差(registration error)。
使用多重曝光製程以在阻劑層320中形成潛像圖案332,其中每個曝光製程使用小於最佳曝光劑量的曝光劑量將阻劑層320曝光為相同的圖案,以減少在多重曝光製程中使用的光罩的缺陷或缺陷區域的影響。舉例來說,在所述的實施例中,第一曝光製程330、第二曝光製程340、第三曝光製程350中使用的光罩為有缺陷或缺陷區域的,如第1圖中所示的光罩 100。當使用不同的光罩圖案時(包括不同的光罩或光罩的不同子區域),一或多個不同的光罩圖案包括一相位缺陷區域。因為投射到一光罩圖案的曝光劑量(在一光罩的子區域中所定義的曝光劑量)小於最佳曝光劑量,其僅占所有曝光劑量的一小部分(例如在一示例中,EOP/(M*Nx*Ny)),該相位缺陷對一個光罩的對應子區域的影響將明顯地降低。由於這些缺陷是隨機分佈在不同的光罩上或光罩的不同的子區域中,因此並無缺陷會接收到完整的曝光劑量。更具體地,每個獨立的缺陷所影響的空中圖像強度將會降低,從而降低這種缺陷的可轉印性。不同的實施例可能有不同的優點,且對於任何實施例都不需要特定優點。在一示例中,每個曝光製程的曝光劑量大約等於最佳曝光劑量(EOP)除以L,其中L為曝光製程的總數量。因此,在本示例中,第一曝光劑量(E1)、第二曝光劑量(E2)、…、第L曝光劑量(E1)等於最佳曝光劑量(EoP)的1/L。或者,第一曝光劑量(E1)、第二曝光劑量(E2)、…以及第L曝光劑量(E1)約等於最佳曝光劑量(EOP)的不同分數(varying fraction)。可根據缺陷的可轉印性調整多個EUV曝光製程的曝光劑量。舉例來說,如果光罩上的缺陷為可轉印的,那麼光罩的曝光劑量就會減少使其變得不可轉印的。
隨後,阻劑層320可能會被施加曝光後烘烤(post-exposure bake,PEB)的步驟。參閱第3E圖,在阻劑層320上進行了一顯影製程,從而形成圖案化阻劑層320A。在顯影製程中,將顯影液(developing solution)施加於阻劑層320。在一示例中,顯影液為一鹼性溶液(basic solution),例如四 甲基氫氧化銨(tetramethylammoniun hydroxide,TMAH)。根據阻劑層320的特性使用任何濃度(concentration level)的TMAH顯影液,例如大約2.38%的TMAH顯影液。根據阻劑材料,顯影液將阻劑層的曝光部分或未曝光部分去除。舉例來說,在本示例中,阻劑層320包括了正型阻劑材料,因此顯影製程中會移除阻劑層320的曝光部分(潛像圖案322),將阻劑層320的未曝光部分遺留在晶圓310上。或者,阻劑層320中包括負型阻劑材料,顯影製程中會移除阻劑層320的未曝光部分,將阻劑層的曝光部分320遺留在晶圓310上。清洗製程(rinsing process)包括例如去離子(de-ionized,DI)水清洗。清洗製程可清除殘留的顆粒。圖案化阻劑層320A包括開口362,其露出位於下方之晶圓310的部分。後續的過程可包括蝕刻晶圓310在圖案化阻劑層320A的開口中所露出之部分。或者,金屬沉積、離子注入、或其它過程可在晶圓310上進行。可透過任何合適的製程移除(或剝離)圖案化阻劑層320A。舉例來說,圖案化阻劑層320A可用流體(或剝離溶液)移除。在某些情況下,晶圓310中所露出的部分進行離子轟擊(ion bombardment)或電漿表面處理製程(plasma treatment process)的影響,此流體會剝離經離子轟擊後的圖案化阻劑層320A和/或電漿表面處理後的圖案化阻劑層320A。在移除圖案化阻劑層320A後,後續製程可繼續完成積體電路裝置的製造。舉例來說,可執行額外的圖案化製程、蝕刻製程、沉積製程以及其它製程,以形成積體電路裝置的其它特徵。
第5圖為根據本發明一些實施例所述之混合微影 曝光製程之方法500的流程圖。方法起始於方塊510,接收IC設計佈局或僅接收一IC圖案。積體電路圖案可能為積體電路的一部分,例如在半導體晶片的一材料層上所形成的圖案。積體電路圖案為積體電路設計者所設計或光罩製造商根據半導體製造技術進行進一步地修改。舉例來說,IC圖案與IC圖案的各種虛擬特徵進一步結合以改善其製造,例如減少負載效應(蝕刻或拋光負載效應)以及平滑圖案密度。在另一示例中,根據光學鄰近校正(OPC)修改IC圖案以強化微影曝光製程的解析度。
方法進入操作520,根據IC圖案決定可用的子區域(亦稱為候選子區域)。操作520為配合參閱第4A圖進行描述。如第4A圖所示,一光罩包括一光罩圖像區域,該區域更包括多個光罩圖像子區域。這些光罩圖像子區域亦簡稱為子區域。在光罩的子區域中所形成的圖案為相同的。此外,M光罩的子區域中所形成的圖案皆為相同的。在一示例中,任何子區域都包括一晶片的積體電路圖案。如前所述,子區域可包括多個晶片的圖案,例如晶片陣列。子區域的數量取決於晶圓的大小,因為子區域包括至少一晶片。在第4A圖的光罩示例中,光罩包括三個子區域,每個子區域皆有一IC圖案,其中IC圖案可對應一或多個晶片。子區域的數量(N)為根據操作520中的IC圖案來決定。數量N可為1*3、2*2、2*3或其它合適的整數。
第6A圖顯示具有四個子區域的光罩600。光罩600包括光罩框架區域610以及4個分別標示為1、2、3以及4的光罩圖像區域。每個子區域包括IC圖案形成於其中。四個子區域排列在一2*2的陣列中。或者,如第6B圖所示,四個子區域排列 在一1*4的陣列中。一般而言,子區域排列成一陣列,陣列具有沿一方向(第6A圖的X方向)排列的Nx個子區域以及沿另一方向(第6A圖的Y方向)排列的Ny個子區域。光罩上子區域的數量N為Nx*Ny,或N=Nx*Ny。因此,操作520亦決定可用的Nx以及Ny。在本示例中,可用的Nx以及Ny分別為2以及2。每個子區域可包括對應於單個晶片或多個晶片的積體電路圖案。舉例來說,每個子區域可代表2*2個晶片的圖案。因此,以下操作可自由選擇2*2、2*4、4*2或4*4個子區域。換言之,可用的Nx*Ny包括2*2、2*4、4*2以及4*4。
當光罩被成像到晶圓時,晶圓上對應的區域為一個場。類似地,子區域對應至場的子區域。晶圓上的子區域稱為次場。
方法500進入操作530,根據可用的子區域以及製造成本函數,決定子區域Nx、Ny以及光罩數量M。子區域Nx以及Ny僅能從操作520中所決定之可用的子區域中選擇。舉例來說,可用的子區域Nx*Ny包括2*2、2*4、4*2以及4*4。子區域Nx以及Ny只能從可用的區域中選擇。在操作530中,更根據製造成本函數決定子區域Nx以及Ny。製造成本函數為評估整體製造成本的函數,包括光罩製造成本以及與混合微影曝光製程相關的晶圓製造成本。光罩製造成本更包括空白光罩成本(不含圖案的光罩基板的成本)C1、光罩圖案成本C2以及光罩修復成本C3。在某些示例中,光罩成本更包括與光罩複雜性相關的成本,例如表示為光罩複雜性參數。當光罩圖案較複雜時,光罩圖案成本較高。在本示例中,使用多個光罩(數量為M)。空 白光罩成本等於一空白光罩的成本乘以M。然而,由於帶有缺陷的光罩可用於這個目的,且帶有缺陷的光罩較為便宜。空白光罩成本(帶有缺陷的空白光罩的成本之N倍)甚至比一沒有缺陷的空白光罩的成本更低。光罩圖案成本包括圖案化空白光罩以及其它相關費用的成本。當多個電子束寫入技術成熟時,此一成本將可大幅地降低。光罩修復成本包括修復有缺陷的光罩的成本。由於混合微影曝光製程可容忍大部分缺陷,因此此成本可減少或消除。晶圓製造成本C4包括與微影曝光製程相關的成本。混合微影曝光製程成本更高,因為其包括多個曝光製程,曝光製程包括掃描時間以及步進時間相關的成本。每一微影曝光製程皆包括移動至一個場的動作,以及掃描一個場的相關時間。如前所述,混合微影曝光製程的掃描時間本質上類似於單一常規微影曝光製程的掃描時間,由於混合微影曝光製程的每個曝光製程皆使用單一常規微影曝光製程的掃描時間的一個片段(大約1/L)。然而,混合微影曝光製程的步進時間大於單一常規微影曝光製程的步進時間。製造成本函數Fc可定義為Fc=f(C1,C2,C3,C4)。此一函數可為線性的,例如Fc=a1*C1+a2*C2+a3*C3+a4*C4。係數a1、a2、a3、a4係根據上述成本模型所決定。在可用的子區域Nx以及Ny中,子區域Nx以及Ny是由可用的子區域決定,這些子區域的製造成本被最小化,或製造成本函數被最小化。在不同的示例中,M、Nx以及Ny被決定為[3,2,2]、[3,4,1]或[3,1,4]。
方法500進入操作540,製作多個光罩,其中數量M係於操作530中所決定的。這些光罩的圖案相同。特別的是, IC圖案形成在每個光罩上Nx以及Ny子區域上。光罩製作過程可使用多個電子束寫入。
第7圖為根據本發明一些實施例所述之混合微影曝光製程之方法之流程圖。在一實施例中,方法700依照並接續於方法500。舉例來說,方法700可透過接收在操作540中所定義的M個光罩以起始於方塊705。
方法700進入操作210,形成一層阻劑層在一基板(例如半導體晶圓)上。
方法700進入操作220,如第2圖所述,決定最佳曝光劑量(EOP)。
方法700進入操作710,透過執行一混合微影曝光製程以在阻劑層中形成一圖案,其中混合微影曝光製程包括使用M個光罩的多重曝光製程,其中每個曝光製程所使用的曝光劑量小於最佳曝光劑量(EOP)。混合微影製程包括許多曝光製程,其中L等於M*Nx*Ny。參數M、Nx以及Ny在方法500的操作530中決定。此外,M個相同的光罩係於方法500的操作540中所製造。
混合微影曝光製程中的多重曝光製程被分為M組並與M個光罩匹配,每組採用匹配的光罩進行多重曝光製程(例如Nx*Ny)。每組彼此相似。
繼續參閱第7圖,完成操作710的混合微影曝光製程後,方法700進入操作720,形成一圖案化阻劑層。操作720包括使用合適的顯影器進行顯影程序。操作720更可包括其它製程步驟。舉例來說,操作720可包括在顯影步驟之前的曝光 後烘烤,顯影後的清潔以及顯影後的硬烘烤。
參閱第8圖,操作710包括M組曝光製程,例如方塊750中對一基板上的阻劑層使用第一光罩的第一組曝光製程;方塊760中對基板上的阻劑層使用第一光罩的第二組曝光製程;…以及方塊770中對基板上的阻劑層使用第M個光罩的第M組曝光製程。由於每一組曝光製程除了使用各自的光罩外,皆與其它組相同,因此在此僅詳細描述一組曝光製程(例如方塊750的第一組曝光製程)。一組曝光製程皆使用相同的光罩來執行,即M個光罩之其中一者。
作為一示例,如第6A圖所示,假設Nx以及Ny分別為2以及2,這表示光罩600包括2*2個子區域以及一組曝光製程包括2*2個曝光製程。第9A、9B、9C、9D、9E、9F、9G、9H、9I、9J、9K、9L、9M、9N、9O、9P圖顯示一組曝光製程。在第9A圖中,基板310僅包括6個示例性的場(或3*2個場)910。每個場包括Nx*Ny個次場,其對應於光罩310的Nx*Ny個子區域。在本示例中,每個場包括2*2個次場,其對應於光罩310的2*2個子區域。晶圓上的次場被標示為(i,j),其代表沿著X方向第i個以及沿著Y方向第j個次場,次場從左下方開始為(1,1)。
方塊750中第一組曝光製程在第9A~9P圖中共同描述以及說明。從第9A圖開始,將光罩600移動到一位置,使得第一次場(1,1)被光罩310的第一子區域所覆蓋。具體而言,光罩600自第一次場(1,1)的頂點920中沿X方向移動第一距離Dx(對應於次場的維度(dimension))以及沿Y方向移動第二距離Dy(對應於次場的維度)。值得注意的是,第9A圖以更好理 解的方式顯示。一般而言,子區域與次場的維度不同。然而,子區域中的IC圖案的圖像是對齊的,並映射到具有相同維度的次場。值得注意的是,步進為光罩與晶圓310之間的相對運動。一般而言,晶圓相對於光罩移動。為了簡單地說明以及更加地理解,其被描述為光罩相對於晶圓步進地移動。接著,以小於最佳曝光劑量Eop的曝光劑量掃描光罩600以在第一次場(1,1)中形成IC圖案。基於光罩的位置,光罩600的一子區域僅曝光第一次場。
參閱第9B圖,光罩600沿Y方向移動Dy,以覆蓋另一次場。接著,掃描光罩600以在兩個次場(1,1)以及(1,2)上形成IC圖案。換言之,僅曝光兩個次場(1,1)以及(1,2)。
參閱第9C圖,光罩600沿Y方向移動另一Dy,以覆蓋另一次場。接著,掃描光罩600以在兩個次場(1,2)以及(1,3)上形成IC圖案。
參閱第9D圖,光罩600沿Y方向移動另一Dy。接著,掃描光罩600以在兩個次場(1,3)以及(1,4)上形成IC圖案。在本示例中,仍然會曝光兩個次場。
參閱第9E圖,光罩600沿Y方向移動Dy。接著,掃描光罩600以在一個次場(1,4)上形成IC圖案。
參閱第9F圖,光罩600沿X方向移動Dx。接著,掃描光罩600以在兩個次場(1,4)以及(2,4)上形成IC圖案。
參閱第9G圖,光罩600沿-Y方向移動Dy。接著,掃描光罩600以在包括四個次場(1、4)、(1、3)、(2、3)以及(2,4)的完整的場上形成IC圖案。
參閱第9H圖,光罩600沿-Y方向移動Dy。接著,掃描光罩600以在四個次場(1,2)、(1,3)、(2,2)以及(2,3)上形成IC圖案。
參閱第9I圖,光罩600沿-Y方向移動Dy。接著,掃描光罩600以在四個次場(1,1)、(1,2)、(2,1)以及(2,2)上形成IC圖案。
參閱第9J圖,光罩600沿-Y方向移動Dy。接著,掃描光罩600以僅在兩個次場(1,1)以及(2,1)上形成IC圖案。
參閱第9K圖,光罩600沿X方向移動Dx。接著,掃描光罩600以僅在兩個次場(2,1)以及(3,1)上形成IC圖案。
類似地,如第9K~9P圖所示,這種步進掃描過程一直持續到基板310的次場被耗盡為止。特別的是,這種步進掃描過程將一直持續直到基板310的每個次場分別由光罩600的Nx*Ny子區域的IC圖案曝光Nx*Ny次為止。在本示例中,每個次場分別在四個子區域中以相同的IC圖案曝光2*2次。因此,第一組曝光製程已經完成。值得注意的是,Dx以及Dy的步距與傳統的曝光製程不同。在一般曝光製程中,步距與整個場的維度相對應,即Nx*Dx以及Ny*Dy(或在本示例中為2Dx以及2Dy)。由於上述掃描的一子集並未曝光一完整的場尺寸(一些僅曝光一個次場,而一些僅曝光兩個次場),因此需要更多的步進以及掃描來取得完整的覆蓋(每個次場需曝光在Nx*Ny中)。在這種情況下,第一組曝光製程需要(3*Nx+1)*(2*Ny+1)個步進掃描動作(週期)。根據以下之內容將可理解。在常規的單一微影製程中,如果基板310包括3*2個場,則步進掃描動作包括3*2 個。在混合微影曝光製程中,每個次場皆曝光Nx*Ny次。所有步進掃描動作應該為(3*Nx)*(2*Ny)。然而,由於某些步進以及掃描的操作並未覆蓋整個場(或在本示例中是4個次場)。因此需要額外的動作。因此,第一組曝光製程最後具有(3*Nx+1)*(2*Ny+1)個步進掃描動作。這應該考慮到製造成本函數中的晶圓製造成本。
請參閱第8圖,混合微影曝光製程持續進行到其它組曝光製程(類似於前述的第一組),例如在方塊760中對基板上的阻劑層使用第一光罩的第二曝光製程;…以及在方塊770中對基板上的阻劑層使用第M個光罩的第m組曝光製程。
在第9A~9P圖的描述中,共同描述了第一組曝光製程。然而,只要每個次場分別由光罩600的Nx*Ny個子區域的IC圖案曝光Nx*Ny次,即可設計不同的步進掃描動作順序。群組內的Nx*Ny個曝光製程可用不同的順序來實現。第一組曝光製程包括Nx*Ny個(在本示例中為2*2)曝光製程。第一組曝光製程中之每一者包括步進以及掃描動作,但有三個不同。第一,開始的步進位置不同,因此在不同的曝光製程中具有不同的位移。第二,步距為整個場的維度(即沿著X方向移動Nx*Dx、沿Y方向移動Ny*Dy),因此在同一曝光製程中相鄰的掃描動作之間具有重疊覆蓋。第三,每個曝光製程可能需要不同數量的步進掃描週期。
在此更進一步地以使用光罩600作為一示例。光罩600包括四個子區域。因此,與一光罩有關的每個組中有4個曝光製程。第一組中的第一曝光製程包括第一步進動作,其具有 如第9A圖所示的一起始位置。在這種情況下,第一掃描動作僅曝光一次場(1,1),接著如第9C圖所示,其沿著Y方向移動Ny*Dy。第二掃描動作僅曝光兩個次場(1,2)以及(1,3)。接著如第9E圖所示,其沿著Y方向移動Ny*Dy。第三掃描動作僅曝光一次場(1,4),接著沿著X方向移動Nx*Dx。後續的掃描動作僅曝光兩個次場(2,4)以及(3,4)。如第9L圖所示,其一直持續到最後一個步進動作到達次場(6,1)為止,並接著掃描這個次場。第一曝光製程總共需要12個步進掃描週期。
第一組第二曝光製程包括一第一步進動作,其具有如第9B圖所示的一起始位置。在這種情況下,第一掃描動作僅曝光兩個次場(1,1)以及(1,2)。接著,如第9D圖所示,其沿著Y方向移動Ny*Dy。第二掃描動作僅曝光兩個次場(1,3)以及(1,4)。接著,其沿著X方向移動Nx*Dx以覆蓋四個次場(2,3)、(3,3)、(2,4)以及(3,4)。如第9M圖所示,其一直持續到最後一個步進動作到達次場(6,1)以及(6,2)為止。後續的掃描動作曝光這兩個次場。第二曝光製程總共需要8個步進掃描週期。
第一組第三曝光製程包括一第一步進動作,其具有如第9J圖所示的一起始位置。在這種情況下,第一掃描動作曝光兩個次場(1,1)以及(2,1)。接著,如第9H圖所示,其沿著Y方向移動Ny*Dy。第二掃描動作曝光包括四個次場(1,2)、(2,2)、(1,3)以及(2,3)的整個場。接著,如第9F圖所示,其沿著Y方向移動Ny*Dy以覆蓋兩個次場(1,4)以及(2,4)。後續的掃描動作曝光這兩個次場。其一直持續到最後一個步進動作到達次場(5,4)以及(6,4)為止。後續的掃描動作曝光這兩個次場。第三曝光製 程總共需要9個步進掃描週期。
第一組第四曝光製程包括第一步進動作,其具有如第9I圖中所示的一起始位置。在本示例中,第一掃描動作曝光包括四個次場(1,1)、(2,1)、(1,2)以及(2,2)的整個場。接著如第9G圖所示,其沿著Y方向移動Ny*Dy。第二掃描動作僅曝光包括四個次場(1,3)、(2,3)、(1,4)以及(2,4)的整個場。接著,其沿著X方向移動Nx*Dx以覆蓋四個次場(3,3)、(4,3)、(3,4)以及(4,4)。後續的掃描動作曝光包括前述四個次場的整個場。直到最後一個步進到達次場(5,3)、(6,3)、(5,4)以及(6,4)為止。後續的掃描動作將曝光這四個次場。第四曝光製程總共僅需要6次步進掃描週期。第一組中第四曝光製程的總步進掃描週期為35,如前所述,其等於(2*Nx+1)*(2*Ny+1)。以上之描述為基於第6A圖中具有2*2個次場的光罩600。其它混合微影曝光製程使用其它光罩,例如第6B圖的光罩600。
前述的混合微影製程在第10圖中進一步地總結為使用第一光罩之第一組曝光製程750之流程圖。其它組為相似的。第一組曝光製程750包括第一曝光製程810、第二曝光製程820、…、第N曝光製程830。第一組中曝光製程的數量等於N,其進一步地等於Nx*Ny(本示例中為2*2)。第一曝光製程810以一第一起始步進位置以及一第一數量的步進掃描週期施加於阻劑層;第二曝光製程820以一第二起始步長位置以及一第二數量的步進掃描週期施加於阻劑層;...;第N曝光製程830以第N起始步進位置以及第N個數量的步進掃描週期施加於阻劑層。用於N個曝光製程的起始步進位置為不同的,因此每個 次場分別以第一光罩的第N子區域的IC圖案曝光N次。每個曝光製程因為不同的起始步進位置可包括不同數量的步進掃描週期。每個曝光製程以第一光罩的不同子區域上所具有的IC圖案對一相同的次場進行曝光。
在前述的示例中,當光罩移動至同一方向的下一位置時,步距為一常數(固定的)。然而,不僅步進以及掃描順序可不同,步距亦可不同或者亦可改變步距。第11-1~11-35圖提供另一示例,其進一步地描述具有不同步距的混合曝光製程。第11-1~11-35圖顯示一光罩的完整步進以及掃描順序。上述過程可重複到第M個光罩,如第8圖所示。如第11A圖所示,光罩1100包括2*3個子區域。光罩上的子區域被標記為子區域(i,j),其代表沿X方向為第i個且沿著Y方向為第j個的子區域,子區域從左下方開始為(1,1)。晶圓310包括9個場,每個場包括2*3個次場。因此,在本示例中,Nx以及Ny分別為2以及3。類似地,光罩上的次場被標記為次場(i,j),其表示沿著X方向為第i個且沿著Y方向第j個的次場,子區域從左下方開始為(1,1)。
從第11-1圖開始,光罩1100被移到一位置,如此第一次場(1,1)被一子區域覆蓋(在本示例中為子區域(2,3))。假設X以及Y方向上的次場維度分別是Dx以及Dy。在本示例中,從第一次場(1,1)的頂點1110開始,到X方向上的一第一距離為0、在Y方向上的一第二距離Dy、或稱為步進(0,Dy)。值得注意的是,第11-1圖以更好理解的方式顯示。如上所述,子區域與次場的維度不同。然而,子區域中的IC圖案的圖像為對齊的,並映射到具有相同維度的次場。值得注意的是,步進為光 罩與晶圓310之間的相對運動。一般而言,其為相對於光罩移動的晶圓。為了簡化描述以及更加地理解,其被描述光罩為相對於晶圓移動。接著,光罩1100以小於優化曝光劑量Eop的曝光劑量掃描以形成IC圖案在第一次場(1,1)中。由於光罩的位置,僅第一次場被光罩1100的一子區域曝光。在此,第一次場(1,1)被標記為”1”,這表示第一次場(1,1)已被曝光過一次。
請參閱第11-2光罩,光罩1100在Y方向上沿Y方向移動2*Dy,以覆蓋另一次場。接著,對光罩1100進行掃描,以於三個次場(1,1)、(1,2)以及(1,3)上形成IC圖案。換言之,僅三個次場(1,1)、(1,2)以及(1,3)被曝光。這些次場中的數字表示到目前為止已經完成的曝光製程的數量。注意在此步距為2*Dy,與第11-1圖的步距不同。類似地,次場中的數字表示已完成對該次場的曝光製程的數量。在本示例中,對次場(1,1)、(1,2)以及(1,3)的曝光製程的數量分別為2、1以及1。
請參閱第11-3圖,光罩1100在Y方向上又移動另一Dy,以覆蓋另一次場。接著,掃描光罩1100以分別在三個次場(1,2)、(1,3)以及(1,4)上形成IC圖案。
為了簡化說明,一個光罩的混合微影曝光製程將依照第11-1~11-35圖之順序進行說明。自第11-1~11-35圖之步進掃描週期中的步距為(0,Dy)、(0,2Dy)、(0,Dy)、(0,2Dy)、(0,Dy)、(Dx,0)、(0,-Dy)、(0,-2Dy)、(0,-Dy)、(0,-2Dy)、(Dx,0)、(0,2Dy)、(0,Dy)、(0,2Dy)、(0,Dy)、(Dx,0)、(0,-Dy)、(0,-2Dy)、(0,-Dy)、(0,-2Dy)、(Dx,0)、(0,2Dy)、(0,Dy)、(0,2Dy)、(0,Dy)、(Dx,0)、(0,-Dy)、(0,-2Dy)、(0,-Dy)、(0,-2Dy)、(Dx, 0)、(0,2Dy)、(0,Dy)、(0,2Dy)以及(0,Dy)。如第11-35圖所示,對順序進行補充之後,每個次場平均被曝光4次。這個實施例說明了各種替代方案。首先,步距非為常數。舉例來說,從第11-1~11-4圖的前4步,沿Y方向的步距分別是Dy、2Dy、Dy以及2Dy。其次,透過採用不同的、階段性步驟的混合微影製程,一個光罩所獲得的曝光製程的數量非為N=Nx*Ny,而為N'。N'通常小於N,在本示例中,一個光罩所獲得的曝光製程的數量為2*2=4,而非2*3。換言之,N'=Nx'*Ny',其中Nx'以及Ny'小於或最多等於Nx以及Ny。特別是,有一種圖案可於過程中被識別出來。對於如第11-1~11-35圖所示之晶圓310之各個次場而言,每個第1列的次場(例如(1,1)、(2,1)、...、以及(6,1))皆被對應之光罩1100之子區域(1,1)、(1,3)、(2,1)以及(2,3)曝光4次。每個第二列的次場(例如,(1,2)、(2,2)、...、以及(6,2))被對應之光罩1100之4個子區域(1,2)、(1,3)、(2,2)、...、以及(2,3)曝光4次。每個第三列的次場(例如(1,3)、(2,3)、...、以及(6,3))被對應之光罩1100之4個子區域(1,2)、(1,3)、(2,1)、...、以及(2,3)曝光4次。第四、第五以及第六列重複同樣的圖案。
一般而言,透過使用不同且週期性的步驟來實現這種混合微影製程,曝光製程的數量為L'=M*N'=M*Nx'*Ny',其可能等於或小於L=M*N=M*Nx*Ny。在上面的公式中,Nx'為一整數,可為1、2、...、或Nx,以及Ny'為一整數,可為1、2、...、或Ny,附加條件為Nx'*Ny'大於1。換言之,Nx'以及Ny'不能皆為1。在第11-1~11-35圖之光罩1100中,一光 罩的曝光製程數量為N'=Nx'*Ny,其可為1*2、2*1、2*2或2*3。
本發明一些實施例提供一種極紫外線微影(EUVL)方法。EUVL方法為一種混合曝光製程,包括多個曝光製程,每一曝光製程皆使用在不同光罩圖像區域中所定義的IC圖案,該IC圖案與多個光罩以及每個光罩之多個子區域有關。極紫外線微影方法更可包括決定一最佳曝光劑量;根據IC圖案決定一光罩之可用的子區域;根據可用的子區域以及一製造成本函數,決定光罩數量M以及一光罩之子區域參數Nx以及Ny。製造成本函式定義一種與混合微影曝光製程相關的製造成本,以作為光罩製造成本以及晶圓製造成本的函數。該光罩成本更包括空白光罩成本、製造圖案成本以及光罩修復成本。晶圓成本包括步進掃描動作成本。混合微影曝光製程包括一複數曝光製程,其中複數曝光製程之每一者所使用的曝光劑量小於最佳曝光劑量以及複數曝光製程之每一者之曝光劑量之總和約等於最佳曝光劑量。
當不同的光罩圖案(包括不同的光罩以及一光罩的不同子區域)被用於曝光相同的IC圖案時,透過具有多重曝光製程的混合微影曝光製程,一或多個不同的光罩圖案會包括一相位缺陷區域。由於在不同光罩圖案下的曝光劑量小於最佳曝光劑量,因此在不同光罩圖案下的相位缺陷區域的影響大大降低。由於這些缺陷或缺陷區域隨機分佈在各種光罩或這些光罩的各個子區域,因此沒有一個缺陷或缺陷區域將接收一完整的曝光劑量。更具體地,每一缺陷或缺陷區域所影響的空中圖像強度將會降低,從而降低了該缺陷或缺陷區域的可轉印性。
因此,本發明一些實施例係提供一種微影製程方法,包括:形成一阻劑層於一基板上;執行一第一曝光製程以將一第一光罩之一第一子區域之一第一圖案成像至一次場中之一阻劑層;執行一第二曝光製程以將第一光罩之一第二子區域之一第二圖案成像至次場中之上述阻劑層;以及執行一第三曝光製程以將一第二光罩之第一子區域之一第三圖案成像至次場中之阻劑層。其中,第二圖案以及第三圖案與第一圖案相同;以及第一曝光製程、第二曝光製程以及第三曝光製程共同於次場中之阻劑層上形成第一圖案之一潛像(latent image)。
根據本發明一些實施例,微影製程方法更包括一第四曝光製程,用以將第二光罩之一第二子區域之一第四圖案成像至次場中之阻劑層。其中,第四圖案與第一圖案相同。
根據本發明一些實施例,其中來自各個曝光製程之第一圖案之圖像、第二圖案之圖像、第三圖案之圖像以及第四圖案之圖像重疊於阻劑層上。
根據本發明一些實施例,微影製程方法更包括顯影阻劑層以形成具有與第一圖案有關之圖案的一圖案化光阻。
根據本發明一些實施例,其中執行第一曝光製程之步驟包括以一第一曝光劑量執行第一曝光製程;執行第二曝光製程之步驟包括以一第二曝光劑量執行第二曝光製程;執行第三曝光製程之步驟包括以一第三曝光劑量執行第三曝光製程;執行第四曝光製程之步驟包括以第一曝光劑量執行第四曝光製程;第一曝光劑量、第二曝光劑量、第三曝光劑量以及第四曝光劑量共累計成一累計曝光劑量Ds,以共同決定阻劑層上 之第一圖案之一臨界尺寸(CD)。
根據本發明一些實施例,其中累計曝光劑量Ds等於一最佳曝光劑量Eop。其中第一光罩以及第二光罩具有相同的圖案,使得第一光罩以及第二光罩中之每一者包括多個子區域,並且子區域之每一者顯示與第一圖案相同之圖案。
本發明一些實施例係提供一種極紫外線微影製程(EUVL)方法,包括:接收一積體電路(IC)設計佈局;根據積體電路設計佈局,決定複數候選子區域;根據一製造成本函數以及候選子區域,決定子區域參數Nx與Ny以及一光罩參數M;以及根據積體電路設計佈局,製造一第一數量之相同的光罩。其中,第一數量等於光罩參數M,光罩之每一者包括於一陣列中配置Nx*Ny個子區域,並且光罩之每一者上之子區域之每一者顯示一相同圖案。
根據本發明一些實施例,其中製造成本函數被定義為一光罩製造成本以及一微影曝光成本之函數。
根據本發明一些實施例,其中光罩製造成本更包括一光罩製作成本、一空白光罩成本以及一光罩修復成本;微影曝光成本為一步進時間成本以及一掃描時間成本之函數。
根據本發明一些實施例,極紫外線微影製程方法更包括:於一基板上形成一阻劑層;以及利用光罩對阻劑層中一個相同的區域執行一第二數量之曝光製程。其中,第二數量等於M * Nx'* Ny';曝光製程之每一者僅使用光罩之其中一者;曝光製程包括與第一數量之光罩匹配成對之M個群組,從而利用一所匹配成對的光罩來實施每組曝光製程;每組曝光製程更 包括使用所匹配成對的光罩之一相應子區域之Nx' * Ny'個曝光製程;第二數量之曝光製程共同於阻劑層之區域中形成圖案之一潛像,其中Nx'為值為1、2、...或Nx之整數,以及Ny'為值為1、2、...或Ny之整數,其中Nx'* Ny'大於1。
根據本發明一些實施例,極紫外線微影製程方法更包括:顯影阻劑層以於區域中形成具有圖案之一圖案化光阻。
根據本發明一些實施例,極紫外線微影製程方法更包括決定阻劑層之一最佳曝光劑量Eop
根據本發明一些實施例,其中阻劑層之區域藉由第二數量之曝光製程以對應的曝光劑量曝光M * Nx'* Ny'次,曝光劑量之每一者小於最佳曝光劑量。
根據本發明一些實施例,其中來自曝光製程之對應的曝光劑量共累加成一累計曝光劑量Es,其中累計曝光劑量Es等於最佳曝光劑量Eop
根據本發明一些實施例,其中對應的曝光劑量等於Eop/M * Nx'* Ny'。
本發明一些實施例係提供一種微影製程方法,包括:接收一第一數量(M個)的光罩,光罩之每一者具有Nx * Ny個子區域配置於一陣列中,其中Nx以及Ny為整數,且分別定義沿著x以及y方向之子區域的數量,其中光罩之子區域之圖案皆與一電路圖案相同;形成一阻劑層於一基板上;以及對阻劑層執行一第二數量之曝光製程。其中,第二數量等於M * Nx'* Ny';曝光製程包括與第一數量之光罩匹配成對的M個群組,從 而利用一所匹配成對的光罩來實施每組曝光製程;每組曝光製程更包括具有彼此不同之一對應步進位置之Nx' * Ny'個曝光製程;第二數量之曝光製程共同於阻劑層上形成電路圖案之一潛像;Nx'為1、2、...或Nx之整數,以及Ny'為1、2、...或Ny之整數,其中Nx'* Ny'大於1。
根據本發明一些實施例,其中曝光製程之每一者將對應的曝光劑量提供給一區域中之阻劑層;來自第二數量之曝光製程之每一者之對應的曝光劑量累加成一累計曝光劑量Es,以共同決定阻劑層之區域上之電路圖案之一臨界尺寸(CD)。
根據本發明一些實施例,其中對阻劑層執行第二數量之曝光製程之步驟包括以不同的步進動作執行第二數量之曝光製程,其中Nx'小於Nx以及Ny'小於Ny
根據本發明一些實施例,其中對阻劑層執行第二數量之曝光製程之步驟包括以一恆定的步進動作執行第二數量之曝光製程,其中Nx'等於Nx以及Ny'等於Ny
前述之實施例或示例已概述本發明之特徵,本領域技術人員可更佳地理解本發明之一實施例。本領域技術人員應當理解,他們可輕易地使用本發明作為用於設計或修改其它過程以及結構以實施相同之目的和/或實現本發明所介紹之實施例或示例之相同優點。本領域技術人員可理解的是,上述等效構造並未脫離本發明之精神以及範圍,並且可於不脫離本發明之精神以及範圍進行各種改變、替換以及更改。

Claims (1)

  1. 一種微影製程方法,包括:形成一阻劑層於一基板上;執行一第一曝光製程以將一第一光罩之一第一子區域之一第一圖案成像至一次場中之一阻劑層;執行一第二曝光製程以將上述第一光罩之一第二子區域之一第二圖案成像至上述次場中之上述阻劑層;以及執行一第三曝光製程以將一第二光罩之第一子區域之一第三圖案成像至上述次場中之上述阻劑層;其中,上述第二圖案以及上述第三圖案與上述第一圖案相同;以及上述第一曝光製程、上述第二曝光製程以及上述第三曝光製程共同於上述次場中之上述阻劑層上形成上述第一圖案之一潛像(latent image)。
TW107126100A 2017-07-28 2018-07-27 微影製程方法及極紫外線微影製程方法 TWI798241B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762537987P 2017-07-28 2017-07-28
US62/537,987 2017-07-28
US15/861,156 2018-01-03
US15/861,156 US10955746B2 (en) 2017-07-28 2018-01-03 Lithography method with reduced impacts of mask defects

Publications (2)

Publication Number Publication Date
TW201910933A true TW201910933A (zh) 2019-03-16
TWI798241B TWI798241B (zh) 2023-04-11

Family

ID=65037892

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107126100A TWI798241B (zh) 2017-07-28 2018-07-27 微影製程方法及極紫外線微影製程方法

Country Status (3)

Country Link
US (2) US10955746B2 (zh)
CN (2) CN115047731A (zh)
TW (1) TWI798241B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11119404B2 (en) 2019-10-10 2021-09-14 Kla Corporation System and method for reducing printable defects on extreme ultraviolet pattern masks

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001230186A (ja) * 2000-02-17 2001-08-24 Hitachi Ltd 半導体集積回路装置の製造方法
US6839126B2 (en) * 2002-01-03 2005-01-04 United Microelectronics Corp. Photolithography process with multiple exposures
TW594849B (en) * 2002-11-27 2004-06-21 Taiwan Semiconductor Mfg Method for eliminating the affection of existing defect by multiple exposure process for semiconductor manufacture
JP2008277318A (ja) * 2007-04-25 2008-11-13 Elpida Memory Inc パターン形成方法
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9075313B2 (en) 2013-03-13 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple exposures in extreme ultraviolet lithography
US9034569B2 (en) * 2013-03-13 2015-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9182659B2 (en) * 2013-09-06 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning

Also Published As

Publication number Publication date
US20190033720A1 (en) 2019-01-31
US10955746B2 (en) 2021-03-23
CN115047731A (zh) 2022-09-13
CN109307989B (zh) 2022-08-09
CN109307989A (zh) 2019-02-05
US20210208505A1 (en) 2021-07-08
TWI798241B (zh) 2023-04-11

Similar Documents

Publication Publication Date Title
TWI679487B (zh) 積體電路製造方法及其製造系統
US7981595B2 (en) Reduced pitch multiple exposure process
JP4464365B2 (ja) 近傍の影響を考慮した光学的近接効果補正を実行する装置、方法およびコンピュータ・プログラム
US7906270B2 (en) Reduced pitch multiple exposure process
TWI600962B (zh) 積體電路設計製造方法以及積體電路設計系統
CN107885043B (zh) 增进微影可印性的方法
US7781149B2 (en) Reduced pitch multiple exposure process
US9075313B2 (en) Multiple exposures in extreme ultraviolet lithography
JP4171647B2 (ja) プロセス・ラチチュードを改善するために利用した補助形態を除去する方法
US9870612B2 (en) Method for repairing a mask
US7148138B2 (en) Method of forming contact hole and method of manufacturing semiconductor device
US8492054B2 (en) Mechanisms for patterning fine features
US9548209B2 (en) Method for integrated circuit fabrication
JP3731566B2 (ja) 露光方法、マスク製造方法および半導体装置の製造方法
JP2006191088A (ja) リソグラフィ・デバイス製造方法
US20210208505A1 (en) Lithography Method With Reduced Impacts of Mask Defects
US11429027B2 (en) Photolithography method and apparatus
US20040197676A1 (en) Method for forming an opening in a light-absorbing layer on a mask
US11415876B2 (en) Method of fabricating a photomask
US20220365438A1 (en) Photolithography method and apparatus
US20210302824A1 (en) Fully Reflective Phase-Edge Mask for EUV Lithography
EP3968089A2 (en) Euv photomask and method of forming mask pattern using the same
KR20230048946A (ko) 반도체 소자의 패턴 형성 방법