TW201834149A - Semiconductor device and method for manufacturing the same - Google Patents

Semiconductor device and method for manufacturing the same Download PDF

Info

Publication number
TW201834149A
TW201834149A TW107104806A TW107104806A TW201834149A TW 201834149 A TW201834149 A TW 201834149A TW 107104806 A TW107104806 A TW 107104806A TW 107104806 A TW107104806 A TW 107104806A TW 201834149 A TW201834149 A TW 201834149A
Authority
TW
Taiwan
Prior art keywords
insulator
oxide
region
conductor
transistor
Prior art date
Application number
TW107104806A
Other languages
Chinese (zh)
Inventor
山崎舜平
遠藤佑太
笹川慎也
長塚修平
Original Assignee
日商半導體能源硏究所股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商半導體能源硏究所股份有限公司 filed Critical 日商半導體能源硏究所股份有限公司
Publication of TW201834149A publication Critical patent/TW201834149A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/07Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common
    • H01L27/0705Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type
    • H01L27/0727Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors
    • H01L27/0733Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type in combination with diodes, or capacitors or resistors in combination with capacitors only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/8258Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using a combination of technologies covered by H01L21/8206, H01L21/8213, H01L21/822, H01L21/8252, H01L21/8254 or H01L21/8256
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1222Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer
    • H01L27/1225Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer with semiconductor materials not belonging to the group IV of the periodic table, e.g. InGaZnO
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1255Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs integrated with passive devices, e.g. auxiliary capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78645Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate
    • H01L29/78648Thin film transistors, i.e. transistors with a channel being at least partly a thin film with multiple gate arranged on opposing sides of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • H03K19/177Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components arranged in matrix form
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/70Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates the floating gate being an electrode shared by two or more components
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/353Generators characterised by the type of circuit or by the means used for producing pulses by the use, as active elements, of field-effect transistors with internal or external positive feedback
    • H03K3/356Bistable circuits
    • H03K3/356008Bistable circuits ensuring a predetermined initial state when the supply voltage has been applied; storing the actual state when the supply voltage fails

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Semiconductor Memories (AREA)
  • Thin Film Transistor (AREA)
  • Non-Volatile Memory (AREA)

Abstract

A favorable semiconductor device for miniaturization and high integration is provided. One embodiment of the present invention includes a first oxide including a first region and second region adjacent to each other, a third region and a fourth region with the first region and the second region provided therebetween, a second oxide over the first region, a first insulator over the second oxide, a first conductor over the first insulator, a second insulator over the second oxide and on side surfaces of the first insulator and the first conductor, a third insulator over the second region and on a side surface of the second insulator, and a second conductor over the second region with the third insulator provided therebetween. A part of the third insulator is positioned between the second conductor and the side surface of the second insulator.

Description

半導體裝置以及半導體裝置的製造方法    Semiconductor device and manufacturing method of semiconductor device   

本發明的一個實施方式係關於一種半導體裝置及半導體裝置的製造方法。此外,本發明的一個實施方式係關於一種半導體晶圓、模組以及電子裝置。 One embodiment of the present invention relates to a semiconductor device and a method for manufacturing the semiconductor device. An embodiment of the present invention relates to a semiconductor wafer, a module, and an electronic device.

注意,在本說明書等中,半導體裝置是指能夠藉由利用半導體特性而工作的所有裝置。除了電晶體等的半導體元件之外,半導體電路、運算裝置或記憶體裝置也是半導體裝置的一個實施方式。顯示裝置(液晶顯示裝置、發光顯示裝置等)、投影裝置、照明設備、電光裝置、蓄電裝置、記憶體裝置、半導體電路、成像裝置及電子裝置等有時包括半導體裝置。 Note that in this specification and the like, a semiconductor device refers to all devices capable of operating by utilizing semiconductor characteristics. In addition to a semiconductor element such as a transistor, a semiconductor circuit, a computing device, or a memory device is also an embodiment of a semiconductor device. Display devices (liquid crystal display devices, light-emitting display devices, etc.), projection devices, lighting equipment, electro-optical devices, power storage devices, memory devices, semiconductor circuits, imaging devices, and electronic devices may include semiconductor devices.

注意,本發明的一個實施方式不侷限於上述技術領域。本說明書等所公開的發明的一個實施方式係關於一種物體、方法或製造方法。另外,本發明的一個實施方式係關於一種製程(process)、機器(machine)、產品(manufacture)或者組合物(composition of matter)。 Note that one embodiment of the present invention is not limited to the above technical field. One embodiment of the invention disclosed in this specification and the like relates to an object, a method, or a manufacturing method. In addition, an embodiment of the present invention relates to a process, a machine, a product, or a composition of matter.

近年來,已對半導體裝置進行開發,主要使用LSI、CPU、記憶體。CPU是包括從半導體晶圓分開的半導體積體電路(至少包括電晶體及 記憶體)且形成有作為連接端子的電極的半導體元件的集合體。 In recent years, semiconductor devices have been developed, mainly using LSIs, CPUs, and memories. The CPU is an aggregate including a semiconductor integrated circuit (including at least a transistor and a memory) separated from a semiconductor wafer, and a semiconductor element formed with electrodes serving as connection terminals.

LSI、CPU、記憶體等的半導體電路(IC晶片)安裝在電路基板例如印刷線路板上,並用作各種電子裝置的構件之一。 Semiconductor circuits (IC chips) such as LSI, CPU, memory, etc. are mounted on a circuit substrate such as a printed wiring board, and are used as one of components of various electronic devices.

此外,藉由使用形成在具有絕緣表面的基板上的半導體薄膜構成電晶體的技術受到注目。該電晶體被廣泛地應用於積體電路(IC)、影像顯示裝置(簡單地記載為顯示裝置)等電子裝置。作為可以應用於電晶體的半導體薄膜,矽類半導體材料被廣泛地周知。但是,作為其他材料,氧化物半導體受到關注。 In addition, a technique of forming a transistor by using a semiconductor thin film formed on a substrate having an insulating surface has attracted attention. This transistor is widely used in electronic devices such as integrated circuits (ICs) and video display devices (referred to simply as display devices). As a semiconductor thin film that can be applied to a transistor, a silicon-based semiconductor material is widely known. However, oxide semiconductors have attracted attention as other materials.

已知使用氧化物半導體的電晶體的非導通狀態下的洩漏電流極小。例如,應用了使用氧化物半導體的電晶體的洩漏電流小的特性的低功耗CPU等已被公開(參照專利文獻1)。 It is known that the leakage current in the non-conductive state of a transistor using an oxide semiconductor is extremely small. For example, a low-power-consumption CPU or the like to which a small leakage current characteristic of a transistor using an oxide semiconductor is applied is disclosed (see Patent Document 1).

另外,公開了如下技術:為了提高電晶體的載子移動率,層疊電子親和力(或導帶底能階)不同的氧化物半導體層的技術(參照專利文獻2及專利文獻3)。 In addition, a technique is disclosed in which oxide semiconductor layers having different electron affinities (or conduction band bottom energy levels) are stacked in order to increase the carrier mobility of a transistor (see Patent Documents 2 and 3).

近年來,隨著電子裝置的小型化和輕量化,對高密度地集成有電晶體等的積體電路的要求提高。此外,有提高包含積體電路的半導體裝置的生產率的需求。 In recent years, with the miniaturization and weight reduction of electronic devices, there is an increasing demand for integrated circuits in which transistors and the like are integrated at high density. In addition, there is a need to improve the productivity of semiconductor devices including integrated circuits.

[專利文獻1]日本專利申請公開第2012-257187號公報 [Patent Document 1] Japanese Patent Application Publication No. 2012-257187

[專利文獻2]日本專利申請公開第2011-124360號公報 [Patent Document 2] Japanese Patent Application Publication No. 2011-124360

[專利文獻3]日本專利申請公開第2011-138934號公報 [Patent Document 3] Japanese Patent Application Publication No. 2011-138934

本發明的一個實施方式的目的之一是提供一種能夠實現微型化或高積體化的半導體裝置。本發明的一個實施方式的目的之一是提供一種生產率高的半導體裝置。本發明的一個實施方式的目的之一是提供一種設計彈性高的半導體裝置。本發明的一個實施方式的目的之一是提供一種能夠抑制功耗的半導體裝置。 An object of one embodiment of the present invention is to provide a semiconductor device capable of miniaturization or high integration. An object of one embodiment of the present invention is to provide a semiconductor device with high productivity. An object of one embodiment of the present invention is to provide a semiconductor device with high design flexibility. An object of one embodiment of the present invention is to provide a semiconductor device capable of suppressing power consumption.

本發明的一個實施方式的目的之一是提供一種具有優良的電特性的半導體裝置。本發明的一個實施方式的目的之一是提供一種能夠長期間保持資料的半導體裝置。本發明的一個實施方式的目的之一是提供一種資料寫入速度快的半導體裝置。本發明的一個實施方式的目的之一是提供一種新穎的半導體裝置。 An object of one embodiment of the present invention is to provide a semiconductor device having excellent electrical characteristics. An object of one embodiment of the present invention is to provide a semiconductor device capable of holding data for a long period of time. An object of one embodiment of the present invention is to provide a semiconductor device having a fast data writing speed. An object of one embodiment of the present invention is to provide a novel semiconductor device.

注意,上述目的的記載不妨礙其他目的的存在。此外,本發明的一個實施方式並不需要實現所有上述目的。另外,這些目的之外的目的根據說明書、圖式、申請專利範圍等的記載來看是自然明瞭的,可以從說明書、圖式、申請專利範圍等的記載得出上述以外的目的。 Note that the description of the above purpose does not prevent the existence of other purposes. In addition, one embodiment of the present invention is not required to achieve all the above-mentioned objects. In addition, the purposes other than these are natural and clear from the description of the description, drawings, and scope of patent application, and other purposes can be derived from the description of the description, drawings, and scope of patent application.

本發明的一個實施方式是一種半導體裝置,該半導體裝置包括:含有相鄰的第一區域和第二區域、以夾持第一區域及第二區域的方式設置的第三區域和第四區域的第一氧化物;第一區域上的第二氧化物;第二氧化物上的第一絕緣體;第一絕緣體上的第一導電體;在第二氧化物上並在第一絕緣體及第一導電體的側面的第二絕緣體;在第二區域上並在第二絕緣體的側面的第三絕緣體;以及在第二區域上並與第二區域之間夾持第三絕緣體的第二導電體。第三絕緣體的一部分位於第二導電體和第二絕緣體的側面之間。 One embodiment of the present invention is a semiconductor device including a first region and a second region adjacent to each other, and a third region and a fourth region provided to sandwich the first region and the second region. First oxide; second oxide on first region; first insulator on second oxide; first conductor on first insulator; on second oxide and on first insulator and first conductive A second insulator on the side of the body; a third insulator on the second region and on the side of the second insulator; and a second conductor sandwiching the third insulator on and between the second region and the second region. A portion of the third insulator is located between the second conductor and a side surface of the second insulator.

本發明的一個實施方式是一種包括電晶體及電容器的半導體裝置。該半導體裝置還包括:含有相鄰的第一區域和第二區域、以夾持第一區域及第二區域的方式設置的第三區域和第四區域的第一氧化 物;第一區域上的第二氧化物;第二氧化物上的第一絕緣體;第一絕緣體上的第一導電體;在第二氧化物上並在第一絕緣體及第一導電體的側面的第二絕緣體;在第二區域上並在第二絕緣體的側面的第三絕緣體;以及在第二區域上並與第二區域之間夾持第三絕緣體的第二導電體。第三絕緣體的一部分位於第二導電體和第二絕緣體的側面之間。第一區域的一部分被用作電晶體的通道形成區域,第一絕緣體被用作電晶體的閘極絕緣膜,第一導電體被用作電晶體的閘極電極,第二區域被用作電容器的第一電極,第三絕緣體被用作電容器的電介質,第二導電體被用作電容器的第二電極。 One embodiment of the present invention is a semiconductor device including a transistor and a capacitor. The semiconductor device further includes: a first oxide including a first region and a second region adjacent to each other, a third region and a fourth region provided to sandwich the first region and the second region; A second oxide; a first insulator on the second oxide; a first conductor on the first insulator; a second insulator on the second oxide and on the sides of the first insulator and the first conductor; A third insulator on the two regions and on the side of the second insulator; and a second conductor sandwiching the third insulator on and between the second region and the second region. A portion of the third insulator is located between the second conductor and a side surface of the second insulator. A part of the first region is used as a channel formation region of the transistor, the first insulator is used as a gate insulating film of the transistor, the first conductor is used as a gate electrode of the transistor, and the second region is used as a capacitor The first electrode, the third insulator are used as the dielectric of the capacitor, and the second conductor is used as the second electrode of the capacitor.

在上述結構中,第四區域相鄰於第二區域,第三區域被用作電晶體的源極和汲極中的一個,第二區域及第四區域被用作電晶體的源極和汲極中的另一個。 In the above structure, the fourth region is adjacent to the second region, the third region is used as one of the source and the drain of the transistor, and the second and fourth regions are used as the source and the drain of the transistor. The other of the poles.

在上述結構中,第一氧化物設置在第三導電體上,第四區域的底面與第三導電體的頂面接觸。 In the above structure, the first oxide is disposed on the third conductor, and the bottom surface of the fourth region is in contact with the top surface of the third conductor.

本發明的一個實施方式是一種半導體裝置,該半導體裝置包括:含有相鄰的第一區域和第二區域、以夾持第一區域及第二區域的方式設置的第三區域和第四區域的第一氧化物;第一區域上的第二氧化物;第二氧化物上的第一絕緣體;第一絕緣體上的第一導電體;在第二氧化物上並在第一絕緣體及第一導電體的側面的第二絕緣體;在第二區域上並在第二絕緣體的側面的第三絕緣體;以及在第二區域上並與第二區域之間夾持第三絕緣體的第二導電體;以及隔著第二區域與第二導電體重疊的第三導電體。第三絕緣體的一部分位於第二導電體和第二絕緣體的側面之間。 One embodiment of the present invention is a semiconductor device including a first region and a second region adjacent to each other, and a third region and a fourth region provided to sandwich the first region and the second region. First oxide; second oxide on first region; first insulator on second oxide; first conductor on first insulator; on second oxide and on first insulator and first conductive A second insulator on the side of the body; a third insulator on the second region and on the side of the second insulator; and a second conductor sandwiching the third insulator on and between the second region and the second region; and A third conductor overlapping the second conductor with the second region interposed therebetween. A portion of the third insulator is located between the second conductor and a side surface of the second insulator.

本發明的一個實施方式是一種包括電晶體及電容器的半導體裝置。該半導體裝置還包括:含有相鄰的第一區域和第二區域、以夾持 第一區域及第二區域的方式設置的第三區域和第四區域的第一氧化物;第一區域上的第二氧化物;第二氧化物上的第一絕緣體;第一絕緣體上的第一導電體;在第二氧化物上並在第一絕緣體及第一導電體的側面的第二絕緣體;在第二區域上並在第二絕緣體的側面的第三絕緣體;以及在第二區域上並與第二區域之間夾持第三絕緣體的第二導電體;以及隔著第二區域與第二導電體重疊的第三導電體。第三絕緣體的一部分位於第二導電體和第二絕緣體的側面之間。第一區域的一部分被用作電晶體的通道形成區域,第一絕緣體被用作電晶體的閘極絕緣膜,第一導電體被用作電晶體的閘極電極,第二區域被用作電容器的第一電極,第三絕緣體被用作電容器的電介質,第二導電體被用作電容器的第二電極,第三導電體被用作與電晶體電連接的插頭。 One embodiment of the present invention is a semiconductor device including a transistor and a capacitor. The semiconductor device further includes: a first oxide including a first region and a second region adjacent to each other, a third region and a fourth region provided to sandwich the first region and the second region; A second oxide; a first insulator on the second oxide; a first conductor on the first insulator; a second insulator on the second oxide and on the sides of the first insulator and the first conductor; A third insulator on two regions and on the side of the second insulator; and a second conductor sandwiching the third insulator on and between the second region and the second region; and a second conductor with the second region interposed therebetween Overlapping third electrical conductor. A portion of the third insulator is located between the second conductor and a side surface of the second insulator. A part of the first region is used as a channel formation region of the transistor, the first insulator is used as a gate insulating film of the transistor, the first conductor is used as a gate electrode of the transistor, and the second region is used as a capacitor The first electrode and the third insulator are used as the dielectric of the capacitor, the second conductor is used as the second electrode of the capacitor, and the third conductor is used as a plug electrically connected to the transistor.

在上述結構中,第二區域被用作電晶體的源極和汲極中的一個,第三區域被用作電晶體的源極和汲極中的另一個。 In the above structure, the second region is used as one of the source and the drain of the transistor, and the third region is used as the other of the source and the drain of the transistor.

在上述結構中,第一氧化物設置在第三導電體上,第二區域的底面與第三導電體的頂面接觸。 In the above structure, the first oxide is disposed on the third conductor, and the bottom surface of the second region is in contact with the top surface of the third conductor.

在上述結構中,第二絕緣體包含含有鋁和鉿中的一個或兩個的氧化物。 In the above structure, the second insulator includes an oxide containing one or both of aluminum and rhenium.

在上述結構中,第一氧化物包含In、元素M(M為Al、Ga、Y或Sn)及Zn。 In the above structure, the first oxide includes In, elements M (M is Al, Ga, Y, or Sn), and Zn.

在上述結構中,第二氧化物包含In、元素M(M為Al、Ga、Y或Sn)及Zn。 In the above structure, the second oxide includes In, elements M (M is Al, Ga, Y, or Sn), and Zn.

根據本發明的一個實施方式,可以提供一種能夠實現微型化或高積體化的半導體裝置。根據本發明的一個實施方式,可以提供一種生 產率高的半導體裝置。根據本發明的一個實施方式,可以提供一種設計彈性高的半導體裝置。根據本發明的一個實施方式,可以提供一種能夠抑制功耗的半導體裝置。 According to one embodiment of the present invention, it is possible to provide a semiconductor device capable of miniaturization or high integration. According to one embodiment of the present invention, a semiconductor device with high productivity can be provided. According to one embodiment of the present invention, a semiconductor device with high design flexibility can be provided. According to one embodiment of the present invention, a semiconductor device capable of suppressing power consumption can be provided.

根據本發明的一個實施方式,可以提供一種其製程簡化的半導體裝置以及其製造方法。根據本發明的一個實施方式,可以提供一種面積被縮小的半導體裝置以及其製造方法。 According to an embodiment of the present invention, a semiconductor device whose manufacturing process is simplified and a manufacturing method thereof can be provided. According to an embodiment of the present invention, a semiconductor device having a reduced area and a method of manufacturing the same can be provided.

根據本發明的一個實施方式,可以提供一種具有優良的電特性的半導體裝置。根據本發明的一個實施方式,可以提供一種能夠長期間保持資料的半導體裝置。根據本發明的一個實施方式,可以提供一種資料寫入速度快的半導體裝置。根據本發明的一個實施方式,可以提供一種新穎的半導體裝置。 According to one embodiment of the present invention, a semiconductor device having excellent electrical characteristics can be provided. According to one embodiment of the present invention, a semiconductor device capable of holding data for a long period of time can be provided. According to an embodiment of the present invention, a semiconductor device having a fast data writing speed can be provided. According to one embodiment of the present invention, a novel semiconductor device can be provided.

注意,這些效果的記載不妨礙其他效果的存在。此外,本發明的一個實施方式並不需要具有所有上述效果。另外,這些效果之外的效果根據說明書、圖式、申請專利範圍等的記載來看是自然明瞭的,可以從說明書、圖式、申請專利範圍等的記載得出上述以外的效果。 Note that the description of these effects does not prevent the existence of other effects. In addition, one embodiment of the present invention does not need to have all of the above effects. In addition, effects other than these effects are naturally clear from the description of the description, drawings, and scope of patent application, and other effects can be obtained from the description of the description, drawings, and scope of patent application.

100‧‧‧電容器 100‧‧‧Capacitor

100a‧‧‧電容器 100a‧‧‧capacitor

100b‧‧‧電容器 100b‧‧‧capacitor

120‧‧‧導電體 120‧‧‧Conductor

120A‧‧‧導電膜 120A‧‧‧Conductive film

130‧‧‧絕緣體 130‧‧‧ insulator

130A‧‧‧絕緣膜 130A‧‧‧Insulation film

150‧‧‧絕緣體 150‧‧‧ insulator

200‧‧‧電晶體 200‧‧‧ Transistor

200a‧‧‧電晶體 200a‧‧‧ Transistor

200b‧‧‧電晶體 200b‧‧‧Transistor

205‧‧‧導電體 205‧‧‧Conductor

205a‧‧‧導電體 205a‧‧‧Conductor

205b‧‧‧導電體 205b‧‧‧Conductor

207‧‧‧導電體 207‧‧‧Conductor

207a‧‧‧導電體 207a‧‧‧Conductor

207b‧‧‧導電體 207b‧‧‧Conductor

210‧‧‧絕緣體 210‧‧‧ insulator

212‧‧‧絕緣體 212‧‧‧ insulator

214‧‧‧絕緣體 214‧‧‧ insulator

216‧‧‧絕緣體 216‧‧‧ insulator

218‧‧‧導電體 218‧‧‧Conductor

220‧‧‧絕緣體 220‧‧‧ insulator

222‧‧‧絕緣體 222‧‧‧ insulator

224‧‧‧絕緣體 224‧‧‧ insulator

230‧‧‧氧化物 230‧‧‧oxide

230a‧‧‧氧化物 230a‧‧‧oxide

230A‧‧‧氧化膜 230A‧‧‧oxide film

230b‧‧‧氧化物 230b‧‧‧oxide

230B‧‧‧氧化膜 230B‧‧‧ oxide film

230c‧‧‧氧化物 230c‧‧‧oxide

230C‧‧‧氧化膜 230C‧‧‧oxide film

231‧‧‧區域 231‧‧‧area

231a‧‧‧區域 231a‧‧‧area

231b‧‧‧區域 231b‧‧‧area

232‧‧‧接合區域 232‧‧‧Joint Area

232a‧‧‧接合區域 232a‧‧‧Joint area

232b‧‧‧接合區域 232b‧‧‧Joint area

233‧‧‧區域 233‧‧‧area

234‧‧‧區域 234‧‧‧area

239‧‧‧區域 239‧‧‧area

250‧‧‧絕緣體 250‧‧‧ insulator

250A‧‧‧絕緣膜 250A‧‧‧Insulation film

252‧‧‧導電體 252‧‧‧Conductor

252a‧‧‧導電體 252a‧‧‧conductor

252b‧‧‧導電體 252b‧‧‧conductor

252c‧‧‧導電體 252c‧‧‧Conductor

252d‧‧‧導電體 252d‧‧‧Conductor

260‧‧‧導電體 260‧‧‧Conductor

260a‧‧‧導電體 260a‧‧‧Conductor

260A‧‧‧導電膜 260A‧‧‧Conductive film

260b‧‧‧導電體 260b‧‧‧conductor

260B‧‧‧導電膜 260B‧‧‧Conductive film

260c‧‧‧導電體 260c‧‧‧Conductor

260C‧‧‧導電膜 260C‧‧‧Conductive film

270‧‧‧絕緣體 270‧‧‧ insulator

270A‧‧‧絕緣膜 270A‧‧‧Insulation film

271‧‧‧絕緣體 271‧‧‧ insulator

271A‧‧‧絕緣膜 271A‧‧‧Insulation film

272‧‧‧絕緣體 272‧‧‧ insulator

272A‧‧‧絕緣膜 272A‧‧‧Insulation film

274‧‧‧絕緣體 274‧‧‧ insulator

274A‧‧‧絕緣膜 274A‧‧‧Insulation film

280‧‧‧絕緣體 280‧‧‧ insulator

280A‧‧‧絕緣膜 280A‧‧‧Insulation film

286‧‧‧絕緣體 286‧‧‧ insulator

300‧‧‧電晶體 300‧‧‧ Transistor

311‧‧‧基板 311‧‧‧ substrate

313‧‧‧半導體區域 313‧‧‧Semiconductor area

314a‧‧‧低電阻區域 314a‧‧‧Low resistance area

314b‧‧‧低電阻區域 314b‧‧‧Low resistance area

315‧‧‧絕緣體 315‧‧‧ insulator

316‧‧‧導電體 316‧‧‧conductor

320‧‧‧絕緣體 320‧‧‧ insulator

322‧‧‧絕緣體 322‧‧‧ insulator

324‧‧‧絕緣體 324‧‧‧ insulator

326‧‧‧絕緣體 326‧‧‧ insulator

328‧‧‧導電體 328‧‧‧conductor

330‧‧‧導電體 330‧‧‧Conductor

350‧‧‧絕緣體 350‧‧‧ insulator

352‧‧‧絕緣體 352‧‧‧ insulator

354‧‧‧絕緣體 354‧‧‧ insulator

356‧‧‧導電體 356‧‧‧Conductor

360‧‧‧絕緣體 360‧‧‧ insulator

362‧‧‧絕緣體 362‧‧‧ insulator

364‧‧‧絕緣體 364‧‧‧ insulator

366‧‧‧導電體 366‧‧‧Conductor

370‧‧‧絕緣體 370‧‧‧ insulator

372‧‧‧絕緣體 372‧‧‧ insulator

374‧‧‧絕緣體 374‧‧‧ insulator

376‧‧‧導電體 376‧‧‧conductor

380‧‧‧絕緣體 380‧‧‧ insulator

382‧‧‧絕緣體 382‧‧‧ insulator

384‧‧‧絕緣體 384‧‧‧ insulator

386‧‧‧導電體 386‧‧‧conductor

600‧‧‧單元 600‧‧‧Unit

600a‧‧‧單元 600a‧‧‧Unit

600b‧‧‧單元 600b‧‧‧unit

在圖式中:圖1A至圖1C是根據本發明的一個實施方式的半導體裝置的俯視圖及剖面圖;圖2A和圖2B是根據本發明的一個實施方式的半導體裝置的剖面圖;圖3A至圖3C是根據本發明的一個實施方式的半導體裝置的俯視圖及剖面圖;圖4是根據本發明的一個實施方式的半導體裝置的剖面圖; 圖5A至圖5C是根據本發明的一個實施方式的半導體裝置的俯視圖及剖面圖;圖6A至圖6C是根據本發明的一個實施方式的半導體裝置的俯視圖及剖面圖;圖7A至圖7C是示出根據本發明的一個實施方式的半導體裝置的製造方法的俯視圖及剖面圖;圖8A至圖8C是示出根據本發明的一個實施方式的半導體裝置的製造方法的俯視圖及剖面圖;圖9A至圖9C是示出根據本發明的一個實施方式的半導體裝置的製造方法的俯視圖及剖面圖;圖10A至圖10C是示出根據本發明的一個實施方式的半導體裝置的製造方法的俯視圖及剖面圖;圖11A至圖11C是示出根據本發明的一個實施方式的半導體裝置的製造方法的俯視圖及剖面圖;圖12A至圖12C是示出根據本發明的一個實施方式的半導體裝置的製造方法的俯視圖及剖面圖;圖13A至圖13C是示出根據本發明的一個實施方式的半導體裝置的製造方法的俯視圖及剖面圖;圖14A至圖14C是示出根據本發明的一個實施方式的半導體裝置的製造方法的俯視圖及剖面圖;圖15A至圖15C是示出根據本發明的一個實施方式的半導體裝置的製造方法的俯視圖及剖面圖;圖16A至圖16C是示出根據本發明的一個實施方式的半導體裝置的製造方法的俯視圖及剖面圖;圖17A至圖17C是示出根據本發明的一個實施方式的半導體裝置的製造方法的俯視圖及剖面圖;圖18A至圖18C是示出根據本發明的一個實施方式的半導體裝置的製造方法的俯視圖及剖面圖;圖19A至圖19C是示出根據本發明的一個實施方式的半導體裝置 的製造方法的俯視圖及剖面圖;圖20A至圖20C是示出根據本發明的一個實施方式的半導體裝置的製造方法的俯視圖及剖面圖;圖21A至圖21C是根據本發明的一個實施方式的半導體裝置的俯視圖及剖面圖;圖22A至圖22D是根據本發明的一個實施方式的半導體裝置的俯視圖及剖面圖;圖23A和圖23B是根據本發明的一個實施方式的半導體裝置的電路圖及剖面圖;圖24A和圖24B是根據本發明的一個實施方式的半導體裝置的電路圖及剖面圖;圖25A至圖25C是根據本發明的一個實施方式的半導體裝置的俯視圖及剖面圖;圖26A至圖26C是根據本發明的一個實施方式的半導體裝置的俯視圖及剖面圖;圖27是示出根據本發明的一個實施方式的記憶體裝置的結構的剖面圖;圖28是示出根據本發明的一個實施方式的記憶體裝置的結構的剖面圖;圖29是示出根據本發明的一個實施方式的記憶體裝置的結構實例的方塊圖;圖30A至圖30E是示出根據本發明的一個實施方式的記憶體裝置的結構實例的電路圖;圖31是示出根據本發明的一個實施方式的記憶體裝置的結構實例的方塊圖;圖32A和圖32B是示出根據本發明的一個實施方式的記憶體裝置的結構實例的方塊圖及電路圖;圖33A至圖33C是示出根據本發明的一個實施方式的半導體裝置的結構實例的方塊圖; 圖34A和圖34B是示出根據本發明的一個實施方式的半導體裝置的結構實例的方塊圖和電路圖,圖34C是示出半導體裝置的工作實例的時序圖;圖35是示出根據本發明的一個實施方式的半導體裝置的結構實例的方塊圖;圖36A是示出根據本發明的一個實施方式的半導體裝置的結構實例的電路圖,圖36B是示出半導體裝置的工作實例的時序圖;圖37是示出根據本發明的一個實施方式的AI系統的結構實例的方塊圖;圖38A和圖38B是說明根據本發明的一個實施方式的AI系統的應用例的方塊圖;圖39是示出安裝有根據本發明的一個實施方式的AI系統的IC的結構實例的透視示意圖;圖40A至圖40F是示出根據本發明的一個實施方式的電子裝置的圖。 In the drawings: FIGS. 1A to 1C are a plan view and a cross-sectional view of a semiconductor device according to an embodiment of the present invention; FIGS. 2A and 2B are cross-sectional views of a semiconductor device according to an embodiment of the present invention; 3C is a top view and a cross-sectional view of a semiconductor device according to an embodiment of the present invention; FIG. 4 is a cross-sectional view of a semiconductor device according to an embodiment of the present invention; FIGS. 5A to 5C are views according to an embodiment of the present invention Top and cross-sectional views of a semiconductor device; FIGS. 6A to 6C are top and cross-sectional views of a semiconductor device according to an embodiment of the present invention; and FIGS. 7A to 7C are views illustrating manufacturing of a semiconductor device according to an embodiment of the present invention A plan view and a cross-sectional view of a method; FIGS. 8A to 8C are a plan view and a cross-sectional view showing a method of manufacturing a semiconductor device according to an embodiment of the present invention; and FIGS. 9A to 9C are views illustrating a method according to an embodiment of the present invention. A plan view and a cross-sectional view of a method of manufacturing a semiconductor device; FIGS. 10A to 10C are diagrams illustrating a semiconductor device according to an embodiment of the present invention. Top and cross-sectional views of a manufacturing method; FIGS. 11A to 11C are top and cross-sectional views illustrating a method of manufacturing a semiconductor device according to an embodiment of the present invention; and FIGS. 12A to 12C illustrate one embodiment of the present invention. Plan view and cross-sectional view of a method for manufacturing a semiconductor device; FIGS. 13A to 13C are plan views and cross-sectional views illustrating a method of manufacturing a semiconductor device according to an embodiment of the present invention; and FIGS. 14A to 14C illustrate a method according to the present invention. FIGS. 15A to 15C are a plan view and a cross-sectional view showing a method for manufacturing a semiconductor device according to an embodiment of the present invention; FIGS. 16A to 16C are views A plan view and a cross-sectional view of a method for manufacturing a semiconductor device according to an embodiment of the present invention; FIGS. 17A to 17C are a plan view and a cross-sectional view showing a method of manufacturing a semiconductor device according to an embodiment of the present invention; FIGS. 18A to 18 18C is a plan view and a cross-sectional view illustrating a method of manufacturing a semiconductor device according to an embodiment of the present invention; 19A to 19C are a plan view and a cross-sectional view illustrating a method of manufacturing a semiconductor device according to an embodiment of the present invention; FIGS. 20A to 20C are plan views and a plan view illustrating a method of manufacturing a semiconductor device according to an embodiment of the present invention; 21A to 21C are a plan view and a cross-sectional view of a semiconductor device according to an embodiment of the present invention; FIGS. 22A to 22D are a plan view and a cross-sectional view of a semiconductor device according to an embodiment of the present invention; 23B is a circuit diagram and a cross-sectional view of a semiconductor device according to an embodiment of the present invention; FIGS. 24A and 24B are a circuit diagram and a cross-sectional view of a semiconductor device according to an embodiment of the present invention; and FIGS. 25A to 25C are according to the present invention A plan view and a cross-sectional view of a semiconductor device according to an embodiment of the present invention; FIGS. 26A to 26C are a plan view and a cross-sectional view of a semiconductor device according to an embodiment of the present invention; and FIG. 27 is a diagram illustrating a memory according to an embodiment of the present invention Sectional view of the structure of the device; FIG. 28 is a block diagram showing a memory device according to an embodiment of the present invention FIG. 29 is a block diagram illustrating a configuration example of a memory device according to an embodiment of the present invention; FIGS. 30A to 30E are configuration examples of a memory device according to an embodiment of the present invention FIG. 31 is a block diagram illustrating a configuration example of a memory device according to an embodiment of the present invention; FIGS. 32A and 32B are block diagrams illustrating a configuration example of a memory device according to an embodiment of the present invention FIGS. 33A to 33C are block diagrams illustrating a configuration example of a semiconductor device according to an embodiment of the present invention; FIGS. 34A and 34B are configuration examples of a semiconductor device according to an embodiment of the present invention FIG. 34C is a timing chart showing a working example of a semiconductor device; FIG. 35 is a block diagram showing a structural example of a semiconductor device according to an embodiment of the present invention; FIG. 36A is a view showing a structural example according to the present invention FIG. 36B is a timing chart showing a working example of the semiconductor device; FIG. 37 is a circuit diagram A block diagram of a configuration example of an AI system according to an embodiment of the present invention; FIGS. 38A and 38B are block diagrams illustrating an application example of the AI system according to an embodiment of the present invention; A schematic perspective view of a structural example of an IC of an AI system according to an embodiment of the invention; FIGS. 40A to 40F are diagrams showing an electronic device according to an embodiment of the invention.

下面,參照圖式對實施方式進行說明。但是,所屬技術領域的通常知識者可以很容易地理解一個事實,就是實施方式可以以多個不同形式來實施,其方式和詳細內容可以在不脫離本發明的精神及其範圍的條件下被變換為各種各樣的形式。因此,本發明不應該被解釋為僅限定在下面的實施方式所記載的內容中。 Hereinafter, embodiments will be described with reference to the drawings. However, those skilled in the art can easily understand the fact that the implementation can be implemented in many different forms, and the manner and details can be changed without departing from the spirit and scope of the present invention. For various forms. Therefore, the present invention should not be interpreted as being limited to the content described in the following embodiments.

在圖式中,為便於清楚地說明,有時誇大表示大小、層的厚度或區域。因此,本發明並不一定限定於上述尺寸。此外,在圖式中,示意性地示出理想的例子,因此本發明不侷限於圖式所示的形狀或數值等。例如,在實際的製程中,有時由於蝕刻等處理而層或光阻遮罩等非意圖性地被減薄,但是為了便於理解有時省略圖示。另外,在圖式 中,有時在不同的圖式之間共同使用相同的元件符號來表示相同的部分或具有相同功能的部分,而省略其重複說明。此外,當表示具有相同功能的部分時有時使用相同的陰影線,而不特別附加元件符號。 In the drawings, the size, thickness, or area of an layer is sometimes exaggerated for clarity. Therefore, the present invention is not necessarily limited to the above dimensions. In addition, since ideal examples are schematically shown in the drawings, the present invention is not limited to the shapes, numerical values, and the like shown in the drawings. For example, in an actual manufacturing process, a layer or a photoresist mask may be thinned unintentionally due to a process such as etching, but the illustration may be omitted for ease of understanding. In addition, in the drawings, the same element symbols may be commonly used between different drawings to indicate the same parts or parts having the same functions, and repeated descriptions thereof are omitted. In addition, the same hatching is sometimes used when representing parts having the same function, and element symbols are not particularly attached.

另外,尤其在俯視圖(也稱為平面圖)或透視圖等中,為了便於對發明的理解,有時省略部分組件的記載。另外,有時省略部分隱藏線等的記載。 In addition, especially in a plan view (also referred to as a plan view) or a perspective view, in order to facilitate understanding of the invention, the description of some components may be omitted. In addition, descriptions such as partially hidden lines may be omitted.

此外,在本說明書等中,為了方便起見,附加了第一、第二等序數詞,而其並不表示製程順序或疊層順序。因此,例如可以將“第一”適當地替換為“第二”或“第三”等來進行說明。此外,本說明書等所記載的序數詞與用於指定本發明的一個實施方式的序數詞有時不一致。 In addition, in this specification and the like, ordinal numbers such as first and second are added for convenience, and they do not indicate a process order or a stacking order. Therefore, for example, "first" may be appropriately replaced with "second" or "third" and the like. In addition, the ordinal numbers described in this specification and the like do not always match the ordinal numbers used to designate one embodiment of the present invention.

在本說明書等中,為方便起見,使用了“上”、“下”等表示配置的詞句,以參照圖式說明組件的位置關係。另外,組件的位置關係根據描述各組件的方向適當地改變。因此,不侷限於本說明書中所說明的詞句,可以根據情況適當地更換。 In this specification and the like, for convenience, terms such as “up”, “down” and the like are used to describe the positional relationship of components with reference to the drawings. In addition, the positional relationship of the components is appropriately changed according to a direction in which each component is described. Therefore, it is not limited to the words and phrases described in this specification, and can be replaced as appropriate according to circumstances.

例如,在本說明書等中,當明確地記載為“X與Y連接”時,意味著如下情況:X與Y電連接;X與Y在功能上連接;X與Y直接連接。因此,不侷限於規定的連接關係(例如,圖式或文中所示的連接關係等),圖式或文中所示的連接關係以外的連接關係也包含於圖式或文中所記載的內容中。 For example, in this specification and the like, when "X and Y are connected", it means that X and Y are electrically connected; X and Y are functionally connected; and X and Y are directly connected. Therefore, it is not limited to a predetermined connection relationship (for example, a connection relationship shown in a drawing or a text), and connection relationships other than the connection relationship shown in a drawing or a text are also included in the content described in a drawing or a text.

這裡,X和Y為物件(例如,裝置、元件、電路、佈線、電極、端子、導電膜及層等)。 Here, X and Y are objects (for example, devices, components, circuits, wiring, electrodes, terminals, conductive films, layers, etc.).

作為X與Y直接連接的情況的一個例子,可以舉出在X與Y之間 沒有連接能夠電連接X與Y的元件(例如開關、電晶體、電容器、電感器、電阻器、二極體、顯示元件、發光元件及負載等),並且X與Y沒有藉由能夠電連接X與Y的元件(例如開關、電晶體、電容器、電感器、電阻器、二極體、顯示元件、發光元件及負載等)連接的情況。 As an example of a case where X and Y are directly connected, there is an element (such as a switch, transistor, capacitor, inductor, resistor, diode, Display elements, light-emitting elements, loads, etc.), and X and Y are not electrically connected to X and Y by elements (such as switches, transistors, capacitors, inductors, resistors, diodes, display elements, light-emitting elements, and Load, etc.).

作為X與Y電連接的情況的一個例子,例如可以在X與Y之間連接一個以上的能夠電連接X與Y的元件(例如開關、電晶體、電容器、電感器、電阻器、二極體、顯示元件、發光元件及負載等)。另外,開關具有控制開啟和關閉的功能。換言之,藉由使開關處於導通狀態(開啟狀態)或非導通狀態(關閉狀態)來控制是否使電流流過。或者,開關具有選擇並切換電流路徑的功能。另外,X與Y電連接的情況包括X與Y直接連接的情況。 As an example of the case where X and Y are electrically connected, for example, one or more elements (such as a switch, a transistor, a capacitor, an inductor, a resistor, and a diode) capable of electrically connecting X and Y may be connected between X and Y. , Display elements, light-emitting elements, and loads). In addition, the switch has a function of controlling opening and closing. In other words, whether the current is allowed to flow is controlled by putting the switch in a conducting state (on state) or a non-conducting state (off state). Alternatively, the switch has a function of selecting and switching a current path. The case where X and Y are electrically connected includes the case where X and Y are directly connected.

作為X與Y在功能上連接的情況的一個例子,例如可以在X與Y之間連接一個以上的能夠在功能上連接X與Y的電路(例如,邏輯電路(反相器、NAND電路、NOR電路等)、信號轉換電路(DA轉換電路、AD轉換電路、伽瑪校正電路等)、電位位準轉換電路(電源電路(升壓電路、降壓電路等)、改變信號的電位位準的位準轉移電路等)、電壓源、電流源、切換電路、放大電路(能夠增大信號振幅或電流量等的電路、運算放大器、差動放大電路、源極隨耦電路、緩衝電路等)、信號生成電路、記憶體電路、控制電路等)。注意,例如,即使在X與Y之間夾有其他電路,當從X輸出的信號傳送到Y時,也可以說X與Y在功能上是連接著的。另外,X與Y在功能上連接的情況包括X與Y直接連接的情況及X與Y電連接的情況。 As an example of a case where X and Y are functionally connected, for example, one or more circuits capable of functionally connecting X and Y (for example, a logic circuit (inverter, NAND circuit, NOR, etc.) may be connected between X and Y. Circuit, etc.), signal conversion circuit (DA conversion circuit, AD conversion circuit, gamma correction circuit, etc.), potential level conversion circuit (power supply circuit (boost circuit, step-down circuit, etc.), level of changing the potential level of the signal Quasi-transfer circuits, etc.), voltage sources, current sources, switching circuits, amplifier circuits (circuits capable of increasing signal amplitude or current, etc., operational amplifiers, differential amplifier circuits, source follower circuits, buffer circuits, etc.), signals Generating circuit, memory circuit, control circuit, etc.). Note that, for example, even if another circuit is sandwiched between X and Y, when a signal output from X is transmitted to Y, it can be said that X and Y are functionally connected. In addition, the case where X and Y are functionally connected includes the case where X and Y are directly connected and the case where X and Y are electrically connected.

在本說明書等中,電晶體是指至少包括閘極、汲極以及源極這三個端子的元件。電晶體在汲極(汲極端子、汲極區域或汲極電極)與源極(源極端子、源極區域或源極電極)之間具有通道形成區域,並 且藉由通道形成區域電流能夠流過源極和汲極之間。注意,在本說明書等中,通道形成區域是指電流主要流過的區域。 In this specification and the like, a transistor refers to an element including at least three terminals of a gate, a drain, and a source. The transistor has a channel forming region between the drain (drain terminal, drain region, or drain electrode) and the source (source terminal, source region, or source electrode), and the current can flow through the channel forming region. Between source and drain. Note that in this specification and the like, the channel formation region refers to a region through which a current mainly flows.

另外,在使用極性不同的電晶體的情況或電路工作中的電流方向變化的情況等下,源極及汲極的功能有時相互調換。因此,在本說明書等中,有時源極和汲極可以相互調換。 In addition, in a case where transistors having different polarities are used or a current direction changes during circuit operation, the functions of the source and the drain may be exchanged with each other. Therefore, in this specification and the like, the source and the drain may be interchanged with each other.

注意,通道長度例如是指電晶體的俯視圖中的半導體(或在電晶體處於導通狀態時,在半導體中電流流過的部分)和閘極電極互相重疊的區域或者形成通道的區域中的源極(源極區域或源極電極)和汲極(汲極區域或汲極電極)之間的距離。另外,在一個電晶體中,通道長度不一定在所有的區域中成為相同的值。也就是說,一個電晶體的通道長度有時不限於一個值。因此,在本說明書中,通道長度是形成通道的區域中的任一個值、最大值、最小值或平均值。 Note that the channel length refers to, for example, a region in which a semiconductor (or a portion of a current flows in the semiconductor when the transistor is in an on state) and a gate electrode overlap each other or a source in a region where a channel is formed in a plan view of the transistor. (Source region or source electrode) and the drain (drain region or drain electrode). In addition, in one transistor, the channel length does not necessarily have to be the same value in all regions. That is, the channel length of a transistor is sometimes not limited to a value. Therefore, in this specification, the channel length is any value, maximum value, minimum value, or average value in the area where the channel is formed.

通道寬度例如是指半導體(或在電晶體處於導通狀態時,在半導體中電流流過的部分)和閘極電極互相重疊的區域或者其中形成通道的區域中的源極與汲極相對的部分的長度。另外,在一個電晶體中,通道寬度不一定在所有的區域中成為相同的值。也就是說,一個電晶體的通道寬度有時不限於一個值。因此,在本說明書中,通道寬度是形成通道的區域中的任一個值、最大值、最小值或平均值。 The channel width refers to, for example, a region in which a semiconductor (or a portion in which a current flows when the transistor is in an on state) and a gate electrode overlap each other, or a portion of a region where a source is opposite to a drain in a region where a channel is formed length. In addition, in one transistor, the channel width does not necessarily have to be the same value in all regions. That is, the channel width of a transistor is sometimes not limited to a value. Therefore, in this specification, the channel width is any value, maximum value, minimum value, or average value in the area where the channel is formed.

另外,根據電晶體的結構,有時形成通道的區域中的實際上的通道寬度(以下,也稱為“實效通道寬度”)和電晶體的俯視圖所示的通道寬度(以下,也稱為“外觀上的通道寬度”)不同。例如,在閘極電極覆蓋半導體的側面的情況下,有時因為實效通道寬度大於外觀上的通道寬度,所以不能忽略其影響。例如,在微型且閘極電極覆蓋半導體的側面的電晶體中,有時形成在半導體的側面的通道形成區域的比例增高。在此情況下,實效通道寬度大於外觀上的通道寬度。 In addition, depending on the structure of the transistor, the actual channel width (hereinafter also referred to as "effective channel width") in the region where the channel is formed and the channel width (hereinafter also referred to as " The channel width in appearance ") is different. For example, when the gate electrode covers the side surface of the semiconductor, sometimes the effective channel width is larger than the channel width in appearance, so its influence cannot be ignored. For example, in a miniature transistor whose gate electrode covers the side surface of the semiconductor, the proportion of the channel formation region formed on the side surface of the semiconductor may increase. In this case, the effective channel width is larger than the channel width in appearance.

在此情況下,有時難以藉由實測估計實效通道寬度。例如,要從設計值估算出實效通道寬度,需要假定半導體的形狀是已知的。因此,當半導體的形狀不清楚時,難以準確地測量實效通道寬度。 In this case, it is sometimes difficult to estimate the effective channel width by actual measurement. For example, to estimate the effective channel width from design values, you need to assume that the shape of the semiconductor is known. Therefore, when the shape of the semiconductor is unclear, it is difficult to accurately measure the effective channel width.

於是,在本說明書中,有時將外觀上的通道寬度稱為“圍繞通道寬度(SCW:Surrounded Channel Width)”。此外,在本說明書中,在簡單地表示為“通道寬度”時,有時是指圍繞通道寬度或外觀上的通道寬度。或者,在本說明書中,在簡單地表示“通道寬度”時,有時表示實效通道寬度。注意,藉由對剖面TEM影像等進行分析等,可以決定通道長度、通道寬度、實效通道寬度、外觀上的通道寬度、圍繞通道寬度等的值。 Therefore, in this specification, the channel width in appearance is sometimes referred to as "Surrounded Channel Width (SCW)." In addition, in this specification, when it is simply expressed as "channel width", it may mean the channel width which surrounds a channel or the external appearance. Alternatively, in this specification, when the “channel width” is simply expressed, the effective channel width may be expressed in some cases. Note that the value of the channel length, channel width, effective channel width, appearance channel width, surrounding channel width, etc. can be determined by analyzing the TEM image of the cross section and the like.

注意,半導體的雜質例如是指半導體的主要成分之外的元素。例如,濃度小於0.1原子%的元素可以說是雜質。有時由於包含雜質,例如造成半導體的DOS(Density of States:態密度)變高,結晶性降低等。當半導體是氧化物半導體時,作為改變半導體的特性的雜質,例如有第1族元素、第2族元素、第13族元素、第14族元素、第15族元素以及除氧化物半導體的主要成分外的過渡金屬等。例如,有氫、鋰、鈉、矽、硼、磷、碳、氮等。在半導體是氧化物半導體的情況下,有時水也作為雜質起作用。另外,在半導體是氧化物半導體時,有時例如由於雜質的進入導致氧空位的產生。此外,在半導體是矽時,作為改變半導體特性的雜質,例如有氧、除氫之外的第1族元素、第2族元素、第13族元素、第15族元素等。 Note that the impurity of the semiconductor refers to an element other than the main component of the semiconductor, for example. For example, an element having a concentration of less than 0.1 atomic% can be said to be an impurity. Containing impurities may increase the semiconductor's DOS (Density of States: Density of State) and decrease crystallinity. When the semiconductor is an oxide semiconductor, as impurities that change the characteristics of the semiconductor, there are, for example, a group 1 element, a group 2 element, a group 13 element, a group 14 element, a group 15 element, and a main component of the oxide semiconductor. Outside transition metals. For example, there are hydrogen, lithium, sodium, silicon, boron, phosphorus, carbon, nitrogen, and the like. When the semiconductor is an oxide semiconductor, water may also function as an impurity. When the semiconductor is an oxide semiconductor, oxygen vacancies may be generated due to, for example, entry of impurities. In addition, when the semiconductor is silicon, impurities that change the semiconductor characteristics include, for example, oxygen, a Group 1 element other than hydrogen, a Group 2 element, a Group 13 element, and a Group 15 element.

注意,在本說明書等中,氧氮化矽膜是指氧含量大於氮含量的化合物膜。例如,較佳的是,氧的濃度為55原子%以上且65原子%以下,氮的濃度為1原子%以上且20原子%以下,矽的濃度為25原子%以上且35原子%以下,並且氫的濃度為0.1原子%以上且10原子%以下的 範圍內。另外,氮氧化矽膜是指氮含量大於氧含量的化合物膜。例如,較佳的是,氮的濃度為55原子%以上且65原子%以下,氧的濃度為1原子%以上且20原子%以下,矽的濃度為25原子%以上且35原子%以下,並且氫的濃度為0.1原子%以上且10原子%以下的範圍內。 Note that in this specification and the like, the silicon oxynitride film refers to a compound film having an oxygen content greater than a nitrogen content. For example, the concentration of oxygen is preferably 55 atomic% or more and 65 atomic% or less, the nitrogen concentration is 1 atomic% or more and 20 atomic% or less, and the silicon concentration is 25 atomic% or more and 35 atomic% or less, and The concentration of hydrogen is within a range of 0.1 atomic% to 10 atomic%. The silicon oxynitride film is a compound film having a nitrogen content greater than an oxygen content. For example, the concentration of nitrogen is preferably 55 atomic% or more and 65 atomic% or less, the oxygen concentration is 1 atomic% or more and 20 atomic% or less, and the silicon concentration is 25 atomic% or more and 35 atomic% or less, and The concentration of hydrogen is within a range of 0.1 atomic% to 10 atomic%.

另外,在本說明書等中,可以將“膜”和“層”相互調換。例如,有時可以將“導電層”變換為“導電膜”。此外,例如,有時可以將“絕緣膜”變換為“絕緣層”。 In addition, in this specification and the like, "film" and "layer" may be interchanged with each other. For example, the "conductive layer" may sometimes be converted into a "conductive film". In addition, for example, the "insulating film" may be converted into an "insulating layer".

另外,在本說明書等中,可以將“絕緣體”換稱為“絕緣膜”或“絕緣層”。另外,可以將“導電體”換稱為“導電膜”或“導電層”。另外,可以將“半導體”換稱為“半導體膜”或“半導體層”。 In addition, in this specification and the like, the “insulator” may be referred to as an “insulating film” or an “insulating layer”. In addition, the “conductor” may be referred to as a “conductive film” or a “conductive layer”. In addition, "semiconductor" may be referred to as "semiconductor film" or "semiconductor layer".

另外,除非特別敘述,本說明書等所示的電晶體為場效應電晶體。此外,除非特別敘述,本說明書等所示的電晶體為n通道型電晶體。由此,除非特別敘述,其臨界電壓(也稱為“Vth”)大於0V。 In addition, unless otherwise stated, the transistor shown in this specification and the like is a field effect transistor. In addition, unless otherwise stated, the transistor shown in this specification and the like is an n-channel transistor. Therefore, unless specifically stated, the threshold voltage (also referred to as "Vth") is greater than 0V.

在本說明書等中,“平行”是指兩條直線形成的角度為-10°以上且10°以下的狀態。因此,也包括該角度為-5°以上且5°以下的狀態。“大致平行”是指兩條直線形成的角度為-30°以上且30°以下的狀態。另外,“垂直”是指兩條直線的角度為80°以上且100°以下的狀態。因此,也包括該角度為85°以上且95°以下的狀態。“大致垂直”是指兩條直線形成的角度為60°以上且120°以下的狀態。 In this specification and the like, "parallel" refers to a state where the angle formed by two straight lines is -10 ° or more and 10 ° or less. Therefore, a state where the angle is -5 ° or more and 5 ° or less is also included. "Substantially parallel" refers to a state where the angle formed by the two straight lines is -30 ° or more and 30 ° or less. In addition, "vertical" refers to a state where the angle of two straight lines is 80 ° or more and 100 ° or less. Therefore, a state in which the angle is 85 ° or more and 95 ° or less is also included. "Substantially perpendicular" refers to a state where the angle formed by the two straight lines is 60 ° or more and 120 ° or less.

另外,在本說明書中,六方晶系包括三方晶系和菱方晶系。 In addition, in this specification, a hexagonal system includes a trigonal system and a rhombohedral system.

注意,在本說明書中,障壁膜是指具有抑制氫等雜質及氧的透過的功能的膜,在該障壁膜具有導電性的情況下,有時被稱為導電障壁膜。 Note that in this specification, the barrier film refers to a film having a function of suppressing the transmission of impurities such as hydrogen and oxygen, and when the barrier film has conductivity, it is sometimes referred to as a conductive barrier film.

在本說明書等中,金屬氧化物(metal oxide)是指廣義上的金屬的氧化物。金屬氧化物被分類為氧化物絕緣體、氧化物導電體(包括透明氧化物導電體)和氧化物半導體(Oxide Semiconductor,也可以簡稱為OS)等。例如,在將金屬氧化物用於電晶體的活性層的情況下,有時將該金屬氧化物稱為氧化物半導體。換言之,可以將OS FET稱為包含氧化物或氧化物半導體的電晶體。 In this specification and the like, metal oxide refers to an oxide of a metal in a broad sense. Metal oxides are classified into oxide insulators, oxide conductors (including transparent oxide conductors), oxide semiconductors (also referred to as OS), and the like. For example, when a metal oxide is used as the active layer of a transistor, the metal oxide is sometimes referred to as an oxide semiconductor. In other words, the OS FET can be referred to as a transistor including an oxide or an oxide semiconductor.

實施方式1 Embodiment 1

下面說明包括根據本發明的一個實施方式的電晶體200的半導體裝置的一個例子。 An example of a semiconductor device including the transistor 200 according to an embodiment of the present invention will be described below.

〈半導體裝置的結構實例1〉 <Structural Example 1 of Semiconductor Device>

圖1A、圖1B及圖1C是根據本發明的一個實施方式的電晶體200、電容器100、電晶體200周邊的俯視圖及剖面圖。注意,在本說明書中,將包括一個電容器以及至少一個電晶體的半導體裝置稱為單元。 1A, 1B, and 1C are a top view and a cross-sectional view of a transistor 200, a capacitor 100, and a periphery of the transistor 200 according to an embodiment of the present invention. Note that in this specification, a semiconductor device including a capacitor and at least one transistor is referred to as a cell.

圖1A是包括電晶體200及電容器100的單元600的俯視圖。圖1B和圖1C是單元600的剖面圖。在此,圖1B是沿著圖1A中的點劃線A1-A2的剖面圖,該剖面圖相當於電晶體200的通道長度方向上的剖面圖。圖1C是沿著圖1A中的點劃線A3-A4的剖面圖,該剖面圖相當於電晶體200的通道寬度方向上的剖面圖。為了明確起見,在圖1A的俯視圖中省略圖式中的部分組件。在圖1A至圖1C中,為了明確起見,只對部分組件附上符號。在圖3A至圖3C中,對圖1A至圖1C所示的單元600的各組件附上符號,將在後面進行詳細說明。 FIG. 1A is a plan view of a cell 600 including a transistor 200 and a capacitor 100. 1B and 1C are cross-sectional views of the cell 600. Here, FIG. 1B is a cross-sectional view taken along a chain line A1-A2 in FIG. 1A, and the cross-sectional view corresponds to a cross-sectional view in the channel length direction of the transistor 200. FIG. 1C is a cross-sectional view taken along a dashed-dotted line A3-A4 in FIG. 1A, and the cross-sectional view corresponds to a cross-sectional view in the channel width direction of the transistor 200. For clarity, some components in the drawings are omitted in the top view of FIG. 1A. In FIGS. 1A to 1C, for the sake of clarity, only some components are attached with symbols. In FIGS. 3A to 3C, symbols are attached to the components of the unit 600 shown in FIGS. 1A to 1C, which will be described in detail later.

在圖1A至圖1C所示的單元600中,藉由在同一層上設置電晶體200及電容器100,可以共同使用電晶體200的部分組件和電容器100 的部分組件。就是說,構成電晶體200的部分組件有時被用作構成電容器100的部分組件。 In the unit 600 shown in FIGS. 1A to 1C, by providing the transistor 200 and the capacitor 100 on the same layer, some components of the transistor 200 and some components of the capacitor 100 can be used in common. That is, a part of the components constituting the transistor 200 is sometimes used as a part of the components constituting the capacitor 100.

當電晶體200與電容器100的一部分或全部重疊時,可以縮小電晶體200的投影面積和電容器100的投影面積的總面積。 When the transistor 200 overlaps part or all of the capacitor 100, the total area of the projection area of the transistor 200 and the projection area of the capacitor 100 can be reduced.

另外,在圖1A至圖1C所示的單元600中,電容器100的頂面與覆蓋電晶體200的絕緣體280的頂面位於同一平面上。藉由採用該結構,單元600的表面的平坦性得到提高。因此,可以在單元600上容易層疊其他結構體。 In addition, in the unit 600 shown in FIGS. 1A to 1C, the top surface of the capacitor 100 and the top surface of the insulator 280 covering the transistor 200 are located on the same plane. By adopting this structure, the flatness of the surface of the cell 600 is improved. Therefore, other structures can be easily stacked on the unit 600.

當具有上述結構時,可以實現微型化及高積體化。並且,可以提高設計彈性。另外,電晶體200與電容器100在同一製程中形成。因此,可以縮短製程,而可以提高生產率。 With the above structure, miniaturization and high integration can be achieved. In addition, design flexibility can be improved. In addition, the transistor 200 and the capacitor 100 are formed in the same process. Therefore, the process can be shortened, and productivity can be improved.

〈單元陣列的結構〉 <Structure of Cell Array>

在此,圖2A和圖2B示出本實施方式的單元陣列的一個例子。例如,藉由將圖1A至圖1C所示的包括電晶體200及電容器100的單元600配置為矩陣狀,可以構成單元陣列。圖2A及圖2B是將圖1A至圖1C所示的單元600配置為矩陣狀時的行的一部分的剖面圖。 Here, FIGS. 2A and 2B show an example of a cell array according to the present embodiment. For example, by arranging the cells 600 including the transistor 200 and the capacitor 100 shown in FIGS. 1A to 1C in a matrix shape, a cell array can be configured. 2A and 2B are cross-sectional views of a part of a row when the cells 600 shown in FIGS. 1A to 1C are arranged in a matrix.

圖2A和圖2B示出其中包括電晶體200a及電容器100a的單元600a與包括電晶體200b及電容器100b的單元600b配置在同一行中的半導體裝置。 2A and 2B illustrate a semiconductor device in which a cell 600a including a transistor 200a and a capacitor 100a and a cell 600b including a transistor 200b and a capacitor 100b are arranged in the same row.

如圖2A和圖2B所示,單元陣列包括多個電晶體(圖式中的電晶體200a及電晶體200b)以及多個電容器(圖式中的電容器100a及電容器100b)。 As shown in FIGS. 2A and 2B, the cell array includes a plurality of transistors (transistors 200a and 200b in the drawing) and a plurality of capacitors (capacitors 100a and 100b in the drawing).

[單元600] [Unit 600]

本發明的一個實施方式的半導體裝置包括電晶體200、電容器100、被用作層間膜的絕緣體280、以及絕緣體286。另外,還包括與電晶體200電連接並被用作插頭的導電體252(導電體252a、導電體252b、導電體252c及導電體252d)。 A semiconductor device according to an embodiment of the present invention includes a transistor 200, a capacitor 100, an insulator 280 used as an interlayer film, and an insulator 286. In addition, a conductor 252 (conductor 252a, conductor 252b, conductor 252c, and conductor 252d) electrically connected to the transistor 200 and used as a plug is also included.

導電體252以與絕緣體280及絕緣體286中的開口的內壁接觸的方式形成。在此,導電體252的頂面的高度和絕緣體286的頂面的高度可以大致相同。在電晶體200中,導電體252具有兩層結構,但是本發明不侷限於此。導電體252例如可以具有單層結構或三層以上的疊層結構。 The conductor 252 is formed so as to be in contact with the inner wall of the opening in the insulator 280 and the insulator 286. Here, the height of the top surface of the conductor 252 and the height of the top surface of the insulator 286 may be substantially the same. In the transistor 200, the conductor 252 has a two-layer structure, but the present invention is not limited thereto. The conductor 252 may have, for example, a single-layer structure or a stacked structure of three or more layers.

[電晶體200] [Transistor 200]

如圖1A至圖1C及圖3A至圖3C所示,電晶體200包括基板(未圖示)上的絕緣體214及絕緣體216、埋入絕緣體214及絕緣體216中的導電體205、絕緣體216及導電體205上的絕緣體220、絕緣體220上的絕緣體222、絕緣體222上的絕緣體224、絕緣體224上的氧化物230(氧化物230a、氧化物230b及氧化物230c)、氧化物230上的絕緣體250、絕緣體250上的導電體260(導電體260a、導電體260b及導電體260c)、導電體260上的絕緣體270及絕緣體271、至少與絕緣體250及導電體260的側面接觸的絕緣體272、與氧化物230及絕緣體272接觸的絕緣體274。 As shown in FIGS. 1A to 1C and FIGS. 3A to 3C, the transistor 200 includes an insulator 214 and an insulator 216 on a substrate (not shown), a conductor 205, an insulator 216, and a conductor embedded in the insulator 214 and the insulator 216. Insulator 220 on body 205, insulator 222 on insulator 220, insulator 224 on insulator 222, oxide 230 (oxide 230a, oxide 230b, and oxide 230c) on insulator 224, insulator 250 on oxide 230, Conductor 260 (conductor 260a, conductor 260b, and conductor 260c) on insulator 250, insulator 270 and insulator 271 on conductor 260, insulator 272 that contacts at least the sides of insulator 250 and conductor 260, and oxide 230 and the insulator 274 in contact with the insulator 272.

注意,示出在電晶體200中層疊有氧化物230a、氧化物230b和氧化物230c的結構,但是本發明不侷限於此。例如,如圖3A至圖3C所示,可以採用氧化物230a、氧化物230b和氧化物230c的三層結構,或者三層以上的疊層結構。例如,可以採用只設置有氧化物230b的單層或只設置有氧化物230b和氧化物230c的結構。注意,示出在電晶體 200中層疊有導電體260a、氧化物260b和氧化物260c的結構,但是本發明不侷限於此。例如,可以採用單層結構、兩層結構或四層以上的疊層結構。 Note that the structure in which the oxide 230a, the oxide 230b, and the oxide 230c are laminated in the transistor 200 is shown, but the present invention is not limited thereto. For example, as shown in FIGS. 3A to 3C, a three-layer structure of oxide 230a, oxide 230b, and oxide 230c, or a stacked structure of three or more layers may be adopted. For example, a single layer provided with only the oxide 230b or a structure provided with only the oxide 230b and the oxide 230c may be adopted. Note that a structure in which a conductor 260a, an oxide 260b, and an oxide 260c are stacked in the transistor 200 is shown, but the present invention is not limited thereto. For example, a single-layer structure, a two-layer structure, or a stacked structure of four or more layers may be adopted.

圖4示出圖3B中的由虛線圍繞的通道附近的區域239的放大圖。 FIG. 4 shows an enlarged view of a region 239 near the channel surrounded by a dotted line in FIG. 3B.

如圖4所示,氧化物230在被用作電晶體200的通道形成區域的區域234與被用作源極區域或汲極區域的區域231(區域231a及區域231b)之間包括接合區域232(接合區域232a及接合區域232b)。被用作源極區域或汲極區域的區域231是具有高載子密度及低電阻的區域。另外,被用作通道形成區域的區域234是具有比被用作源極區域或汲極區域的區域231低的載子密度的區域。接合區域232是具有比被用作源極區域或汲極區域的區域231低且比被用作通道形成區域的區域234高的載子密度的區域。就是說,接合區域232被用作通道形成區域與源極區域或汲極區域之間的接合區域(junction region)。 As shown in FIG. 4, the oxide 230 includes a bonding region 232 between a region 234 used as a channel formation region of the transistor 200 and a region 231 (a region 231 a and a region 231 b) used as a source region or a drain region. (Joint area 232a and joint area 232b). The region 231 used as a source region or a drain region is a region having a high carrier density and a low resistance. In addition, the region 234 used as the channel formation region is a region having a lower carrier density than the region 231 used as the source region or the drain region. The bonding region 232 is a region having a lower carrier density than a region 231 used as a source region or a drain region and a higher carrier density than a region 234 used as a channel formation region. That is, the junction region 232 is used as a junction region between a channel formation region and a source region or a drain region.

藉由設置接合區域232可以防止被用作源極區域或汲極區域的區域231與被用作通道形成區域的區域234之間形成高電阻區域,而可以增大電晶體的通態電流。 By providing the bonding region 232, a high-resistance region can be prevented from being formed between the region 231 used as the source region or the drain region and the region 234 used as the channel formation region, and the on-state current of the transistor can be increased.

另外,接合區域232有時被用作與被用作閘極電極的導電體260重疊的所謂的重疊區域(也稱為Lov區域)。 In addition, the bonding region 232 is sometimes used as a so-called overlap region (also referred to as a Lov region) that overlaps with the conductor 260 used as the gate electrode.

區域231較佳為與絕緣體274接觸。較佳的是,區域231中的銦等金屬元素和氫及氮等雜質元素中的至少一個的濃度比接合區域232及區域234大。 The region 231 is preferably in contact with the insulator 274. Preferably, the concentration of at least one of a metal element such as indium in the region 231 and an impurity element such as hydrogen and nitrogen is greater than that in the bonding region 232 and the region 234.

接合區域232具有與絕緣體272重疊的區域。較佳的是,接合區域232中的銦等金屬元素和氫及氮等雜質元素中的至少一個的濃度比區 域234大。另一方面,較佳為接合區域232中的銦等金屬元素和氫及氮等雜質元素中的至少一個的濃度比區域231小。 The bonding region 232 has a region overlapping the insulator 272. Preferably, the concentration of at least one of a metal element such as indium in the bonding region 232 and an impurity element such as hydrogen and nitrogen is greater than that in the region 234. On the other hand, it is preferable that the concentration of at least one of a metal element such as indium in the bonding region 232 and an impurity element such as hydrogen and nitrogen is smaller than that in the region 231.

區域234與導電體260重疊。較佳的是,區域234位於接合區域232a和接合區域232b之間且區域234中的銦等金屬元素和氫及氮等雜質元素中的至少一個的濃度比區域231、接合區域232小。 The region 234 overlaps the conductor 260. Preferably, the region 234 is located between the bonding region 232 a and the bonding region 232 b and the concentration of at least one of a metal element such as indium and an impurity element such as hydrogen and nitrogen in the region 234 is smaller than that of the region 231 and the bonding region 232.

在氧化物230中,有時不能明確地檢測出區域231、接合區域232及區域234的邊界。在各區域中檢測出的銦等金屬元素和氫及氮等雜質元素的至少一個的濃度的變化不侷限於按每區域階段的變化,上述濃度也可以在各區域中逐漸地變化(也稱為漸變(gradation))。就是說,從區域231到接合區域232等越接近區域234,銦等金屬元素和氫及氮等雜質元素的濃度越小即可。 In the oxide 230, the boundary between the region 231, the junction region 232, and the region 234 may not be clearly detected. The change in the concentration of at least one of a metal element such as indium and an impurity element such as hydrogen and nitrogen detected in each region is not limited to a change in each region step, and the concentration may be gradually changed in each region (also referred to as Gradation). That is, the closer to the region 234 from the region 231 to the bonding region 232 is, the smaller the concentration of metal elements such as indium and impurity elements such as hydrogen and nitrogen may be.

在圖4中,區域234、區域231及接合區域232形成在氧化物230b中,但是不侷限於此,例如這些區域可以形成在氧化物230a或氧化物230c中。另外,雖然在圖4中各區域的邊界以大致垂直於氧化物230的頂面的方式表示,但是本實施方式不侷限於此。例如,接合區域232有時具有如下形狀:在氧化物230b的表面附近向導電體260一側突出,在氧化物230b的底面附近向導電體252a一側或導電體252b一側縮退。 In FIG. 4, the region 234, the region 231, and the bonding region 232 are formed in the oxide 230b, but are not limited thereto. For example, these regions may be formed in the oxide 230a or the oxide 230c. In addition, although the boundaries of the respective regions are shown in FIG. 4 as being substantially perpendicular to the top surface of the oxide 230, this embodiment is not limited to this. For example, the bonding region 232 may have a shape that protrudes toward the conductor 260 side near the surface of the oxide 230b and retracts toward the conductor 252a or the conductor 252b near the bottom surface of the oxide 230b.

在電晶體200中,作為氧化物230較佳為使用被用作氧化物半導體的金屬氧化物(以下也稱為氧化物半導體)。由於使用氧化物半導體的電晶體的非導通狀態下的洩漏電流(關態電流:off-state current)極小,所以可以提供功耗低的半導體裝置。此外,氧化物半導體可以利用濺射法等形成,所以可以用於構成高集成型半導體裝置的電晶體。 In the transistor 200, as the oxide 230, a metal oxide (hereinafter also referred to as an oxide semiconductor) used as an oxide semiconductor is preferably used. Since the leakage current (off-state current) in the non-conducting state of the transistor using an oxide semiconductor is extremely small, a semiconductor device with low power consumption can be provided. In addition, since an oxide semiconductor can be formed by a sputtering method or the like, it can be used for a transistor constituting a highly integrated semiconductor device.

另一方面,使用氧化物半導體的電晶體有時由於氧化物半導體中的雜質及氧空位而其電特性容易變動,因此其可靠性變低。包含在氧 化物半導體中的氫與鍵合於金屬原子的氧起反應生成水,因此有時形成氧空位。當氫進入該氧空位時,有時產生作為載子的電子。因此,使用包含氧空位的氧化物半導體的電晶體容易具有常開啟特性。由此,較佳為儘可能減少氧化物半導體中的氧空位。 On the other hand, the transistor using an oxide semiconductor may have its electrical characteristics easily changed due to impurities and oxygen vacancies in the oxide semiconductor, and thus its reliability may be low. Hydrogen contained in an oxide semiconductor reacts with oxygen bonded to a metal atom to generate water, and thus oxygen vacancies may be formed. When hydrogen enters this oxygen vacancy, an electron as a carrier is sometimes generated. Therefore, a transistor using an oxide semiconductor containing an oxygen vacancy tends to have a normally-on characteristic. Therefore, it is preferable to reduce the oxygen vacancy in the oxide semiconductor as much as possible.

尤其是,當在氧化物230中的形成有通道的區域234與被用作閘極絕緣膜的絕緣體250的介面存在氧空位時,容易發生電特性的變動,因此有時可靠性變低。 In particular, when there is an oxygen vacancy at the interface between the region 234 in which the oxide 230 is formed and the insulator 250 used as the gate insulating film, changes in electrical characteristics tend to occur, and thus reliability may be lowered.

於是,與氧化物230的區域234接觸的絕緣體250較佳為包含超過化學計量組成的氧(也稱為過量氧)。就是說,藉由使絕緣體250所包含的過量氧擴散到區域234,可以減少區域234中的氧空位。 Accordingly, the insulator 250 in contact with the region 234 of the oxide 230 preferably contains oxygen (also referred to as excess oxygen) in excess of a stoichiometric composition. That is, by diffusing excess oxygen contained in the insulator 250 into the region 234, the oxygen vacancies in the region 234 can be reduced.

另外,較佳為以與絕緣體250接觸的方式設置絕緣體272。例如,絕緣體272較佳為具有抑制氧(例如,氧原子、氧分子等中的至少一個)的擴散的功能(不容易使上述氧透過)。當絕緣體272具有抑制氧的擴散的功能時,過量氧區域中的氧不會擴散到絕緣體274一側而被高效地供應到區域234。因此,氧化物230和絕緣體250的介面的氧空位的形成得到抑制,而可以提高電晶體200的可靠性。 The insulator 272 is preferably provided so as to be in contact with the insulator 250. For example, the insulator 272 preferably has a function of suppressing the diffusion of oxygen (for example, at least one of an oxygen atom, an oxygen molecule, and the like) (it is not easy to allow the oxygen to pass through). When the insulator 272 has a function of suppressing the diffusion of oxygen, the oxygen in the excess oxygen region is not efficiently diffused to the insulator 274 side and is efficiently supplied to the region 234. Therefore, the formation of oxygen vacancies at the interface between the oxide 230 and the insulator 250 is suppressed, and the reliability of the transistor 200 can be improved.

並且,電晶體200較佳為由防止水或氫等雜質進入的具有阻擋性的絕緣體覆蓋。具有阻擋性的絕緣體是指使用具有抑制氫原子、氫分子、水分子、氮原子、氮分子、氧化氮分子(N2O、NO、NO2等)、銅原子等雜質的擴散的功能(不容易使上述雜質透過)的絕緣材料的絕緣體。另外,較佳為使用具有抑制氧(例如,氧原子、氧分子等中的至少一個)的擴散的功能(不容易使上述氧透過)的絕緣材料。 In addition, the transistor 200 is preferably covered with a barrier insulator that prevents impurities such as water or hydrogen from entering. A barrier insulator refers to the function of inhibiting the diffusion of impurities such as hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitrogen oxide molecules (N 2 O, NO, NO 2 and the like), and copper atoms (not An insulator made of an insulating material that easily allows the impurities to pass through. In addition, it is preferable to use an insulating material having a function of suppressing the diffusion of oxygen (for example, at least one of an oxygen atom, an oxygen molecule, and the like) (the oxygen is not easily transmitted through).

下面,說明包括根據本發明的一個實施方式的電晶體200的半導體裝置的詳細結構。 A detailed structure of a semiconductor device including the transistor 200 according to an embodiment of the present invention will be described below.

被用作第二閘極電極的導電體205與氧化物230及導電體260重疊。 The conductor 205 used as the second gate electrode overlaps the oxide 230 and the conductor 260.

在此,導電體205較佳為比氧化物230中的區域234大。尤其是,導電體205較佳為延伸到與A3-A4的點劃線(通道寬度方向)交叉的氧化物230中的區域234的端部的外側的區域。就是說,較佳為在氧化物230的通道寬度方向的側面,導電體205和導電體260隔著絕緣體重疊。 Here, the conductor 205 is preferably larger than the region 234 in the oxide 230. In particular, the conductor 205 is preferably a region extending outside the end of the region 234 in the oxide 230 that intersects the dashed-dotted line (channel width direction) of A3-A4. That is, it is preferable that the conductor 205 and the conductor 260 overlap each other with an insulator on a side surface in the channel width direction of the oxide 230.

在此,導電體260有時被用作第一閘極電極。導電體205有時被用作第二閘極電極。在此情況下,藉由獨立地改變供應到導電體205的電位而不使其與供應到導電體260的電位聯動,可以控制電晶體200的臨界電壓。尤其是,藉由對導電體205供應負電位,可以使電晶體200的臨界電壓大於0V且可以減小關態電流。因此,可以減小對導電體260供應的電壓為0V時的汲極電流。 Here, the conductor 260 is sometimes used as the first gate electrode. The electrical conductor 205 is sometimes used as the second gate electrode. In this case, the threshold voltage of the transistor 200 can be controlled by independently changing the potential supplied to the conductive body 205 without interlocking with the potential supplied to the conductive body 260. In particular, by supplying a negative potential to the conductor 205, the threshold voltage of the transistor 200 can be made greater than 0V and the off-state current can be reduced. Therefore, the drain current when the voltage supplied to the conductor 260 is 0V can be reduced.

另外,如圖3A所示,導電體205與氧化物230及導電體260重疊。在此,較佳為在與A3-A4的點劃線(通道寬度方向(W長度方向))交叉的氧化物230的端部的外側的區域中導電體205與導電體260重疊。就是說,較佳為在氧化物230的側面的外側導電體205和導電體260隔著絕緣體重疊。 As shown in FIG. 3A, the conductor 205 overlaps the oxide 230 and the conductor 260. Here, it is preferable that the conductive body 205 and the conductive body 260 overlap in a region outside the end portion of the oxide 230 that intersects the dashed line (channel width direction (W length direction)) of A3-A4. That is, it is preferable that the conductor 205 and the conductor 260 on the outer side of the side surface of the oxide 230 overlap with each other via an insulator.

當具有上述結構時,在對導電體260及導電體205供應電位的情況下,從導電體260產生的電場和從導電體205產生的電場連接而形成閉合電路,可以覆蓋形成在氧化物230中的通道形成區域。 When the above structure is provided, when the electric potential is supplied to the conductor 260 and the conductor 205, the electric field generated from the conductor 260 and the electric field generated from the conductor 205 are connected to form a closed circuit, which can be covered and formed in the oxide 230. Channel formation area.

就是說,可以由被用作第一閘極電極的導電體260的電場和被用作第二閘極電極的導電體205的電場電圍繞區域234的通道形成區域。 在本說明書中,將由第一閘極電極的電場和第二閘極電極的電場電圍繞通道形成區域的電晶體的結構稱為surrounded channel(S-channel:圍繞通道)結構。 That is, a region may be formed by a channel formed by the electric field of the electric conductor 260 used as the first gate electrode and the electric field of the electric conductor 205 used as the second gate electrode. In this specification, a structure of a transistor in which a channel formation region is surrounded by the electric field of the first gate electrode and the electric field of the second gate electrode is referred to as a surrounding channel (S-channel) structure.

在導電體205中,以與絕緣體214及絕緣體216的開口的內壁接觸的方式形成有導電體205a,其內側形成有導電體205b。在此,導電體205a及導電體205b的頂面的高度與絕緣體216的頂面的高度可以大致相同。注意,示出在電晶體200中層疊有導電體205a和導電體205b的結構,但是本發明不侷限於此。例如,可以採用只設置有導電體205b的結構。 In the conductor 205, a conductor 205a is formed so as to be in contact with the inner wall of the opening of the insulator 214 and the insulator 216, and a conductor 205b is formed on the inner side thereof. Here, the heights of the top surfaces of the conductors 205 a and 205 b and the height of the top surface of the insulator 216 may be substantially the same. Note that the structure in which the conductive body 205a and the conductive body 205b are laminated in the transistor 200 is shown, but the present invention is not limited thereto. For example, a structure in which only the conductor 205b is provided may be adopted.

在此,作為導電體205a較佳為使用具有抑制氫原子、氫分子、水分子、氮原子、氮分子、氧化氮分子(N2O、NO、NO2等)、銅原子等雜質的擴散的功能(不容易使上述雜質透過)的導電材料。另外,較佳為使用具有抑制氧(例如,氧原子、氧分子等中的至少一個)的擴散的功能(不容易使上述氧透過)的導電材料。在本說明書等中,“抑制雜質或氧的擴散的功能”是指抑制上述雜質和上述氧中的至少一個或全部的擴散的功能。 Here, as the conductor 205a, it is preferable to use a substance having an ability to suppress the diffusion of impurities such as hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitrogen oxide molecules (N 2 O, NO, NO 2 and the like), and copper atoms. A functional conductive material that does not easily allow the impurities mentioned above to pass through. In addition, it is preferable to use a conductive material having a function of suppressing the diffusion of oxygen (for example, at least one of an oxygen atom, an oxygen molecule, and the like) (it is not easy to allow the oxygen to pass through). In this specification and the like, the “function of suppressing the diffusion of impurities or oxygen” means a function of suppressing the diffusion of at least one or all of the impurities and the oxygen.

藉由使導電體205a具有抑制氧擴散的功能,可以防止因導電體205b氧化而導致導電率的下降。作為具有抑制氧擴散的功能的導電材料,較佳為使用鉭、氮化鉭、釕或氧化釕等。因此,導電體205a可以為上述導電材料的單層或疊層。由此,可以抑制氫、水等雜質從絕緣體214的基板一側經過導電體205擴散到電晶體200一側。 By providing the conductor 205 a with a function of suppressing oxygen diffusion, it is possible to prevent a decrease in conductivity due to oxidation of the conductor 205 b. As the conductive material having a function of suppressing oxygen diffusion, tantalum, tantalum nitride, ruthenium, ruthenium oxide, or the like is preferably used. Therefore, the conductor 205a may be a single layer or a stack of the above-mentioned conductive materials. This can prevent impurities such as hydrogen and water from diffusing from the substrate side of the insulator 214 to the transistor 200 side through the conductor 205.

作為導電體205b,較佳為使用以鎢、銅或鋁為主要成分的導電材料。在圖式中,導電體205b具有單層結構,但是也可以具有疊層結構,例如,可以採用使用鈦、氮化鈦和上述導電材料而成的疊層結構。 As the conductor 205b, a conductive material mainly containing tungsten, copper, or aluminum is preferably used. In the drawing, the conductor 205b has a single-layer structure, but may have a laminated structure. For example, a laminated structure using titanium, titanium nitride, and the above-mentioned conductive material may be used.

絕緣體214較佳為被用作防止水或氫等雜質從基板一側進入電晶體的阻擋絕緣膜。因此,作為絕緣體214較佳為使用具有抑制氫原子、氫分子、水分子、氮原子、氮分子、氧化氮分子(N2O、NO、NO2等)、銅原子等雜質的擴散的功能(不容易使上述雜質透過)的絕緣材料。另外,較佳為使用具有抑制氧(例如,氧原子、氧分子等中的至少一個)的擴散的功能(不容易使上述氧透過)的絕緣材料。 The insulator 214 is preferably used as a barrier insulating film that prevents impurities such as water or hydrogen from entering the transistor from the substrate side. Therefore, it is preferable that the insulator 214 has a function of suppressing the diffusion of impurities such as hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitrogen oxide molecules (N 2 O, NO, NO 2 and the like) and copper atoms ( Insulation material that does not easily penetrate the impurities mentioned above. In addition, it is preferable to use an insulating material having a function of suppressing the diffusion of oxygen (for example, at least one of an oxygen atom, an oxygen molecule, and the like) (the oxygen is not easily transmitted through).

例如,較佳的是,作為絕緣體214使用氧化鋁或氮化矽等。由此,可以抑制氫、水等雜質從絕緣體214擴散到電晶體一側。此外,可以抑制絕緣體224等中的氧從絕緣體214擴散到基板一側。 For example, it is preferable to use aluminum oxide, silicon nitride, or the like as the insulator 214. This can suppress the diffusion of impurities such as hydrogen and water from the insulator 214 to the transistor side. Further, it is possible to suppress diffusion of oxygen in the insulator 224 and the like from the insulator 214 to the substrate side.

被用作層間膜的絕緣體216、絕緣體280及絕緣體286的介電常數較佳為比絕緣體214低。藉由將介電常數較低的材料用於層間膜,可以減少產生在佈線之間的寄生電容。 The dielectric constants of the insulator 216, the insulator 280, and the insulator 286 used as the interlayer film are preferably lower than those of the insulator 214. By using a material with a lower dielectric constant for the interlayer film, it is possible to reduce parasitic capacitance generated between wirings.

作為被用作層間膜的絕緣體216、絕緣體280及絕緣體286,例如可以使用氧化矽、氧氮化矽、氮氧化矽、氧化鋁、氧化鉿、氧化鉭、氧化鋯、鋯鈦酸鉛(PZT)、鈦酸鍶(SrTiO3)或(Ba,Sr)TiO3(BST)等絕緣體的單層或疊層。或者,例如也可以對這些絕緣體添加氧化鋁、氧化鉍、氧化鍺、氧化鈮、氧化矽、氧化鈦、氧化鎢、氧化釔、氧化鋯。此外,也可以對這些絕緣體進行氮化處理。還可以在上述絕緣體上層疊氧化矽、氧氮化矽或氮化矽而使用。 As the insulator 216, insulator 280, and insulator 286 used as the interlayer film, for example, silicon oxide, silicon oxynitride, silicon oxynitride, aluminum oxide, hafnium oxide, tantalum oxide, zirconia, and lead zirconate titanate (PZT) can be used. Or a single layer or a stack of insulators such as strontium titanate (SrTiO 3 ) or (Ba, Sr) TiO 3 (BST). Alternatively, for example, alumina, bismuth oxide, germanium oxide, niobium oxide, silicon oxide, titanium oxide, tungsten oxide, yttrium oxide, and zirconia may be added to these insulators. These insulators may be subjected to a nitriding treatment. Alternatively, silicon oxide, silicon oxynitride, or silicon nitride can be laminated on the insulator and used.

絕緣體220、絕緣體222及絕緣體224被用作閘極絕緣體。 The insulator 220, the insulator 222, and the insulator 224 are used as a gate insulator.

另外,作為接觸於氧化物230的絕緣體224較佳為使用其氧含量超過滿足化學計量組成的氧化物絕緣體。換言之,較佳為在絕緣體224中形成有過量氧區域。藉由以與氧化物230接觸的方式設置上述包含過量氧的絕緣體,可以減少氧化物230中的氧空位,而提高可靠性。 As the insulator 224 in contact with the oxide 230, an oxide insulator whose oxygen content exceeds a stoichiometric composition is preferably used. In other words, it is preferable that an excessive oxygen region is formed in the insulator 224. By providing the insulator containing excessive oxygen as described above in contact with the oxide 230, oxygen vacancies in the oxide 230 can be reduced, and reliability can be improved.

明確而言,作為具有過量氧區域的絕緣體,較佳為使用藉由加熱使一部分的氧脫離的氧化物材料。藉由加熱使氧脫離的氧化物是指在TDS(Thermal Desorption Spectroscopy:熱脫附譜)分析中換算為氧分子的氧的脫離量為1.0×1018molecules/cm3以上,較佳為3.0×1020molecules/cm3以上的氧化物膜。另外,進行上述TDS分析時的膜的表面溫度較佳為在100℃以上且700℃以下,或者100℃以上且400℃以下的範圍內。 Specifically, as the insulator having an excessive oxygen region, it is preferable to use an oxide material which is capable of removing a part of oxygen by heating. The oxide that desorbs oxygen by heating means that the amount of desorbed oxygen converted to oxygen molecules in TDS (Thermal Desorption Spectroscopy) analysis is 1.0 × 10 18 molecules / cm 3 or more, preferably 3.0 × 10 20 molecules / cm 3 or more oxide film. The surface temperature of the film when the TDS analysis is performed is preferably within a range of 100 ° C to 700 ° C, or a range of 100 ° C to 400 ° C.

當絕緣體224具有過量氧區域時,絕緣體222較佳為具有抑制氧(例如,氧原子、氧分子等中的至少一個)的擴散的功能(不容易使上述氧透過)。 When the insulator 224 has an excessive oxygen region, the insulator 222 preferably has a function of suppressing the diffusion of oxygen (for example, at least one of an oxygen atom, an oxygen molecule, etc.) (it is not easy to allow the above-mentioned oxygen to pass through).

藉由使絕緣體222具有抑制氧擴散的功能,過量氧區域的氧可以高效地供應給氧化物230而不擴散到絕緣體220一側。另外,可以抑制導電體205與絕緣體224所包括的過量氧區域的氧起反應。 By providing the insulator 222 with a function of suppressing oxygen diffusion, oxygen in the excess oxygen region can be efficiently supplied to the oxide 230 without diffusing to the insulator 220 side. In addition, it is possible to suppress the reaction between the conductor 205 and the oxygen in the excess oxygen region included in the insulator 224.

作為絕緣體222,例如較佳為使用包含氧化鋁、氧化鉿、氧化鉭、氧化鋯、鋯鈦酸鉛(PZT)、鈦酸鍶(SrTiO3)或(Ba,Sr)TiO3(BST)等所謂的high-k材料的絕緣體的單層或疊層。藉由作為被用作閘極絕緣體的絕緣體使用high-k材料,可以實現電晶體的微型化及高積體化。尤其是,較佳為使用具有氧化鋁及氧化鉿等具有抑制雜質及氧等的擴散的功能(不容易使上述氧透過)的絕緣材料。當使用這種材料形成絕緣體222時,絕緣體222被用作防止從氧化物230釋放氧或從電晶體200的周圍部進入氫等雜質的層。 As the insulator 222, for example, preferably containing aluminum oxide, hafnium oxide, tantalum oxide, zirconium oxide, lead zirconate titanate (PZT), strontium titanate (SrTiO 3) or (Ba, Sr) TiO 3 ( BST) and so-called Single-layer or laminate of high-k insulators. By using a high-k material as an insulator used as a gate insulator, miniaturization and high integration of transistors can be achieved. In particular, it is preferable to use an insulating material having a function of suppressing the diffusion of impurities, oxygen, and the like (such as not allowing the oxygen to pass through), such as alumina and hafnium oxide. When the insulator 222 is formed using such a material, the insulator 222 is used as a layer that prevents impurities such as release of oxygen from the oxide 230 or entry of hydrogen from the peripheral portion of the transistor 200.

或者,例如也可以對上述絕緣體添加氧化鋁、氧化鉍、氧化鍺、氧化鈮、氧化矽、氧化鈦、氧化鎢、氧化釔、氧化鋯。此外,也可以對上述絕緣體進行氮化處理。還可以在上述絕緣體上層疊氧化矽、氧氮化矽或氮化矽。 Alternatively, for example, alumina, bismuth oxide, germanium oxide, niobium oxide, silicon oxide, titanium oxide, tungsten oxide, yttrium oxide, and zirconia may be added to the insulator. The insulator may be subjected to a nitriding treatment. It is also possible to laminate silicon oxide, silicon oxynitride, or silicon nitride on the insulator.

絕緣體220較佳為具有熱穩定性。例如,因為氧化矽及氧氮化矽具有熱穩定性,所以藉由與high-k材料的絕緣體組合,可以實現具有熱穩定性且相對介電常數高的疊層結構。 The insulator 220 is preferably thermally stable. For example, since silicon oxide and silicon oxynitride have thermal stability, by combining with a high-k material insulator, a laminated structure having thermal stability and a high relative dielectric constant can be realized.

絕緣體220、絕緣體222及絕緣體224也可以具有兩層以上的疊層結構。此時,不侷限於使用相同材料構成的疊層結構,也可以是使用不同材料形成的疊層結構。此外,雖然示出在電晶體200中絕緣體220、絕緣體222及絕緣體224被用作閘極絕緣體的結構,但是本實施方式不侷限於此。例如,可以採用作為閘極絕緣體設置絕緣體220、絕緣體222和絕緣體224中的任兩個或任一個的結構。 The insulator 220, the insulator 222, and the insulator 224 may have a laminated structure of two or more layers. In this case, it is not limited to a laminated structure formed using the same material, and a laminated structure formed using different materials may be used. In addition, although the structure in which the insulator 220, the insulator 222, and the insulator 224 are used as the gate insulator in the transistor 200 is shown, this embodiment is not limited to this. For example, a structure in which any two or any of the insulator 220, the insulator 222, and the insulator 224 are provided as the gate insulator may be adopted.

氧化物230包括氧化物230a、氧化物230a上的氧化物230b及氧化物230b上的氧化物230c。氧化物230包括區域231、接合區域232及區域234。較佳的是,區域231的至少一部分與絕緣體274接觸。另外,較佳的是,區域231中的銦等金屬元素、氫和氮中的至少一個的濃度比區域234大。 The oxide 230 includes an oxide 230a, an oxide 230b on the oxide 230a, and an oxide 230c on the oxide 230b. The oxide 230 includes a region 231, a bonding region 232, and a region 234. Preferably, at least a part of the region 231 is in contact with the insulator 274. In addition, it is preferable that a concentration of at least one of a metal element such as indium, hydrogen, and nitrogen in the region 231 is greater than that in the region 234.

當電晶體200成為開啟狀態時,區域231a或區域231b被用作源極區域或汲極區域。另一方面,區域234的至少一部分被用作通道形成區域。 When the transistor 200 is turned on, the region 231a or the region 231b is used as a source region or a drain region. On the other hand, at least a part of the region 234 is used as a channel formation region.

在此,如圖4所示,氧化物230較佳為具有接合區域232。當具有該結構時,可以增大電晶體200的通態電流且可以減小電晶體200非導通時的洩漏電流(關態電流)。 Here, as shown in FIG. 4, the oxide 230 preferably has a bonding region 232. With this structure, the on-state current of the transistor 200 can be increased and the leakage current (off-state current) when the transistor 200 is non-conductive can be reduced.

當在氧化物230a上設置有氧化物230b時,可以防止雜質從形成在氧化物230a下的結構物擴散到氧化物230b。當在氧化物230c下設置有氧化物230b時,可以防止雜質從形成在氧化物230c的上方的結構物擴 散到氧化物230b。 When the oxide 230b is provided on the oxide 230a, impurities can be prevented from diffusing from the structure formed under the oxide 230a to the oxide 230b. When the oxide 230b is provided under the oxide 230c, it is possible to prevent impurities from diffusing from the structure formed above the oxide 230c to the oxide 230b.

在氧化物230的側面和氧化物230的頂面之間具有彎曲面。就是說,側面的端部和頂面的端部較佳為彎曲(以下,也稱為圓形)。例如,在氧化物230b的端部,彎曲面的曲率半徑較佳為3nm以上且10nm以下,更佳為5nm以上且6nm以下。 There is a curved surface between the side surface of the oxide 230 and the top surface of the oxide 230. That is, the end portion of the side surface and the end portion of the top surface are preferably curved (hereinafter, also referred to as a circle). For example, at the end of the oxide 230b, the curvature radius of the curved surface is preferably 3 nm or more and 10 nm or less, and more preferably 5 nm or more and 6 nm or less.

作為氧化物230較佳為使用被用作氧化物半導體的金屬氧化物(以下也稱為氧化物半導體)。例如,作為成為區域234的金屬氧化物,較佳為使用其能隙為2eV以上,較佳為2.5eV以上的金屬氧化物。如此,藉由使用能隙較寬的金屬氧化物,可以減小電晶體的關態電流。 As the oxide 230, a metal oxide (hereinafter also referred to as an oxide semiconductor) used as an oxide semiconductor is preferably used. For example, as the metal oxide to be the region 234, a metal oxide having an energy gap of 2 eV or more, and preferably 2.5 eV or more is preferably used. In this way, by using a metal oxide with a wide energy gap, the off-state current of the transistor can be reduced.

在本說明書等中,有時將包含氮的金屬氧化物稱為金屬氧化物(metal oxide)。另外,也可以將包含氮的金屬氧化物稱為金屬氧氮化物(metal oxynitride)。 In this specification and the like, a metal oxide containing nitrogen may be referred to as a metal oxide. In addition, a metal oxide containing nitrogen may be referred to as a metal oxynitride.

由於使用氧化物半導體的電晶體在非導通狀態下的洩漏電流極小,所以可以提供一種功耗低的半導體裝置。此外,由於氧化物半導體可以利用濺射法等形成,所以可以用於構成高集成型半導體裝置的電晶體。 Since a transistor using an oxide semiconductor has extremely low leakage current in a non-conducting state, a semiconductor device with low power consumption can be provided. In addition, since an oxide semiconductor can be formed by a sputtering method or the like, it can be used for a transistor constituting a highly integrated semiconductor device.

氧化物230較佳為使用In-M-Zn氧化物(元素M為選自鋁、鎵、釔、銅、釩、鈹、硼、矽、鈦、鐵、鎳、鍺、鋯、鉬、鑭、鈰、釹、鉿、鉭、鎢和鎂等中的一種或多種)等金屬氧化物形成。此外,作為氧化物230也可以使用In-Ga氧化物、In-Zn氧化物。 The oxide 230 is preferably an In-M-Zn oxide (element M is selected from aluminum, gallium, yttrium, copper, vanadium, beryllium, boron, silicon, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, One or more of cerium, neodymium, praseodymium, tantalum, tungsten, and magnesium) and the like. In addition, as the oxide 230, an In-Ga oxide or an In-Zn oxide may be used.

在此,說明氧化物230的區域234。 Here, the region 234 of the oxide 230 will be described.

區域234較佳為具有各金屬原子的原子個數比互不相同的氧化物 的疊層結構。明確而言,當具有氧化物230a和氧化物230b的疊層結構時,用於氧化物230a的金屬氧化物的構成元素中的元素M的原子個數比較佳為大於用於氧化物230b的金屬氧化物的構成元素中的元素M的原子個數比。另外,用於氧化物230a的金屬氧化物中的In與元素M的原子個數比較佳為大於用於氧化物230b的金屬氧化物中的In與元素M的原子個數比。另外,用於氧化物230b的金屬氧化物中的元素M與In的原子個數比較佳為大於用於氧化物230a的金屬氧化物中的元素M與In的原子個數比。氧化物230c可以使用可用於氧化物230a或氧化物230b的金屬氧化物。 The region 234 is preferably a multilayer structure having oxides having different atomic ratios of the respective metal atoms. Specifically, when the laminated structure of the oxide 230a and the oxide 230b is used, the number of atoms of the element M in the constituent elements of the metal oxide used for the oxide 230a is preferably larger than that of the metal used for the oxide 230b. The atomic number ratio of the element M among the constituent elements of the oxide. In addition, the number of atoms of In and element M in the metal oxide used for oxide 230a is preferably larger than the ratio of the number of atoms of In to element M in the metal oxide used for oxide 230b. In addition, the number of atoms of the elements M and In in the metal oxide used for the oxide 230b is preferably larger than the ratio of the number of atoms of the elements M and In in the metal oxide used for the oxide 230a. As the oxide 230c, a metal oxide that can be used for the oxide 230a or the oxide 230b can be used.

接著,說明氧化物230的區域231及接合區域232。 Next, a region 231 and a bonding region 232 of the oxide 230 will be described.

區域231及接合區域232是對作為氧化物230設置的金屬氧化物添加銦等金屬原子或雜質來進行低電阻化而成的區域。各區域的導電性至少比區域234中的氧化物230b高。為了對區域231及接合區域232添加雜質,例如可以利用如下方法添加作為銦等金屬原子與雜質中的至少一個的摻雜物:電漿處理、對離子化了的源氣體進行質量分離而添加的離子植入法、不對離子化了的源氣體進行質量分離而添加的離子摻雜法、電漿浸沒離子佈植技術等。 The region 231 and the bonding region 232 are regions formed by adding a metal atom such as indium or an impurity to the metal oxide provided as the oxide 230 to reduce the resistance. The conductivity of each region is at least higher than that of the oxide 230b in the region 234. In order to add impurities to the region 231 and the bonding region 232, for example, a dopant that is at least one of a metal atom and an impurity such as indium can be added by a plasma treatment or a mass separation of an ionized source gas Ion implantation method, ion doping method without adding mass separation to ionized source gas, plasma immersion ion implantation technology, etc.

就是說,藉由增高區域231及接合區域232的氧化物230中的銦等金屬原子的含量,可以提高電子移動率而實現低電阻化。 That is, by increasing the content of metal atoms such as indium in the oxide 230 of the region 231 and the junction region 232, the electron mobility can be increased and the resistance can be reduced.

或者,以與氧化物230接觸的方式形成包含作為雜質的元素的絕緣體274,可以對區域231及接合區域232添加雜質。 Alternatively, the insulator 274 containing an element as an impurity is formed so as to be in contact with the oxide 230, and an impurity may be added to the region 231 and the bonding region 232.

就是說,區域231及接合區域232被添加形成氧空位的元素或者被氧空位俘獲的元素而被低電阻化。作為上述元素,典型地可以舉出氫、硼、碳、氮、氟、磷、硫、氯、鈦、稀有氣體等。另外,作為稀有氣 體元素的典型例子,有氦、氖、氬、氪以及氙等。因此,區域231及接合區域232可以採用包含上述元素中的一種或多種的結構。 That is, the region 231 and the junction region 232 are reduced in resistance by adding an element that forms an oxygen vacancy or an element that is trapped by the oxygen vacancy. Examples of the above elements include hydrogen, boron, carbon, nitrogen, fluorine, phosphorus, sulfur, chlorine, titanium, and rare gases. Typical examples of rare gas elements include helium, neon, argon, krypton, and xenon. Therefore, the region 231 and the bonding region 232 may adopt a structure including one or more of the above-mentioned elements.

藉由在電晶體200中設置接合區域232可以防止在被用作源極區域及汲極區域的區域231與形成有通道的區域234之間形成高電阻區域,而可以增高電晶體的通態電流並提高電晶體的載子移動率。當包括接合區域232時,在通道長度方向上源極區域及汲極區域不與閘極重疊,由此可以抑制不需要的電容的形成。另外,當包括接合區域232時,可以減小非導通時的洩漏電流。 By providing the junction region 232 in the transistor 200, a high-resistance region can be prevented from being formed between the region 231 used as the source region and the drain region and the region 234 where the channel is formed, and the on-state current of the transistor can be increased And increase the carrier mobility of the transistor. When the bonding region 232 is included, the source region and the drain region do not overlap with the gate in the channel length direction, so that the formation of unnecessary capacitance can be suppressed. In addition, when the bonding region 232 is included, the leakage current at the time of non-conduction can be reduced.

因此,藉由適當地選擇接合區域232的範圍,可以根據電路設計,容易地提供一種具有滿足要求的電特性的電晶體。 Therefore, by appropriately selecting the range of the bonding region 232, it is possible to easily provide a transistor having electrical characteristics satisfying the requirements in accordance with the circuit design.

絕緣體250被用作閘極絕緣膜。絕緣體250較佳為以與氧化物230c的頂面接觸的方式配置。絕緣體250較佳為使用藉由加熱釋放氧的絕緣體形成。例如,在熱脫附譜分析(TDS分析)中,換算為氧分子的氧的脫離量為1.0×1018molecules/cm3以上,較佳為3.0×1020molecules/cm3以上。另外,進行上述TDS分析時的膜的表面溫度較佳為在100℃以上且700℃以下,或者100℃以上且500℃以下的範圍內。 The insulator 250 is used as a gate insulating film. The insulator 250 is preferably arranged in contact with the top surface of the oxide 230c. The insulator 250 is preferably formed using an insulator that releases oxygen by heating. For example, in the thermal desorption spectrum analysis (TDS analysis), the amount of oxygen decomposed in terms of oxygen molecules is 1.0 × 10 18 molecules / cm 3 or more, and preferably 3.0 × 10 20 molecules / cm 3 or more. In addition, the surface temperature of the film when the TDS analysis is performed is preferably within a range of 100 ° C to 700 ° C, or a range of 100 ° C to 500 ° C.

藉由作為絕緣體250以與氧化物230c的頂面接觸的方式設置因加熱而釋放氧的絕緣體,可以高效地對氧化物230b的區域234供應氧。與絕緣體224同樣,較佳為絕緣體250中的水或氫等雜質的濃度得到降低。絕緣體250的厚度較佳為1nm以上且20nm以下。 By providing the insulator 250 as an insulator 250 that is in contact with the top surface of the oxide 230c, the insulator that releases oxygen due to heating can efficiently supply oxygen to the region 234 of the oxide 230b. As with the insulator 224, the concentration of impurities such as water or hydrogen in the insulator 250 is preferably reduced. The thickness of the insulator 250 is preferably 1 nm or more and 20 nm or less.

被用作第一閘極電極的導電體260包括導電體260a、導電體260a上的導電體260b及導電體260b上的導電體260c。作為導電體260a較佳為使用導電氧化物。例如,可以使用能夠被用作氧化物230a或氧化物230b的金屬氧化物。尤其較佳為使用金屬的原子個數比滿足 [In]:[Ga]:[Zn]=4:2:3至4.1及其附近值的導電性高的In-Ga-Zn類氧化物。藉由設置上述導電體260a,可以抑制氧向導電體260b透過並防止氧化導致導電體260b的電阻值的增加。 The conductor 260 used as the first gate electrode includes a conductor 260a, a conductor 260b on the conductor 260a, and a conductor 260c on the conductor 260b. As the conductor 260a, a conductive oxide is preferably used. For example, a metal oxide that can be used as the oxide 230a or the oxide 230b can be used. It is particularly preferable to use an In-Ga-Zn-based oxide having a high atomic ratio of metal satisfying [In]: [Ga]: [Zn] = 4: 2: 3 to 4.1 and its vicinity. By providing the above-mentioned conductive body 260a, it is possible to suppress the permeation of oxygen to the conductive body 260b and prevent an increase in the resistance value of the conductive body 260b due to oxidation.

另外,藉由利用濺射法形成上述導電氧化物,可以對絕緣體250添加氧並將氧供應到氧化物230b。由此,可以減少氧化物230的區域234的氧空位。 In addition, by forming the conductive oxide by a sputtering method, oxygen can be added to the insulator 250 and supplied to the oxide 230b. Thereby, the oxygen vacancies in the region 234 of the oxide 230 can be reduced.

作為導電體260b,可以使用能夠將氮等雜質添加到導電體260a而提高導電體260a的導電性的導電體。例如,作為導電體260b較佳為使用氮化鈦等。此外,作為導電體260c,例如可以使用鎢等導電性高的金屬。 As the conductor 260b, a conductor capable of adding impurities such as nitrogen to the conductor 260a to improve the conductivity of the conductor 260a can be used. For example, as the conductor 260b, titanium nitride or the like is preferably used. As the conductor 260c, for example, a highly conductive metal such as tungsten can be used.

當如圖3C所示,導電體205延伸到與A3-A4的點劃線(通道寬度方向)交叉的氧化物230的端部的外側的區域時,導電體205和導電體260較佳為在該區域隔著絕緣體250重疊。就是說,在氧化物230的側面的外側,較佳為由導電體205、絕緣體250和導電體260形成疊層結構。 When the conductor 205 extends to a region outside the end of the oxide 230 that intersects the dotted line (channel width direction) of A3-A4 as shown in FIG. 3C, the conductor 205 and the conductor 260 are preferably at This region overlaps with the insulator 250 interposed therebetween. That is, on the outside of the side surface of the oxide 230, it is preferable that a laminated structure is formed by the conductor 205, the insulator 250, and the conductor 260.

當具有上述結構時,在對導電體260及導電體205供應電位的情況下,從導電體260產生的電場和從導電體205產生的電場連接而形成閉合電路,可以覆蓋形成在氧化物230中的通道形成區域。 When the above structure is provided, when the electric potential is supplied to the conductor 260 and the conductor 205, the electric field generated from the conductor 260 and the electric field generated from the conductor 205 are connected to form a closed circuit, which can be formed to cover the oxide 230 Channel formation area.

就是說,可以由被用作第一閘極電極的導電體260的電場和被用作第二閘極電極的導電體205的電場電圍繞區域234的通道形成區域。 That is, a region may be formed by a channel formed by the electric field of the electric conductor 260 used as the first gate electrode and the electric field of the electric conductor 205 used as the second gate electrode.

另外,可以在導電體260c上設置被用作障壁膜的絕緣體270。在此,作為絕緣體270較佳為使用具有抑制水或氫等雜質及氧透過的功能的絕緣材料。例如較佳為使用氧化鋁或氧化鉿等。由此,可以防止 導電體260的氧化。另外,可以抑制水或氫等雜質藉由導電體260及絕緣體250進入氧化物230中。 In addition, an insulator 270 used as a barrier film may be provided on the conductor 260c. Here, as the insulator 270, it is preferable to use an insulating material having a function of suppressing the penetration of impurities such as water or hydrogen and oxygen. For example, alumina or hafnium oxide is preferably used. Thereby, oxidation of the conductor 260 can be prevented. In addition, impurities such as water or hydrogen can be suppressed from entering the oxide 230 through the conductor 260 and the insulator 250.

較佳為在絕緣體270上配置被用作硬遮罩的絕緣體271。藉由設置絕緣體270,可以以其側面與基板表面大致垂直的方式對導電體260進行加工,明確而言,可以使導電體260的側面與基板表面所形成的角度為75度以上且100度以下,較佳為80度以上且95度以下。藉由將導電體加工為上述形狀,可以將隨後形成的絕緣體272形成為所希望的形狀。 An insulator 271 used as a hard mask is preferably disposed on the insulator 270. By providing the insulator 270, the conductor 260 can be processed such that its side surface is substantially perpendicular to the substrate surface. Specifically, the angle formed by the side surface of the conductor 260 and the substrate surface can be 75 degrees or more and 100 degrees or less. It is preferably 80 degrees or more and 95 degrees or less. By processing the electrical conductor into the shape described above, the insulator 272 to be formed later can be formed into a desired shape.

另外,以與絕緣體250、導電體260及絕緣體270的側面接觸的方式設置被用作障壁膜的絕緣體272。 In addition, an insulator 272 used as a barrier film is provided so as to be in contact with the sides of the insulator 250, the conductor 260, and the insulator 270.

在此,作為絕緣體272較佳為使用具有抑制氫或水等雜質及氧透過的功能的絕緣材料。例如較佳為使用氧化鋁或氧化鉿等。由此,可以防止絕緣體250中的氧擴散到外部。另外,可以抑制氫或水等雜質從絕緣體250的端部等進入氧化物230中。 Here, as the insulator 272, it is preferable to use an insulating material having a function of suppressing the penetration of impurities such as hydrogen, water, and oxygen. For example, alumina or hafnium oxide is preferably used. This can prevent oxygen in the insulator 250 from diffusing to the outside. In addition, impurities such as hydrogen or water can be suppressed from entering the oxide 230 from the end of the insulator 250 or the like.

藉由設置絕緣體272,可以由具有抑制水或氫等雜質以及氧的透過的功能的絕緣體覆蓋導電體260的頂面及側面、以及絕緣體250的側面。由此,可以防止藉由導電體260及絕緣體250水或氫等雜質進入氧化物230中。因此,絕緣體272被用作保護閘極電極及閘極絕緣膜的側面的側面阻擋物。 By providing the insulator 272, the top surface and side surfaces of the conductor 260 and the side surface of the insulator 250 can be covered with an insulator having a function of suppressing the permeation of impurities such as water or hydrogen and oxygen. This can prevent impurities such as water or hydrogen from entering the oxide 230 through the conductor 260 and the insulator 250. Therefore, the insulator 272 is used as a side barrier for protecting the gate electrode and the side surface of the gate insulating film.

當電晶體被微型化而其通道長度為10nm以上且30nm以下左右時,設置在電晶體200的周邊的結構體中的雜質元素有可能擴散而導致區域231a與區域231b或接合區域232b的電導通。 When the transistor is miniaturized and its channel length is about 10 nm to 30 nm, impurity elements in the structure provided around the transistor 200 may diffuse and cause electrical conduction between the region 231a and the region 231b or the junction region 232b. .

於是,如本實施方式所示地形成絕緣體272,由此可以抑制氫、水 等雜質進入絕緣體250及導電體260並可以防止絕緣體250中的氧擴散到外部。因此,可以防止在第一閘極電壓為0V時源極區域和汲極區域電直接導通或藉由接合區域232等導通。 Thus, by forming the insulator 272 as shown in this embodiment, impurities such as hydrogen and water can be prevented from entering the insulator 250 and the conductor 260, and oxygen in the insulator 250 can be prevented from diffusing to the outside. Therefore, when the first gate voltage is 0 V, the source region and the drain region can be prevented from being electrically connected directly or through the bonding region 232 or the like.

絕緣體274具有至少與絕緣體272、氧化物230及絕緣體224接觸的區域。尤其是,絕緣體274較佳為具有與氧化物230的區域231接觸的區域。 The insulator 274 has a region in contact with at least the insulator 272, the oxide 230, and the insulator 224. In particular, the insulator 274 preferably has a region in contact with the region 231 of the oxide 230.

另外,作為絕緣體274,較佳為使用具有抑制水或氫等雜質及氧透過的功能的絕緣材料。例如,作為絕緣體274,較佳為使用氮化矽、氮氧化矽、氧氮化矽、氮化鋁、氮氧化鋁等。藉由形成上述絕緣體274,可以防止氧透過絕緣體274進入而被供應到區域231a及區域231b的氧空位,而載子密度降低。另外,可以防止水或氫等雜質透過絕緣體274進入而使區域231a及區域231b過度擴大到區域234一側。 In addition, as the insulator 274, it is preferable to use an insulating material having a function of suppressing the penetration of impurities such as water or hydrogen and oxygen. For example, as the insulator 274, silicon nitride, silicon oxynitride, silicon oxynitride, aluminum nitride, aluminum nitride, or the like is preferably used. By forming the insulator 274 described above, it is possible to prevent oxygen from entering through the insulator 274 and supplying oxygen vacancies to the regions 231a and 231b, thereby reducing the carrier density. In addition, it is possible to prevent impurities such as water or hydrogen from entering through the insulator 274 to excessively expand the region 231 a and the region 231 b to the region 234 side.

當形成絕緣體274來形成區域231及接合區域232時,絕緣體274較佳為包含氫和氮中的至少一個。藉由將包含氫或氮等雜質的絕緣體用作絕緣體274,可以對氧化物230添加氫或氮等雜質,在氧化物230中形成區域231及接合區域232。 When the insulator 274 is formed to form the region 231 and the bonding region 232, the insulator 274 preferably contains at least one of hydrogen and nitrogen. By using an insulator containing impurities such as hydrogen or nitrogen as the insulator 274, impurities such as hydrogen or nitrogen can be added to the oxide 230 to form a region 231 and a bonding region 232 in the oxide 230.

較佳為在絕緣體274上設置被用作層間膜的絕緣體280。與絕緣體224等同樣,較佳為絕緣體280中的水或氫等雜質的濃度得到降低。此外,也可以在絕緣體280上設置同樣的絕緣體286。 An insulator 280 used as an interlayer film is preferably provided on the insulator 274. As with the insulator 224 and the like, the concentration of impurities such as water or hydrogen in the insulator 280 is preferably reduced. A similar insulator 286 may be provided on the insulator 280.

在形成於絕緣體286、絕緣體280、絕緣體274、絕緣體271及絕緣體270中的開口中配置導電體252a、導電體252b、導電體252c及導電體252d。導電體252a、導電體252b、導電體252c及導電體252d的頂面的高度可以與絕緣體286的頂面的高度一致。 A conductor 252a, a conductor 252b, a conductor 252c, and a conductor 252d are arranged in the openings formed in the insulator 286, the insulator 280, the insulator 274, the insulator 271, and the insulator 270. The heights of the top surfaces of the conductors 252a, 252b, 252c, and 252d may be the same as the heights of the top surfaces of the insulator 286.

導電體252c藉由形成在絕緣體270及絕緣體271中的開口與被用作電晶體200的第一閘極電極的導電體260接觸。導電體252d與後述的被用作電容器100的一個電極的導電體120接觸。 The conductor 252 c is in contact with the conductor 260 used as the first gate electrode of the transistor 200 through an opening formed in the insulator 270 and the insulator 271. The conductor 252d is in contact with a conductor 120 used as one electrode of the capacitor 100 described later.

在此,導電體252a與被用作電晶體200的源極區域和汲極區域中的一個的區域231a接觸,導電體252b與被用作電晶體200的源極區域和汲極區域中的另一個的區域231b接觸。由於區域231a及區域231b的電阻低,所以可以降低導電體252a與區域231a的接觸電阻以及導電體252b與區域231b的接觸電阻,從而可以提高電晶體200的通態電流。 Here, the conductor 252a is in contact with a region 231a used as one of the source region and the drain region of the transistor 200, and the conductor 252b is in contact with the other of the source region and the drain region used as the transistor 200. One area 231b is in contact. Since the resistances of the regions 231a and 231b are low, the contact resistance between the conductor 252a and the region 231a and the contact resistance between the conductor 252b and the region 231b can be reduced, thereby increasing the on-state current of the transistor 200.

在此,導電體252a(導電體252b)至少與氧化物230的頂面接觸,較佳為其還與氧化物230的側面接觸。尤其較佳為導電體252a(導電體252b)與氧化物230的通道寬度方向交叉的A3一側的側面和A4一側的側面中的一個或兩個與氧化物230的側面接觸。另外,也可以採用導電體252a(導電體252b)與氧化物230的通道長度方向交叉的A1一側(A2一側)的側面與氧化物230接觸的結構。如此,藉由使導電體252a(導電體252b)接觸於氧化物230的頂面及氧化物230的側面,可以在不增加導電體252a(導電體252b)與氧化物230的接觸部的頂部面積的情況下增大接觸部的接觸面積,而降低導電體252a(導電體252b)與氧化物230的接觸電阻。由此,可以在實現電晶體的源極電極及汲極電極的微型化的同時增高通態電流。 Here, the conductive body 252 a (the conductive body 252 b) is in contact with at least the top surface of the oxide 230, and preferably is also in contact with the side surface of the oxide 230. It is particularly preferable that one or both of the side surface on the A3 side and the side surface on the A4 side that the conductor 252a (the conductor 252b) intersects with the channel width direction of the oxide 230 contact the side surface of the oxide 230. In addition, a structure in which the side surface on the A1 side (A2 side) where the conductor 252a (the conductor 252b) and the channel length direction of the oxide 230 intersect with the oxide 230 may be employed. In this way, by contacting the conductor 252a (conductor 252b) with the top surface of the oxide 230 and the side surface of the oxide 230, the area of the top of the contact portion between the conductor 252a (conductor 252b) and the oxide 230 can be increased. In this case, the contact area of the contact portion is increased, and the contact resistance between the conductor 252a (conductor 252b) and the oxide 230 is reduced. This makes it possible to increase the on-state current while miniaturizing the source electrode and the drain electrode of the transistor.

導電體252a、導電體252b及導電體252c較佳為使用以鎢、銅或鋁為主要成分的導電材料。此外,導電體252a、導電體252b及導電體252c也可以具有疊層結構,例如可以為鈦、氮化鈦與上述導電材料的疊層。 The conductive body 252a, the conductive body 252b, and the conductive body 252c are preferably made of a conductive material containing tungsten, copper, or aluminum as a main component. In addition, the conductor 252a, the conductor 252b, and the conductor 252c may have a laminated structure, and may be, for example, a laminate of titanium, titanium nitride, and the above-mentioned conductive material.

當作為導電體252採用疊層結構時,作為與絕緣體274、絕緣體280及絕緣體286接觸的導電體較佳為與導電體205a等同樣地使用具有抑制水或氫等雜質的透過的功能的導電材料。作為導電體252,例如較佳 為使用鉭、氮化鉭、鈦、氮化鈦、釕或氧化釕等。具有抑制水或氫等雜質的透過的功能的導電材料可以是單層或疊層。藉由使用該導電材料,可以防止水或氫等雜質從絕緣體280及絕緣體286的上層藉由導電體252進入氧化物230。 When a laminated structure is adopted as the conductor 252, it is preferable to use a conductive material having a function of suppressing the permeation of impurities such as water or hydrogen as the conductor in contact with the insulator 274, the insulator 280, and the insulator 286 as the conductor 205a or the like . As the conductor 252, for example, tantalum, tantalum nitride, titanium, titanium nitride, ruthenium, ruthenium oxide, or the like is preferably used. The conductive material having a function of suppressing the permeation of impurities such as water or hydrogen may be a single layer or a laminate. By using this conductive material, impurities such as water or hydrogen can be prevented from entering the oxide 230 through the conductor 252 from the upper layer of the insulator 280 and the insulator 286.

可以以接觸於嵌入導電體252的絕緣體274及絕緣體280中的開口的內壁的方式設置具有抑制水或氫等雜質的透過的功能的絕緣體。作為這樣的絕緣體,較佳為使用可用於絕緣體214的絕緣體,例如較佳為使用氧化鋁等。由此,可以抑制氫、水等雜質從絕緣體280等藉由導電體252進入氧化物230中。例如,藉由利用ALD法或CVD法等可以覆蓋性良好地形成的上述絕緣體。 An insulator having a function of suppressing the penetration of impurities such as water or hydrogen may be provided so as to be in contact with the inner wall of the opening in the insulator 274 and the insulator 280 embedded in the conductor 252. As such an insulator, an insulator usable for the insulator 214 is preferably used, and for example, alumina or the like is preferably used. This can prevent impurities such as hydrogen and water from entering the oxide 230 from the insulator 280 and the like through the conductor 252. For example, the insulator can be formed with good coverage by using an ALD method, a CVD method, or the like.

雖然未圖示,但是可以與導電體252的頂面接觸的方式配置被用作佈線的導電體。被用作佈線的導電體較佳為使用以鎢、銅或鋁為主要成分的導電材料。 Although not shown, the conductor used as the wiring may be arranged so as to be in contact with the top surface of the conductor 252. The conductive material used for the wiring is preferably a conductive material containing tungsten, copper, or aluminum as a main component.

[電容器100] [Capacitor 100]

如圖1A至圖1C以及圖3A至圖3C所示,電容器100與電晶體200共同使用部分組件。在本實施方式中,例示出將設置在電晶體200的氧化物230中的區域231b用作一個電極的電容器100的例子。 As shown in FIGS. 1A to 1C and FIGS. 3A to 3C, the capacitor 100 and the transistor 200 commonly use some components. In this embodiment, an example of the capacitor 100 using the region 231 b provided in the oxide 230 of the transistor 200 as one electrode is exemplified.

電容器100包括氧化物230的區域231b、區域231b上的絕緣體130以及絕緣體130上的導電體120。並且,較佳的是,在絕緣體130上以其至少一部分與氧化物230的區域231b重疊的方式配置導電體120。 The capacitor 100 includes a region 231b of the oxide 230, an insulator 130 on the region 231b, and a conductor 120 on the insulator 130. In addition, it is preferable that the conductor 120 is disposed on the insulator 130 so that at least a part of the insulator 120 overlaps the region 231 b of the oxide 230.

氧化物230的區域231b被用作電容器100的一個電極,導電體120被用作電容器100的另一個電極。絕緣體130被用作電容器100的電介質。氧化物230的區域231b被低電阻化,亦即是導電氧化物。因此,氧化物230的區域231b可以被用作電容器100的一個電極。 The region 231 b of the oxide 230 is used as one electrode of the capacitor 100, and the conductor 120 is used as the other electrode of the capacitor 100. The insulator 130 is used as a dielectric of the capacitor 100. The region 231b of the oxide 230 is reduced in resistance, that is, it is a conductive oxide. Therefore, the region 231 b of the oxide 230 may be used as one electrode of the capacitor 100.

絕緣體280及絕緣體274在與氧化物230的區域231b重疊的區域中具有開口。在該開口的底部,氧化物230的區域231b露出。以與上述開口的側面及氧化物230的區域231b接觸的方式設置絕緣體130。導電體120較佳為以隔著絕緣體130嵌入在上述開口中的方式設置。 The insulator 280 and the insulator 274 have openings in a region overlapping the region 231 b of the oxide 230. At the bottom of the opening, a region 231b of the oxide 230 is exposed. The insulator 130 is provided so as to be in contact with the side surface of the opening and the region 231 b of the oxide 230. The conductive body 120 is preferably provided so as to be embedded in the opening through the insulator 130.

絕緣體130例如可以使用氧化鋁或氧氮化矽的單層或疊層。 As the insulator 130, for example, a single layer or a stack of alumina or silicon oxynitride can be used.

作為導電體120,較佳為使用以鎢、銅或鋁為主要成分的導電材料。雖然未圖示,但是導電體120也可以具有疊層結構,例如,可以採用使用鈦、氮化鈦和上述導電材料而成的疊層結構。 As the conductor 120, a conductive material mainly containing tungsten, copper, or aluminum is preferably used. Although not shown, the conductor 120 may have a laminated structure. For example, a laminated structure using titanium, titanium nitride, and the above-mentioned conductive material may be used.

導電體252d與被用作電容器100的一個電極的導電體120接觸。因為可以同時形成導電體252d、導電體252a、導電體252b和導電體252c,所以可以縮短製程。 The conductor 252 d is in contact with the conductor 120 used as one electrode of the capacitor 100. Since the conductor 252d, the conductor 252a, the conductor 252b, and the conductor 252c can be formed at the same time, the manufacturing process can be shortened.

〈半導體裝置的構成材料〉 <Constitutive Materials of Semiconductor Device>

以下,說明可用於半導體裝置的構成材料。 Hereinafter, constituent materials that can be used for a semiconductor device will be described.

〈〈基板〉〉 〈〈 Board 〉〉

作為形成電晶體200的基板例如可以使用絕緣體基板、半導體基板或導電體基板。作為絕緣體基板,例如可以舉出玻璃基板、石英基板、藍寶石基板、穩定氧化鋯基板(釔安定氧化鋯基板等)、樹脂基板等。另外,作為半導體基板,例如可以舉出由矽或鍺等構成的半導體基板、或者由碳化矽、矽鍺、砷化鎵、磷化銦、氧化鋅或氧化鎵等構成的化合物半導體基板等。再者,還可以舉出在上述半導體基板內部具有絕緣體區域的半導體基板,例如有SOI(Silicon On Insulator;絕緣層上覆矽)基板等。作為導電體基板,可以舉出石墨基板、金屬基板、合金基板、導電樹脂基板等。或者,可以舉出包含金屬氮化物的 基板、包含金屬氧化物的基板等。再者,還可以舉出設置有導電體或半導體的絕緣體基板、設置有導電體或絕緣體的半導體基板、設置有半導體或絕緣體的導電體基板等。或者,也可以使用在這些基板上設置有元件的基板。作為設置在基板上的元件,可以舉出電容器、電阻元件、切換元件、發光元件、記憶元件等。 As the substrate forming the transistor 200, for example, an insulator substrate, a semiconductor substrate, or a conductor substrate can be used. Examples of the insulator substrate include a glass substrate, a quartz substrate, a sapphire substrate, a stabilized zirconia substrate (such as a yttrium-stabilized zirconia substrate), and a resin substrate. Examples of the semiconductor substrate include a semiconductor substrate made of silicon or germanium, or a compound semiconductor substrate made of silicon carbide, silicon germanium, gallium arsenide, indium phosphide, zinc oxide, or gallium oxide. In addition, a semiconductor substrate having an insulator region inside the semiconductor substrate may be mentioned, such as a SOI (Silicon On Insulator) substrate and the like. Examples of the conductor substrate include a graphite substrate, a metal substrate, an alloy substrate, and a conductive resin substrate. Alternatively, a substrate including a metal nitride, a substrate including a metal oxide, and the like can be given. Furthermore, an insulator substrate provided with a conductor or a semiconductor, a semiconductor substrate provided with a conductor or an insulator, a conductor substrate provided with a semiconductor or an insulator, and the like can also be mentioned. Alternatively, a substrate provided with elements on these substrates may be used. Examples of the element provided on the substrate include a capacitor, a resistance element, a switching element, a light emitting element, and a memory element.

此外,作為基板也可以使用撓性基板。作為在撓性基板上設置電晶體的方法,也可以舉出如下方法:在不具有撓性的基板上形成電晶體之後,剝離電晶體而將該電晶體轉置到撓性基板的基板上。在此情況下,較佳為在不具有撓性的基板與電晶體之間設置剝離層。另外,基板也可以具有伸縮性。此外,基板可以具有在停止彎曲或拉伸時恢復為原來的形狀的性質。或者,也可以具有不恢復為原來的形狀的性質。基板例如包括具有如下厚度的區域:5μm以上且700μm以下,較佳為10μm以上且500μm以下,更佳為15μm以上且300μm以下。藉由將基板形成為薄,可以實現包括電晶體的半導體裝置的輕量化。另外,藉由將基板形成得薄,即便在使用玻璃等的情況下也有時會具有伸縮性或在停止彎曲或拉伸時恢復為原來的形狀的性質。因此,可以緩和因掉落等而基板上的半導體裝置受到的衝擊等。亦即,可以提供一種耐久性高的半導體裝置。 A flexible substrate may be used as the substrate. As a method of providing a transistor on a flexible substrate, a method may also be mentioned. After the transistor is formed on a substrate having no flexibility, the transistor is peeled off and the transistor is transferred to the substrate of the flexible substrate. In this case, it is preferable to provide a release layer between the substrate having no flexibility and the transistor. The substrate may be stretchable. In addition, the substrate may have a property of returning to the original shape when the bending or stretching is stopped. Alternatively, it may have a property that it does not return to the original shape. The substrate includes, for example, a region having a thickness of 5 μm or more and 700 μm or less, preferably 10 μm or more and 500 μm or less, and more preferably 15 μm or more and 300 μm or less. By making the substrate thin, it is possible to reduce the weight of a semiconductor device including a transistor. In addition, by forming the substrate thin, even when glass or the like is used, it may have the property of being stretchable or returning to its original shape when bending or stretching is stopped. Therefore, it is possible to alleviate the impact and the like on the semiconductor device on the substrate due to dropping and the like. That is, a semiconductor device with high durability can be provided.

作為撓性基板的基板,例如可以使用金屬、合金、樹脂或玻璃或者其纖維等。此外,作為基板,也可以使用包含纖維的薄片、薄膜或箔等。撓性基板的基板的線性膨脹係數越低,因環境而發生的變形越得到抑制,所以是較佳的。作為撓性基板的基板,例如使用線性膨脹係數為1×10-3/K以下、5×10-5/K以下或1×10-5/K以下的材料即可。作為樹脂,例如可以舉出聚酯、聚烯烴、聚醯胺(尼龍、芳族聚醯胺等)、聚醯亞胺、聚碳酸酯、丙烯酸等。尤其是芳族聚醯胺的線性膨脹係數較低,因此適用於撓性基板的基板。 As the substrate of the flexible substrate, for example, a metal, an alloy, a resin, a glass, or a fiber thereof can be used. In addition, as the substrate, a sheet, film, foil, or the like containing fibers may be used. The lower the linear expansion coefficient of the substrate of the flexible substrate, the more the deformation due to the environment is suppressed, which is preferable. As the substrate of the flexible substrate, for example, a material having a linear expansion coefficient of 1 × 10 -3 / K or less, 5 × 10 -5 / K or less, or 1 × 10 -5 / K or less may be used. Examples of the resin include polyester, polyolefin, polyimide (nylon, aromatic polyamine, etc.), polyimide, polycarbonate, acrylic, and the like. In particular, aromatic polyamidamine has a low coefficient of linear expansion, and is therefore suitable for a substrate of a flexible substrate.

〈〈絕緣體〉〉 〈〈 Insulator 〉〉

作為絕緣體,有具有絕緣性的氧化物、氮化物、氧氮化物、氮氧化物、金屬氧化物、金屬氧氮化物以及金屬氮氧化物等。 Examples of the insulator include insulating oxides, nitrides, oxynitrides, oxynitrides, metal oxides, metal oxynitrides, and metal oxynitrides.

在此,藉由作為被用作閘極絕緣體的絕緣體使用相對介電常數較高的high-k材料,可以實現電晶體的微型化及高積體化。另一方面,藉由將相對介電常數較低的材料用於被用作層間膜的絕緣體,可以減少產生在佈線之間的寄生電容。因此,可以根據絕緣體的功能選擇材料。 Here, by using a high-k material having a high relative dielectric constant as an insulator used as a gate insulator, miniaturization and high integration of the transistor can be achieved. On the other hand, by using a material having a relatively low dielectric constant for an insulator used as an interlayer film, it is possible to reduce parasitic capacitance generated between wirings. Therefore, the material can be selected according to the function of the insulator.

作為相對介電常數較高的絕緣體,可以舉出氧化鎵、氧化鉿、氧化鋯、含有鋁及鉿的氧化物、含有鋁及鉿的氧氮化物、含有矽及鉿的氧化物、含有矽及鉿的氧氮化物或者含有矽及鉿的氮化物等。 Examples of insulators having a high relative dielectric constant include gallium oxide, hafnium oxide, zirconia, oxides containing aluminum and hafnium, oxynitrides containing aluminum and hafnium, oxides containing silicon and hafnium, oxides containing silicon and Samarium oxynitride or nitrides containing silicon and samarium.

作為相對介電常數較低的絕緣體,可以舉出氧化矽、氧氮化矽、氮氧化矽、氮化矽、添加有氟的氧化矽、添加有碳的氧化矽、添加有碳及氮的氧化矽、具有空孔的氧化矽或樹脂等。 Examples of insulators having a low relative dielectric constant include silicon oxide, silicon oxynitride, silicon oxynitride, silicon nitride, silicon oxide with fluorine added, silicon oxide with carbon added, and oxidation with carbon and nitrogen added. Silicon, silicon oxide with voids, or resin.

另外,尤其是,氧化矽及氧氮化矽具有熱穩定性。因此,例如藉由與樹脂組合,可以實現具有熱穩定性且相對介電常數低的疊層結構。作為樹脂,例如可以舉出聚酯、聚烯烴、聚醯胺(尼龍、芳族聚醯胺等)、聚醯亞胺、聚碳酸酯或丙烯酸等。例如,藉由組合氧化矽及氧氮化矽與相對介電常數較高的絕緣體,可以實現具有熱穩定性且相對介電常數高的疊層結構。 In addition, in particular, silicon oxide and silicon oxynitride have thermal stability. Therefore, for example, by combining with a resin, a laminated structure having thermal stability and a low relative dielectric constant can be realized. Examples of the resin include polyester, polyolefin, polyimide (nylon, aromatic polyamine, etc.), polyimide, polycarbonate, or acrylic. For example, by combining silicon oxide and silicon oxynitride with an insulator having a high relative permittivity, a laminated structure having thermal stability and a high relative permittivity can be realized.

藉由使用具有抑制氫等雜質及氧透過的功能的絕緣體圍繞使用氧化物半導體的電晶體,能夠使電晶體的電特性穩定。 By surrounding the transistor using an oxide semiconductor with an insulator having a function of suppressing the transmission of impurities such as hydrogen and oxygen, the electrical characteristics of the transistor can be stabilized.

作為具有抑制氫等雜質及氧透過的功能的絕緣體,例如可以使用 包含硼、碳、氮、氧、氟、鎂、鋁、矽、磷、氯、氬、鎵、鍺、釔、鋯、鑭、釹、鉿或鉭的絕緣體的單層或疊層。明確而言,作為具有抑制氫等雜質及氧透過的功能的絕緣體,可以使用氧化鋁、氧化鎂、氧化鎵、氧化鍺、氧化釔、氧化鋯、氧化鑭、氧化釹、氧化鉿或氧化鉭等金屬氧化物、氮氧化矽或氮化矽等。 As the insulator having a function of suppressing the transmission of impurities such as hydrogen and oxygen, for example, boron, carbon, nitrogen, oxygen, fluorine, magnesium, aluminum, silicon, phosphorus, chlorine, argon, gallium, germanium, yttrium, zirconium, lanthanum, Single layer or stack of insulators of neodymium, samarium or tantalum. Specifically, as the insulator having a function of suppressing the permeation of impurities such as hydrogen and oxygen, aluminum oxide, magnesium oxide, gallium oxide, germanium oxide, yttrium oxide, zirconia, lanthanum oxide, neodymium oxide, hafnium oxide, or tantalum oxide can be used. Metal oxide, silicon oxynitride or silicon nitride.

例如,作為絕緣體222及絕緣體214,可以使用具有抑制氫等雜質及氧透過的功能的絕緣體。絕緣體222及絕緣體214較佳為包含氧化鋁或氧化鉿等。 For example, as the insulator 222 and the insulator 214, an insulator having a function of suppressing the transmission of impurities such as hydrogen and oxygen can be used. The insulator 222 and the insulator 214 preferably include aluminum oxide, hafnium oxide, or the like.

作為絕緣體216、絕緣體220、絕緣體224、絕緣體250及絕緣體271,例如可以使用包含硼、碳、氮、氧、氟、鎂、鋁、矽、磷、氯、氬、鎵、鍺、釔、鋯、鑭、釹、鉿或鉭的絕緣體的單層或疊層。明確而言,較佳為包含氧化矽、氧氮化矽或氮化矽。 As the insulator 216, insulator 220, insulator 224, insulator 250, and insulator 271, for example, boron, carbon, nitrogen, oxygen, fluorine, magnesium, aluminum, silicon, phosphorus, chlorine, argon, gallium, germanium, yttrium, zirconium, A single layer or stack of insulators of lanthanum, neodymium, praseodymium or tantalum. Specifically, it is preferable to include silicon oxide, silicon oxynitride, or silicon nitride.

例如,當採用在被用作閘極絕緣體的絕緣體224及絕緣體250中採用氧化鋁、氧化鎵或氧化鉿接觸於氧化物230的結構時,能夠抑制氧化矽或氧氮化矽所含有的矽進入氧化物230。另一方面,例如當採用絕緣體224及絕緣體250中的氧化矽或氧氮化矽接觸於氧化物230的結構時,有時在氧化鋁、氧化鎵或氧化鉿與氧化矽或氧氮化矽的介面處形成陷阱中心。該陷阱中心有時可以藉由俘獲電子而使電晶體的臨界電壓向正方向漂移。 For example, when the structure in which the insulator 224 and the insulator 250 used as the gate insulator are made of alumina, gallium oxide, or hafnium oxide to contact the oxide 230 is used, entry of silicon contained in silicon oxide or silicon oxynitride can be suppressed. Oxide 230. On the other hand, for example, when the structure in which the silicon oxide or silicon oxynitride in the insulator 224 and the insulator 250 is in contact with the oxide 230 is used, there is a case where alumina, gallium oxide, or hafnium oxide is in contact with silicon oxide or silicon oxynitride. A trap center is formed at the interface. The trap center can sometimes shift the threshold voltage of the transistor in the positive direction by trapping electrons.

例如,作為被用作介電質的絕緣體130例如可以使用氧化矽、氧氮化矽、氮氧化矽、氮化矽、氧化鋁、氧氮化鋁、氮氧化鋁、氮化鋁、氧化鉿、氧氮化鉿、氮氧化鉿、氮化鉿等,且以疊層或單層形成。例如,較佳為採用氧化鋁等high-k材料和氧氮化矽等絕緣強度大的材料的疊層結構。藉由採用該結構,電容器100因high-k材料而可以確保充分的電容,並因絕緣強度大的材料絕緣強度得到提高,由此可以抑 制電容器100的靜電破壞且提高電容器100的可靠性。 For example, as the insulator 130 used as a dielectric, silicon oxide, silicon oxynitride, silicon oxynitride, silicon nitride, aluminum oxide, aluminum oxynitride, aluminum nitride oxide, aluminum nitride, hafnium oxide, Hafnium oxynitride, hafnium oxynitride, hafnium nitride, and the like are formed as a stack or a single layer. For example, a laminated structure using a high-k material such as alumina and a material with high insulation strength such as silicon oxynitride is preferred. By adopting this structure, the capacitor 100 can secure a sufficient capacitance due to the high-k material, and the insulation strength of the material having a large dielectric strength can be improved, thereby suppressing the electrostatic breakdown of the capacitor 100 and improving the reliability of the capacitor 100.

注意,絕緣體216、絕緣體280及絕緣體286較佳為包括相對介電常數低的絕緣體。例如,絕緣體216及絕緣體280較佳為包含氧化矽、氧氮化矽、氮氧化矽、氮化矽、添加有氟的氧化矽、添加有碳的氧化矽、添加有碳及氮的氧化矽、具有空孔的氧化矽或樹脂等。或者,絕緣體216及絕緣體280較佳為具有氧化矽、氧氮化矽、氮氧化矽、氮化矽、添加有氟的氧化矽、添加有碳的氧化矽、添加有碳及氮的氧化矽或具有空孔的氧化矽與樹脂的疊層結構。因為氧化矽及氧氮化矽具有熱穩定性,所以藉由與樹脂組合,可以實現具有熱穩定性且相對介電常數低的疊層結構。作為樹脂,例如可以舉出聚酯、聚烯烴、聚醯胺(尼龍、芳族聚醯胺等)、聚醯亞胺、聚碳酸酯或丙烯酸等。 Note that the insulator 216, the insulator 280, and the insulator 286 preferably include an insulator having a low relative dielectric constant. For example, the insulator 216 and the insulator 280 preferably include silicon oxide, silicon oxynitride, silicon oxynitride, silicon nitride, silicon oxide with fluorine added, silicon oxide with carbon added, silicon oxide with carbon and nitrogen added, Silica or resin with voids. Alternatively, the insulator 216 and the insulator 280 are preferably silicon oxide, silicon oxynitride, silicon oxynitride, silicon nitride, silicon oxide with fluorine added, silicon oxide with carbon added, silicon oxide with carbon and nitrogen added, or Laminated structure of hollow silicon oxide and resin. Since silicon oxide and silicon oxynitride have thermal stability, by combining them with a resin, a laminated structure having thermal stability and a low relative dielectric constant can be realized. Examples of the resin include polyester, polyolefin, polyimide (nylon, aromatic polyamine, etc.), polyimide, polycarbonate, or acrylic.

作為絕緣體270及絕緣體272,可以使用具有抑制氫等雜質及氧透過的功能的絕緣體。作為絕緣體270及絕緣體272,例如可以使用氧化鋁、氧化鉿、氧化鎂、氧化鎵、氧化鍺、氧化釔、氧化鋯、氧化鑭、氧化釹或氧化鉭等金屬氧化物、氮氧化矽或氮化矽等。 As the insulator 270 and the insulator 272, an insulator having a function of suppressing the transmission of impurities such as hydrogen and oxygen can be used. As the insulator 270 and the insulator 272, for example, metal oxides such as aluminum oxide, hafnium oxide, magnesium oxide, gallium oxide, germanium oxide, yttrium oxide, zirconia, lanthanum oxide, neodymium oxide, or tantalum oxide, silicon oxynitride, or nitride Silicon and so on.

〈〈導電體〉〉 〈〈 Conductor 〉〉

作為導電體較佳為使用包含選自鋁、鉻、銅、銀、金、鉑、鉭、鎳、鈦、鉬、鎢、鉿、釩、鈮、錳、鎂、鋯、鈹、銦、以及釕等的金屬元素中的一種以上的材料。另外,也可以使用以包含磷等雜質元素的多晶矽為代表的導電率高的半導體以及鎳矽化物等矽化物。 As the conductor, it is preferable to use a material selected from the group consisting of aluminum, chromium, copper, silver, gold, platinum, tantalum, nickel, titanium, molybdenum, tungsten, rhenium, vanadium, niobium, manganese, magnesium, zirconium, beryllium, indium, and ruthenium. And other metallic elements. In addition, a semiconductor having a high conductivity typified by polycrystalline silicon containing an impurity element such as phosphorus and a silicide such as nickel silicide may also be used.

另外,也可以層疊多個由上述材料形成的導電層。例如,也可以採用組合包含上述金屬元素的材料和包含氧的導電材料的疊層結構。另外,也可以採用組合包含上述金屬元素的材料和包含氮的導電材料的疊層結構。另外,也可以採用組合包含上述金屬元素的材料、包含氧的導電材料和包含氮的導電材料的疊層結構。 In addition, a plurality of conductive layers formed of the above materials may be laminated. For example, a laminated structure in which a material containing the above-mentioned metal element and a conductive material containing oxygen are combined may be employed. In addition, a laminated structure in which a material containing the above-mentioned metal element and a conductive material containing nitrogen are combined may be employed. In addition, a laminated structure in which a material containing the above-mentioned metal element, a conductive material containing oxygen, and a conductive material containing nitrogen may be used in combination.

此外,在將氧化物用於電晶體的通道形成區域的情況下,作為被用作閘極電極的導電體較佳為採用組合包含上述金屬元素的材料和包含氧的導電材料的疊層結構。在此情況下,較佳為將包含氧的導電材料設置在通道形成區域一側。藉由將包含氧的導電材料設置在通道形成區域一側,從該導電材料脫離的氧容易被供應到通道形成區域。 In addition, in the case where an oxide is used for a channel formation region of a transistor, it is preferable that a laminated structure in which a material containing the above-mentioned metal element and a conductive material containing oxygen is combined as a conductor used as a gate electrode. In this case, it is preferable to provide a conductive material containing oxygen on the side of the channel formation region. By disposing a conductive material containing oxygen on the side of the channel formation region, oxygen released from the conductive material is easily supplied to the channel formation region.

尤其是,作為被用作閘極電極的導電體,較佳為使用包含氧及包含在被成通道的金屬氧化物中的金屬元素的導電材料。或者,也可以使用包含上述金屬元素及氮的導電材料。例如,也可以使用氮化鈦、氮化鉭等包含氮的導電材料。或者,可以使用銦錫氧化物、包含氧化鎢的銦氧化物、包含氧化鎢的銦鋅氧化物、包含氧化鈦的銦氧化物、包含氧化鈦的銦錫氧化物、銦鋅氧化物、添加有矽的銦錫氧化物。或者,也可以使用包含氮的銦鎵鋅氧化物。藉由使用上述材料,有時可以俘獲形成有通道的所包含的氫。或者,有時可以俘獲從外方的絕緣體等進入的氫。 In particular, as the conductor used as the gate electrode, it is preferable to use a conductive material containing oxygen and a metal element contained in the metal oxide formed as a channel. Alternatively, a conductive material containing the metal element and nitrogen may be used. For example, a conductive material containing nitrogen, such as titanium nitride or tantalum nitride, may be used. Alternatively, indium tin oxide, indium oxide including tungsten oxide, indium zinc oxide including tungsten oxide, indium oxide including titanium oxide, indium tin oxide including titanium oxide, indium zinc oxide, Indium tin oxide of silicon. Alternatively, indium gallium zinc oxide containing nitrogen may be used. By using the above-mentioned materials, it is sometimes possible to trap contained hydrogen in which a channel is formed. Alternatively, hydrogen that has entered from an external insulator or the like may be trapped.

作為導電體260、導電體205、導電體120及導電體252較佳為使用包含選自鋁、鉻、銅、銀、金、鉑、鉭、鎳、鈦、鉬、鎢、鉿、釩、鈮、錳、鎂、鋯、鈹、銦、以及釕等的金屬元素中的一種以上的材料。另外,也可以使用以包含磷等雜質元素的多晶矽為代表的導電率高的半導體以及鎳矽化物等矽化物。 As the conductor 260, the conductor 205, the conductor 120, and the conductor 252, it is preferable to use a material selected from the group consisting of aluminum, chromium, copper, silver, gold, platinum, tantalum, nickel, titanium, molybdenum, tungsten, rhenium, vanadium, and niobium. Materials of at least one of metal elements such as, manganese, magnesium, zirconium, beryllium, indium, and ruthenium. In addition, a semiconductor having a high conductivity typified by polycrystalline silicon containing an impurity element such as phosphorus and a silicide such as nickel silicide may also be used.

〈金屬氧化物〉 <Metal oxide>

作為氧化物230,較佳為使用被用作氧化物半導體的金屬氧化物(以下,也稱為氧化物半導體)。以下,將說明可用於本發明的氧化物230的金屬氧化物。 As the oxide 230, a metal oxide (hereinafter, also referred to as an oxide semiconductor) used as an oxide semiconductor is preferably used. Hereinafter, a metal oxide that can be used for the oxide 230 of the present invention will be described.

氧化物半導體較佳為至少包含銦或鋅。尤其較佳為包含銦及鋅。 另外,除此之外,較佳為還包含鋁、鎵、釔或錫等。或者,也可以包含硼、矽、鈦、鐵、鎳、鍺、鋯、鉬、鑭、鈰、釹、鉿、鉭、鎢或鎂等中的一種或多種。 The oxide semiconductor preferably contains at least indium or zinc. It is particularly preferable to include indium and zinc. In addition, it is preferable to further include aluminum, gallium, yttrium, or tin. Alternatively, one or more of boron, silicon, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, praseodymium, tantalum, tungsten, or magnesium may be included.

在此,考慮氧化物半導體是包含銦、元素M及鋅的In-M-Zn氧化物的情況。注意,元素M為鋁、鎵、釔或錫等。作為可用作元素M的其他元素,有硼、矽、鈦、鐵、鎳、鍺、鋯、鉬、鑭、鈰、釹、鉿、鉭、鎢、鎂等。注意,作為元素M有時也可以組合多個上述元素。 Here, a case where the oxide semiconductor is an In-M-Zn oxide containing indium, an element M, and zinc is considered. Note that the element M is aluminum, gallium, yttrium, tin, or the like. As other elements that can be used as the element M, there are boron, silicon, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, thorium, tantalum, tungsten, magnesium, and the like. Note that as the element M, a plurality of the above-mentioned elements may be combined in some cases.

在本說明書等中,有時將包含氮的金屬氧化物也稱為金屬氧化物(metal oxide)。此外,也可以將包含氮的金屬氧化物稱為金屬氧氮化物(metal oxynitride)。 In this specification and the like, a metal oxide containing nitrogen may also be referred to as a metal oxide. In addition, a metal oxide containing nitrogen may be referred to as a metal oxynitride.

[金屬氧化物的構成] [Composition of metal oxide]

以下,對可用於在本發明的一個實施方式中公開的電晶體的CAC(Cloud-Aligned Composite)-OS的構成進行說明。 Hereinafter, a configuration of a CAC (Cloud-Aligned Composite) -OS applicable to a transistor disclosed in one embodiment of the present invention will be described.

在本說明書等中,有時記載為CAAC(c-axis aligned crystal)或CAC(Cloud-Aligned Composite)。注意,CAAC是指結晶結構的一個例子,CAC是指功能或材料構成的一個例子。 In this specification and the like, it may be described as CAAC (c-axis aligned crystal) or CAC (Cloud-Aligned Composite). Note that CAAC is an example of a crystalline structure, and CAC is an example of a function or a material composition.

CAC-OS或CAC-metal oxide在材料的一部分中具有導電性的功能,在材料的另一部分中具有絕緣性的功能,作為材料的整體具有半導體的功能。此外,在將CAC-OS或CAC-metal oxide用於電晶體的活性層的情況下,導電性的功能是使被用作載子的電子(或電洞)流過的功能,絕緣性的功能是不使被用作載子的電子流過的功能。藉由導電性的功能和絕緣性的功能的互補作用,可以使CAC-OS或CAC-metal oxide具有開關功能(控制開啟/關閉的功能)。藉由在CAC-OS或CAC-metal oxide中使各功能分離,可以最大限度地提高各功能。 CAC-OS or CAC-metal oxide has the function of conductivity in one part of the material, and the function of insulation in the other part of the material, and has the function of a semiconductor as a whole. In addition, when CAC-OS or CAC-metal oxide is used for the active layer of a transistor, the function of conductivity is a function of passing electrons (or holes) used as carriers, and a function of insulation. It is a function to prevent electrons used as carriers from flowing. The complementary function of the conductive function and the insulating function enables the CAC-OS or CAC-metal oxide to have a switching function (a function to control on / off). By separating each function in CAC-OS or CAC-metal oxide, each function can be maximized.

此外,CAC-OS或CAC-metal oxide包括導電性區域及絕緣性區域。導電性區域具有上述導電性的功能,絕緣性區域具有上述絕緣性的功能。此外,在材料中,導電性區域和絕緣性區域有時以奈米粒子級分離。另外,導電性區域和絕緣性區域有時在材料中不均勻地分佈。此外,有時觀察到其邊緣模糊而以雲狀連接的導電性區域。 In addition, CAC-OS or CAC-metal oxide includes a conductive region and an insulating region. The conductive region has the aforementioned function of conductivity, and the insulating region has the aforementioned function of insulation. Further, in the material, the conductive region and the insulating region are sometimes separated at the nanoparticle level. In addition, the conductive region and the insulating region may be unevenly distributed in the material. In addition, conductive regions whose edges are blurred and connected in a cloud shape are sometimes observed.

此外,在CAC-OS或CAC-metal oxide中,導電性區域和絕緣性區域有時以0.5nm以上且10nm以下,較佳為0.5nm以上且3nm以下的尺寸分散在材料中。 In CAC-OS or CAC-metal oxide, the conductive region and the insulating region may be dispersed in the material in a size of 0.5 nm or more and 10 nm or less, preferably 0.5 nm or more and 3 nm or less.

此外,CAC-OS或CAC-metal oxide由具有不同能帶間隙的成分構成。例如,CAC-OS或CAC-metal oxide由具有起因於絕緣性區域的寬隙的成分及具有起因於導電性區域的窄隙的成分構成。在該結構中,當使載子流過時,載子主要在具有窄隙的成分中流過。此外,具有窄隙的成分藉由與具有寬隙的成分的互補作用,與具有窄隙的成分聯動而使載子流過具有寬隙的成分。因此,在將上述CAC-OS或CAC-metal oxide用於電晶體的通道形成區域時,在電晶體的導通狀態中可以得到高電流驅動力,亦即大通態電流及高場效移動率。 In addition, CAC-OS or CAC-metal oxide is composed of components having different band gaps. For example, CAC-OS or CAC-metal oxide is composed of a component having a wide gap caused by an insulating region and a component having a narrow gap caused by a conductive region. In this structure, when a carrier is caused to flow, the carrier mainly flows in a component having a narrow gap. In addition, a component having a narrow gap causes carriers to flow through the component having a wide gap by interacting with the component having a wide gap by a complementary action with the component having a wide gap. Therefore, when the above-mentioned CAC-OS or CAC-metal oxide is used in the channel formation region of the transistor, a high current driving force can be obtained in the conduction state of the transistor, that is, a large on-state current and a high field-effect mobility.

就是說,也可以將CAC-OS或CAC-metal oxide稱為基質複合材料(matrix composite)或金屬基質複合材料(metal matrix composite)。 That is, CAC-OS or CAC-metal oxide may also be referred to as a matrix composite or a metal matrix composite.

[金屬氧化物的結構] [Structure of metal oxide]

氧化物半導體被分為單晶氧化物半導體和非單晶氧化物半導體。作為非單晶氧化物半導體例如有CAAC-OS(c-axis aligned crystalline oxide semiconductor)、多晶氧化物半導體、nc-OS(nanocrystalline oxide semiconductor)、a-like OS(amorphous-like oxide semiconductor)及非晶氧化物半導體等。 Oxide semiconductors are classified into single crystal oxide semiconductors and non-single crystal oxide semiconductors. Examples of non-single-crystal oxide semiconductors include CAAC-OS (c-axis aligned crystalline oxide semiconductor), polycrystalline oxide semiconductors, nc-OS (nanocrystalline oxide semiconductor), a-like OS (amorphous-like oxide semiconductor), and non-single-crystal oxide semiconductors. Crystalline oxide semiconductors, etc.

CAAC-OS具有c軸配向性,其多個奈米晶在a-b面方向上連結而結晶結構具有畸變。注意,畸變是指在多個奈米晶連結的區域中晶格排列一致的區域與其他晶格排列一致的區域之間的晶格排列的方向變化的部分。 CAAC-OS has c-axis alignment, and a plurality of nanocrystals are connected in the a-b plane direction, and the crystal structure has distortion. Note that the distortion refers to a portion in which the direction of the lattice arrangement is changed between a region where the lattice arrangement is the same as that of other regions where the lattice arrangement is the same among a plurality of nanocrystal-connected regions.

雖然奈米晶基本上是六角形,但是並不侷限於正六角形,有不是正六角形的情況。此外,在畸變中有時具有五角形或七角形等晶格排列。另外,在CAAC-OS中,即使在畸變附近也觀察不到明確的晶界(grain boundary)。亦即,可知由於晶格排列畸變,可抑制晶界的形成。這可能是由於CAAC-OS因為a-b面方向上的氧原子排列的低密度或因金屬元素被取代而使原子間的鍵合距離產生變化等而能夠包容畸變。 Although nanocrystals are basically hexagonal, they are not limited to regular hexagons, and may not be regular hexagons. In addition, the distortion sometimes has a lattice arrangement such as a pentagon or a heptagon. In CAAC-OS, no clear grain boundary was observed even near the distortion. That is, it was found that the formation of grain boundaries can be suppressed due to the distortion of the lattice arrangement. This may be because CAAC-OS can tolerate distortion due to the low density of oxygen atom arrangement in the a-b plane direction or the change in the bonding distance between atoms due to the substitution of metal elements.

CAAC-OS有具有層狀結晶結構(也稱為層狀結構)的傾向,在該層狀結晶結構中層疊有包含銦及氧的層(下面稱為In層)和包含元素M、鋅及氧的層(下面稱為(M,Zn)層)。另外,銦和元素M彼此可以取代,在用銦取代(M,Zn)層中的元素M的情況下,也可以將該層表示為(In,M,Zn)層。另外,在用元素M取代In層中的銦的情況下,也可以將該層表示為(In,M)層。 CAAC-OS tends to have a layered crystal structure (also referred to as a layered structure). In this layered crystal structure, a layer containing indium and oxygen (hereinafter referred to as an In layer) and an element containing M, zinc, and oxygen are laminated. Layer (hereinafter referred to as (M, Zn) layer). In addition, indium and element M may be substituted for each other. When the element M in the (M, Zn) layer is replaced with indium, this layer may be expressed as an (In, M, Zn) layer. In addition, when the indium in the In layer is replaced with the element M, the layer may be expressed as an (In, M) layer.

CAAC-OS是結晶性高的氧化物半導體。另一方面,在CAAC-OS中觀察不到明確的晶界,因此不容易發生起因於晶界的電子移動率的下降。此外,氧化物半導體的結晶性有時因雜質的進入或缺陷的生成等而降低,因此可以說CAAC-OS是雜質或缺陷(氧空位等)少的氧化物半導體。因此,包含CAAC-OS的氧化物半導體的物理性質穩定。因此,包含CAAC-OS的氧化物半導體具有高耐熱性及高可靠性。 CAAC-OS is a highly crystalline oxide semiconductor. On the other hand, since no clear grain boundary is observed in CAAC-OS, a decrease in electron mobility due to the grain boundary is unlikely to occur. In addition, the crystallinity of an oxide semiconductor may be reduced due to entry of impurities or generation of defects. Therefore, it can be said that CAAC-OS is an oxide semiconductor with few impurities or defects (such as oxygen vacancies). Therefore, the physical properties of the oxide semiconductor containing CAAC-OS are stable. Therefore, the oxide semiconductor containing CAAC-OS has high heat resistance and high reliability.

在nc-OS中,微小的區域(例如1nm以上且10nm以下的區域,特別是1nm以上且3nm以下的區域)中的原子排列具有週期性。另外, nc-OS在不同的奈米晶之間觀察不到結晶定向的規律性。因此,在膜整體中觀察不到配向性。所以,有時nc-OS在某些分析方法中與a-like OS或非晶氧化物半導體沒有差別。 In nc-OS, the atomic arrangement in a minute region (for example, a region of 1 nm or more and 10 nm or less, particularly a region of 1 nm or more and 3 nm or less) has periodicity. In addition, nc-OS did not observe regularity of crystal orientation between different nanocrystals. Therefore, no alignment was observed in the entire film. Therefore, sometimes nc-OS is not different from a-like OS or amorphous oxide semiconductor in some analytical methods.

a-like OS是具有介於nc-OS與非晶氧化物半導體之間的結構的氧化物半導體。a-like OS包含空洞或低密度區域。也就是說,a-like OS的結晶性比nc-OS及CAAC-OS的結晶性低。 The a-like OS is an oxide semiconductor having a structure between nc-OS and an amorphous oxide semiconductor. a-like OS contains holes or low-density areas. That is, the crystallinity of a-like OS is lower than that of nc-OS and CAAC-OS.

氧化物半導體具有各種結構及各種特性。能夠用於本發明的一個實施方式的氧化物半導體也可以包括非晶氧化物半導體、多晶氧化物半導體、a-like OS、nc-OS、CAAC-OS中的兩種以上。 The oxide semiconductor has various structures and various characteristics. The oxide semiconductor that can be used in one embodiment of the present invention may include two or more of an amorphous oxide semiconductor, a polycrystalline oxide semiconductor, a-like OS, nc-OS, and CAAC-OS.

[具有氧化物半導體的電晶體] [Transistor with oxide semiconductor]

接著,說明將上述氧化物半導體用於電晶體的情況。 Next, a case where the oxide semiconductor is used for a transistor will be described.

藉由將上述氧化物半導體用於電晶體,可以實現場效移動率高的電晶體。另外,可以實現可靠性高的電晶體。 By using the oxide semiconductor as a transistor, a transistor having a high field effect mobility can be realized. In addition, a highly reliable transistor can be realized.

另外,較佳為將載子密度低的氧化物半導體用於電晶體。在要降低氧化物半導體膜的載子密度的情況下,可以降低氧化物半導體膜中的雜質濃度以降低缺陷態密度。在本說明書等中,將雜質濃度低且缺陷態密度低的狀態稱為“高純度本質”或“實質上高純度本質”。例如,氧化物半導體中的載子密度可以低於8×1011/cm3,較佳為低於1×1011/cm3,更佳為低於1×1010/cm3,且為1×10-9/cm3以上。 An oxide semiconductor having a low carrier density is preferably used for the transistor. When the carrier density of the oxide semiconductor film is to be reduced, the impurity concentration in the oxide semiconductor film can be reduced to reduce the density of defect states. In this specification and the like, a state in which the impurity concentration is low and the density of defect states is low is referred to as a "high-purity essence" or a "substantially high-purity essence". For example, the carrier density in an oxide semiconductor may be less than 8 × 10 11 / cm 3 , preferably less than 1 × 10 11 / cm 3 , more preferably less than 1 × 10 10 / cm 3 , and is 1 × 10 -9 / cm 3 or more.

此外,高純度本質或實質上高純度本質的氧化物半導體膜具有較低的缺陷態密度,因此有時具有較低的陷阱態密度。 In addition, a high-purity or substantially high-purity oxide semiconductor film has a low density of defect states, and therefore sometimes has a low density of trap states.

此外,被氧化物半導體的陷阱能階俘獲的電荷到消失需要較長的 時間,有時像固定電荷那樣動作。因此,在陷阱態密度高的氧化物半導體中形成有通道形成區域的電晶體的電特性有時不穩定。 In addition, the charge trapped by the trap energy level of the oxide semiconductor takes a long time to disappear, and sometimes it behaves like a fixed charge. Therefore, the electrical characteristics of a transistor in which a channel formation region is formed in an oxide semiconductor having a high trap state density are sometimes unstable.

因此,為了使電晶體的電特性穩定,減少氧化物半導體中的雜質濃度是有效的。為了減少氧化物半導體中的雜質濃度,較佳為還減少附近膜中的雜質濃度。作為雜質有氫、氮、鹼金屬、鹼土金屬、鐵、鎳、矽等。 Therefore, in order to stabilize the electrical characteristics of the transistor, it is effective to reduce the impurity concentration in the oxide semiconductor. In order to reduce the impurity concentration in the oxide semiconductor, it is preferable to also reduce the impurity concentration in a nearby film. Examples of impurities include hydrogen, nitrogen, alkali metals, alkaline earth metals, iron, nickel, and silicon.

[雜質] [Impurity]

在此,說明氧化物半導體中的各雜質的影響。 Here, the influence of each impurity in the oxide semiconductor will be described.

在氧化物半導體包含第14族元素之一的矽或碳時,在氧化物半導體中形成缺陷能階。因此,將氧化物半導體中或氧化物半導體的介面附近的矽或碳的濃度(藉由二次離子質譜分析法(SIMS:Secondary Ion Mass Spectrometry)測得的濃度)設定為2×1018atoms/cm3以下,較佳為2×1017atoms/cm3以下。 When the oxide semiconductor contains silicon or carbon, which is one of the Group 14 elements, a defect level is formed in the oxide semiconductor. Therefore, the concentration of silicon or carbon in the oxide semiconductor or near the interface of the oxide semiconductor (concentration measured by secondary ion mass spectrometry (SIMS: Secondary Ion Mass Spectrometry)) is set to 2 × 10 18 atoms / cm 3 or less, preferably 2 × 10 17 atoms / cm 3 or less.

另外,當氧化物半導體包含鹼金屬或鹼土金屬時,有時形成缺陷能階而形成載子。因此,使用包含鹼金屬或鹼土金屬的氧化物半導體的電晶體容易具有常開啟特性。由此,較佳為減少氧化物半導體中的鹼金屬或鹼土金屬的濃度。明確而言,使藉由SIMS測得的氧化物半導體中的鹼金屬或鹼土金屬的濃度為1×1018atoms/cm3以下,較佳為2×1016atoms/cm3以下。 Moreover, when an oxide semiconductor contains an alkali metal or an alkaline-earth metal, a defect energy level may be formed and a carrier may be formed. Therefore, a transistor using an oxide semiconductor containing an alkali metal or an alkaline earth metal tends to have a normally-on characteristic. Therefore, it is preferable to reduce the concentration of an alkali metal or an alkaline earth metal in the oxide semiconductor. Specifically, the concentration of the alkali metal or alkaline earth metal in the oxide semiconductor measured by SIMS is 1 × 10 18 atoms / cm 3 or less, and preferably 2 × 10 16 atoms / cm 3 or less.

當氧化物半導體包含氮時,容易產生作為載子的電子,使載子密度增高,而n型化。其結果是,在將包含氮的氧化物半導體用於半導體的電晶體容易具有常開啟特性。因此,較佳為儘可能地減少該氧化物半導體中的氮,例如,利用SIMS測得的氧化物半導體中的氮濃度低於5×1019atoms/cm3,較佳為5×1018atoms/cm3以下,更佳為1×1018atoms/cm3 以下,進一步較佳為5×1017atoms/cm3以下。 When the oxide semiconductor contains nitrogen, electrons as carriers are easily generated, the carrier density is increased, and the n-type is formed. As a result, a transistor using a nitrogen-containing oxide semiconductor as a semiconductor tends to have a normally-on characteristic. Therefore, it is preferable to reduce the nitrogen in the oxide semiconductor as much as possible. For example, the nitrogen concentration in the oxide semiconductor measured by SIMS is less than 5 × 10 19 atoms / cm 3 , and preferably 5 × 10 18 atoms. / cm 3 or less, more preferably 1 × 10 18 atoms / cm 3 or less, and still more preferably 5 × 10 17 atoms / cm 3 or less.

包含在氧化物半導體中的氫與鍵合於金屬原子的氧起反應生成水,因此有時形成氧空位。當氫進入該氧空位時,有時產生作為載子的電子。另外,有時由於氫的一部分與鍵合於金屬原子的氧鍵合,產生作為載子的電子。因此,使用包含氫的氧化物半導體的電晶體容易具有常開啟特性。由此,較佳為儘可能減少氧化物半導體中的氫。明確而言,在氧化物半導體中,將利用SIMS測得的氫濃度設定為低於1×1020atoms/cm3,較佳為低於1×1019atoms/cm3,更佳為低於5×1018atoms/cm3,進一步較佳為低於1×1018atoms/cm3Hydrogen contained in an oxide semiconductor reacts with oxygen bonded to a metal atom to generate water, and therefore oxygen vacancies may be formed. When hydrogen enters this oxygen vacancy, an electron as a carrier is sometimes generated. In addition, a part of hydrogen may be bonded to oxygen bonded to a metal atom to generate an electron as a carrier. Therefore, a transistor using an oxide semiconductor containing hydrogen tends to have a normally-on characteristic. Therefore, it is preferable to reduce hydrogen in the oxide semiconductor as much as possible. Specifically, in the oxide semiconductor, the hydrogen concentration measured by SIMS is set to less than 1 × 10 20 atoms / cm 3 , preferably less than 1 × 10 19 atoms / cm 3 , and more preferably less than 5 × 10 18 atoms / cm 3 , and more preferably less than 1 × 10 18 atoms / cm 3 .

藉由將雜質被充分降低的氧化物半導體用於電晶體的通道形成區域,可以使電晶體具有穩定的電特性。 By using an oxide semiconductor whose impurities are sufficiently reduced for the channel formation region of the transistor, the transistor can have stable electrical characteristics.

〈半導體裝置的結構實例2〉 <Structure Example 2 of Semiconductor Device>

使用圖5A至圖5C說明包括本發明的一個實施方式的單元600的半導體裝置的一個例子。 An example of a semiconductor device including a cell 600 according to an embodiment of the present invention will be described with reference to FIGS. 5A to 5C.

圖5A是單元600的俯視圖。圖5B和圖5C是單元600的剖面圖。圖5B是沿著圖5A中的點劃線A1-A2的剖面圖,該剖面圖相當於電晶體200的通道長度方向上的剖面圖。圖5C是沿著圖5A中的點劃線A3-A4剖面圖,該剖面圖相當於電晶體200的通道寬度方向上的剖面圖。為了明確起見,在圖5A的俯視圖中省略圖式中的部分組件。 FIG. 5A is a top view of the unit 600. 5B and 5C are cross-sectional views of the cell 600. FIG. 5B is a cross-sectional view taken along the dashed-dotted line A1-A2 in FIG. 5A, and the cross-sectional view corresponds to a cross-sectional view in the channel length direction of the transistor 200. FIG. 5C is a cross-sectional view taken along a chain line A3-A4 in FIG. 5A, and the cross-sectional view corresponds to a cross-sectional view in the channel width direction of the transistor 200. For clarity, some components in the drawing are omitted in the top view of FIG. 5A.

注意,在圖5A至圖5C所示的半導體裝置中,對具有與構成〈半導體裝置的結構實例1〉所示的半導體裝置的組件相同的功能的組件附加相同元件符號。 Note that in the semiconductor device shown in FIGS. 5A to 5C, components having the same functions as those constituting the semiconductor device shown in <Structural Example 1 of Semiconductor Device> are assigned the same element symbols.

以下,使用圖5A至圖5C說明單元600的結構。在本節中,作為 單元600的構成材料可以使用在〈半導體裝置的結構實例1〉中進行了詳細說明的材料。 Hereinafter, the structure of the unit 600 will be described using FIGS. 5A to 5C. In this section, as the constituent material of the unit 600, the material described in detail in <Structural Example 1 of Semiconductor Device> can be used.

[單元600] [Unit 600]

如圖5A至圖5C所示,單元600與〈半導體裝置的結構實例1〉所示的半導體裝置至少在電容器100的形狀上不同。 As shown in FIGS. 5A to 5C, the cell 600 is different from the semiconductor device shown in <Structural Example 1 of Semiconductor Device> at least in the shape of the capacitor 100.

明確而言,如圖5A至圖5C所示,可以在絕緣體271上絕緣體130與絕緣體280接觸。因為絕緣體130與絕緣體280接觸,所以如圖5C所示,導電體252c在導電體260和氧化物230沒有重疊的區域電連接到導電體260。 Specifically, as shown in FIGS. 5A to 5C, the insulator 130 may be in contact with the insulator 280 on the insulator 271. Because the insulator 130 is in contact with the insulator 280, as shown in FIG. 5C, the conductor 252c is electrically connected to the conductor 260 in a region where the conductor 260 and the oxide 230 do not overlap.

例如,在形成絕緣體280之後,在絕緣體280及絕緣體274中形成開口以便使氧化物230的區域231b露出。在該開口中,以與該開口的側面及氧化物230的區域231b接觸的方式形成成為絕緣體130的絕緣膜。接著,成為導電體120的導電膜以隔著成為絕緣體130的絕緣膜嵌入在上述開口中的方式設置。 For example, after the insulator 280 is formed, openings are formed in the insulator 280 and the insulator 274 so that the region 231 b of the oxide 230 is exposed. In this opening, an insulating film serving as the insulator 130 is formed so as to be in contact with the side surface of the opening and the region 231 b of the oxide 230. Next, the conductive film that becomes the conductor 120 is provided so as to be embedded in the opening through the insulating film that becomes the insulator 130.

〈半導體裝置的結構實例3〉 <Structure Example 3 of Semiconductor Device>

下面,使用圖6A至圖6C說明包括根據本發明的一個實施方式的單元600的半導體裝置的一個例子。 Next, an example of a semiconductor device including a cell 600 according to an embodiment of the present invention will be described using FIGS. 6A to 6C.

圖6A是單元600的俯視圖。圖6B和圖6C是單元600的剖面圖。在此,圖6B是沿著圖6A中的點劃線A1-A2的剖面圖,該剖面圖相當於電晶體200的通道長度方向上的剖面圖。圖6C是沿著圖6A中的點劃線A3-A4剖面圖,該剖面圖相當於電晶體200的通道寬度方向上的剖面圖。為了明確起見,在圖6A的俯視圖中省略圖式中的部分組件。 FIG. 6A is a top view of the unit 600. 6B and 6C are cross-sectional views of the cell 600. Here, FIG. 6B is a cross-sectional view taken along a chain line A1-A2 in FIG. 6A, and the cross-sectional view corresponds to a cross-sectional view in the channel length direction of the transistor 200. FIG. 6C is a cross-sectional view taken along a dashed line A3-A4 in FIG. 6A, and the cross-sectional view corresponds to a cross-sectional view in the channel width direction of the transistor 200. For clarity, some components in the drawing are omitted in the top view of FIG. 6A.

注意,在圖6A至圖6C所示的半導體裝置中,對具有與構成〈半導 體裝置的結構實例1〉所示的半導體裝置的組件相同的功能的組件附加相同元件符號。 Note that in the semiconductor device shown in Figs. 6A to 6C, components having the same functions as those constituting the semiconductor device shown in "Structure Example 1 of Semiconductor Device" are assigned the same element symbols.

以下,使用圖6A至圖6C說明單元600的結構。在本節中,作為單元600的構成材料可以使用在〈半導體裝置的結構實例1〉中進行了詳細說明的材料。 Hereinafter, the structure of the unit 600 will be described using FIGS. 6A to 6C. In this section, as the constituent material of the cell 600, the material described in detail in <Structural Example 1 of Semiconductor Device> can be used.

[單元600] [Unit 600]

如圖6A至圖6C所示,單元600與〈半導體裝置的結構實例1〉所示的半導體裝置至少在電連接到電晶體200的導電體252b的形狀上不同。 As shown in FIGS. 6A to 6C, the cell 600 and the semiconductor device shown in <Structural Example 1 of Semiconductor Device> differ at least in the shape of the conductor 252 b electrically connected to the transistor 200.

明確而言,如圖6A至圖6C所示,電連接到電晶體200的區域231b的導電體252b可以與氧化物230a的底部接觸。藉由採用該結構,可以以與單元600重疊的方式設置導電體252b及導電體207(導電體207a及導電體207b)。當單元600與單元600的下方的其他結構體電連接時,不需要單元600上方的電連接到導電體252b的引線配線或者電連接該引線配線與單元600的下方的結構體的插頭等,所以可以縮短製程。 Specifically, as shown in FIGS. 6A to 6C, the conductor 252 b electrically connected to the region 231 b of the transistor 200 may be in contact with the bottom of the oxide 230 a. By adopting this structure, the conductor 252b and the conductor 207 (the conductor 207a and the conductor 207b) can be provided so as to overlap the cell 600. When the unit 600 is electrically connected to other structures below the unit 600, there is no need for a lead wire above the unit 600 that is electrically connected to the conductor 252b or a plug that electrically connects the lead wire and the structure below the unit 600, so Can shorten the process.

例如,導電體207與導電體205可以在同一製程中形成。 For example, the conductive body 207 and the conductive body 205 may be formed in the same process.

〈半導體裝置的製造方法1〉 <Method for Manufacturing Semiconductor Device 1>

接著,參照圖7A至圖20C說明包括本發明的電晶體200的半導體裝置的製造方法。在圖7A至圖20C中,各圖式的A是俯視圖。在圖7A至圖20C中,各圖式的B是沿著各圖式的A中的A1-A2的點劃線的部分的剖面圖。此外,在圖7A至圖20C中,各圖式的C是沿著各圖式的A中的A3-A4的點劃線的部分的剖面圖。 Next, a method for manufacturing a semiconductor device including the transistor 200 according to the present invention will be described with reference to FIGS. 7A to 20C. In FIGS. 7A to 20C, A in each drawing is a plan view. In FIGS. 7A to 20C, B of each drawing is a cross-sectional view of a portion along a chain line of A1-A2 in A of each drawing. In addition, in FIGS. 7A to 20C, C of each drawing is a cross-sectional view of a portion along a chain line of A3-A4 in A of each drawing.

首先,準備基板(未圖示),在該基板上形成絕緣體214。可以利 用濺射法、化學氣相沉積(CVD:Chemical Vapor Deposition)法、分子束磊晶(MBE:Molecular Beam Epitaxy)法、脈衝雷射沉積(PLD:Pulsed Laser Deposition)法或ALD法等形成絕緣體214。 First, a substrate (not shown) is prepared, and an insulator 214 is formed on the substrate. The insulator can be formed by a sputtering method, a chemical vapor deposition (CVD: Chemical Vapor Deposition) method, a molecular beam epitaxy (MBE: Molecular Beam Epitaxy) method, a pulsed laser deposition (PLD: Pulsed Laser Deposition) method, or an ALD method. 214.

注意,CVD法可以分為利用電漿的電漿增強CVD(PECVD:Plasma Enhanced CVD)法、利用熱量的熱CVD(TCVD:Thermal CVD)法、利用光的光CVD(Photo CVD)法等。再者,CVD法可以根據使用的源氣體分為金屬CVD(MCVD:Metal CVD)法及有機金屬CVD(MOCVD:Metal Organic CVD)法。 Note that the CVD method can be divided into a plasma enhanced CVD (PECVD: Plasma Enhanced CVD) method using a plasma, a thermal CVD (TCVD: Thermal CVD) method using heat, a photo CVD (Photo CVD) method using light, and the like. Furthermore, the CVD method can be classified into a metal CVD (MCVD: Metal CVD) method and an organic metal CVD (MOCVD: Metal Organic CVD) method according to a source gas used.

藉由利用電漿CVD法,可以以較低的溫度得到高品質的膜。另外,因為不使用電漿,熱CVD法是能夠減少對被處理物造成的電漿損傷的成膜方法。例如,包括在半導體裝置中的佈線、電極、元件(電晶體、電容器等)等有時因從電漿接收電荷而會產生電荷積聚(charge up)。此時,有時由於所累積的電荷而使包括在半導體裝置中的佈線、電極、元件等受損傷。另一方面,因為在不使用電漿的熱CVD法的情況下不產生上述電漿損傷,所以能夠提高半導體裝置的良率。另外,在熱CVD法中,不產生成膜時的電漿損傷,因此能夠得到缺陷較少的膜。 By using the plasma CVD method, a high-quality film can be obtained at a relatively low temperature. In addition, since plasma is not used, the thermal CVD method is a film-forming method capable of reducing plasma damage to an object to be processed. For example, a wiring, an electrode, an element (a transistor, a capacitor, and the like) included in a semiconductor device may generate charge up due to receiving a charge from a plasma. At this time, the wiring, electrodes, elements, etc. included in the semiconductor device may be damaged due to the accumulated electric charges. On the other hand, since the above-mentioned plasma damage does not occur in the thermal CVD method without using a plasma, the yield of a semiconductor device can be improved. In addition, in the thermal CVD method, since plasma damage during film formation does not occur, a film with fewer defects can be obtained.

另外,ALD法也是能夠減少對被處理物造成的電漿損傷的成膜方法。此外,在利用ALD法的成膜時不產生電漿損傷,所以能夠得到缺陷較少的膜。 In addition, the ALD method is also a film-forming method capable of reducing plasma damage to an object to be processed. In addition, since no plasma damage occurs during film formation by the ALD method, a film with fewer defects can be obtained.

不同於使從靶材等中被釋放的粒子沉積的成膜方法,CVD法及ALD法是因被處理物表面的反應而形成膜的形成方法。因此,藉由CVD法及ALD法形成的膜不易受被處理物的形狀的影響而具有良好的步階覆蓋性。尤其是,利用ALD法形成的膜具有良好的步階覆蓋性和厚度均勻性,所以ALD法適合用於要覆蓋縱橫比高的開口的表面的情況。但是,ALD法的沉積速度比較慢,所以有時較佳為與CVD法等沉積速 度快的其他成膜方法組合而使用。 Unlike a film formation method in which particles released from a target or the like are deposited, the CVD method and the ALD method are methods of forming a film due to a reaction on the surface of the object to be processed. Therefore, the film formed by the CVD method and the ALD method is not easily affected by the shape of the object to be processed, and has good step coverage. In particular, the film formed by the ALD method has good step coverage and thickness uniformity, so the ALD method is suitable for a case where the surface to be opened with a high aspect ratio is to be covered. However, since the deposition rate of the ALD method is relatively slow, it is sometimes preferable to use it in combination with another film-forming method such as a CVD method, which has a high deposition rate.

CVD法或ALD法可以藉由調整源氣體的流量比控制所得到的膜的組成。例如,當使用CVD法或ALD法時,可以藉由調整源氣體的流量比形成任意組成的膜。此外,例如,當使用CVD法或ALD法時,可以藉由一邊形成膜一邊改變源氣體的流量比來形成其組成連續變化的膜。在一邊改變源氣體的流量比一邊形成膜時,因為可以省略傳送及調整壓力所需的時間,所以與使用多個成膜室進行成膜的情況相比可以使其成膜時所需的時間縮短。因此,有時可以提高半導體裝置的生產率。 The CVD method or the ALD method can control the composition of the obtained film by adjusting the flow rate ratio of the source gas. For example, when a CVD method or an ALD method is used, a film having an arbitrary composition can be formed by adjusting the flow rate ratio of the source gas. In addition, for example, when a CVD method or an ALD method is used, a film whose composition is continuously changed can be formed by changing the flow rate ratio of the source gas while forming a film. When the film is formed while changing the flow rate ratio of the source gas, the time required for film formation can be omitted because the time required for conveying and adjusting the pressure can be omitted, as compared with the case where a plurality of film forming chambers are used for film formation. shorten. Therefore, the productivity of a semiconductor device may be improved in some cases.

在本實施方式中,作為絕緣體214,利用濺射法形成氧化鋁。絕緣體214也可以採用多層結構。例如可以採用利用濺射法形成氧化鋁,然後利用ALD法在該氧化鋁上形成另一氧化鋁的結構。或者,也可以採用利用ALD法形成氧化鋁,然後利用濺射法在該氧化鋁上形成另一氧化鋁的結構。 In this embodiment, as the insulator 214, alumina is formed by a sputtering method. The insulator 214 may also have a multilayer structure. For example, a structure in which alumina is formed by a sputtering method, and then another alumina is formed on the alumina by an ALD method may be adopted. Alternatively, a structure in which alumina is formed by an ALD method, and then another alumina is formed on the alumina by a sputtering method may also be adopted.

接著,在絕緣體214上形成絕緣體216。可以利用濺射法、CVD法、MBE法、PLD法或ALD法等形成絕緣體216。在本實施方式中,作為絕緣體216,藉由CVD法形成氧化矽。 Next, an insulator 216 is formed on the insulator 214. The insulator 216 can be formed by a sputtering method, a CVD method, a MBE method, a PLD method, or an ALD method. In this embodiment, as the insulator 216, silicon oxide is formed by a CVD method.

接著,在絕緣體216中形成開口。開口例如包括孔或狹縫等。有時將形成有開口的區域稱為開口部。在形成該開口時,可以使用濕蝕刻,但是對微型加工來說乾蝕刻是較佳的。作為絕緣體214,較佳為選擇在對絕緣體216進行蝕刻以形成槽時用作蝕刻障壁膜的絕緣體。例如,當作為形成槽的絕緣體216使用氧化矽膜時,作為絕緣體214可以使用氮化矽膜、氧化鋁膜、氧化鉿膜。 Next, an opening is formed in the insulator 216. The opening includes, for example, a hole or a slit. The area where the opening is formed is sometimes called an opening. In forming the opening, wet etching may be used, but dry etching is preferred for micromachining. As the insulator 214, an insulator used as an etching barrier film when the insulator 216 is etched to form a groove is preferably selected. For example, when a silicon oxide film is used as the insulator 216 forming the groove, a silicon nitride film, an aluminum oxide film, or a hafnium oxide film can be used as the insulator 214.

在形成開口後,形成成為導電體205a的導電膜。該導電膜較佳為 包含具有抑制氧透過的功能的導電體。例如,可以使用氮化鉭、氮化鎢、氮化鈦等。或者,可以使用該導電體與鉭、鎢、鈦、鉬、鋁、銅或鉬鎢合金的疊層膜。可以利用濺射法、CVD法、MBE法、PLD法或ALD法等形成成為導電體205a的導電體。 After the opening is formed, a conductive film that becomes the conductive body 205a is formed. The conductive film preferably contains a conductor having a function of suppressing oxygen transmission. For example, tantalum nitride, tungsten nitride, titanium nitride, or the like can be used. Alternatively, a laminated film of the conductor and tantalum, tungsten, titanium, molybdenum, aluminum, copper, or a molybdenum-tungsten alloy can be used. The conductive body 205a can be formed by a sputtering method, a CVD method, a MBE method, a PLD method, or an ALD method.

在本實施方式中,作為成為導電體205a的導電膜,利用濺射法形成氮化鉭膜或者在氮化鉭上層疊氮化鈦而成的膜。藉由作為導電體205a使用這種金屬氮化物,即使作為後面說明的導電體205b使用銅等容易擴散的金屬,也可以防止該金屬從導電體205a擴散到外部。 In this embodiment, as the conductive film to be the conductor 205a, a tantalum nitride film is formed by a sputtering method or a film in which titanium nitride is laminated on tantalum nitride. By using such a metal nitride as the conductor 205a, even if a metal that easily diffuses, such as copper, is used as the conductor 205b described later, the metal can be prevented from diffusing from the conductor 205a to the outside.

接著,在成為導電體205a的導電膜上形成成為導電體205b的導電膜。該導電膜可以使用濺射法、CVD法、MBE法、PLD法或ALD法等形成。在本實施方式中,作為成為導電體205b的導電膜,形成鎢、銅等低電阻導電材料。 Next, a conductive film to be a conductor 205 b is formed on the conductive film to be a conductor 205 a. This conductive film can be formed using a sputtering method, a CVD method, a MBE method, a PLD method, an ALD method, or the like. In this embodiment, a low-resistance conductive material such as tungsten or copper is formed as a conductive film to be the conductive body 205b.

接著,藉由進行CMP處理,去除成為導電體205a的導電膜以及成為導電體205b的導電膜的一部分,使絕緣體216露出。其結果是,只在開口殘留成為導電體205a的導電膜以及成為導電體205b的導電膜。由此,可以形成其頂面平坦的包括導電體205a及導電體205b的導電體205(參照圖7A至圖7C)。注意,有時由於該CMP處理而絕緣體216的一部分被去除。 Next, a CMP process is performed to remove the conductive film that becomes the conductor 205a and a part of the conductive film that becomes the conductor 205b, and expose the insulator 216. As a result, only the conductive film that becomes the conductor 205a and the conductive film that becomes the conductor 205b remain in the openings. Thereby, the conductive body 205 including the conductive body 205a and the conductive body 205b whose top surface is flat can be formed (see FIGS. 7A to 7C). Note that a part of the insulator 216 may be removed due to the CMP process.

接著,在絕緣體216及導電體205上形成絕緣體220。可以利用濺射法、CVD法、MBE法、PLD法或ALD法等形成絕緣體220。 Next, an insulator 220 is formed on the insulator 216 and the conductor 205. The insulator 220 can be formed by a sputtering method, a CVD method, a MBE method, a PLD method, or an ALD method.

接著,在絕緣體220上形成絕緣體222。可以利用濺射法、CVD法、MBE法、PLD法或ALD法等形成絕緣體222。 Next, an insulator 222 is formed on the insulator 220. The insulator 222 can be formed by a sputtering method, a CVD method, a MBE method, a PLD method, or an ALD method.

尤其是,作為絕緣體222,較佳為利用ALD法形成氧化鉿。利用 ALD法形成的氧化鉿對氧、氫及水具有阻擋性。藉由使絕緣體222對氫及水具有阻擋性,設置於電晶體200的周邊的結構體所包含的氫及水不擴散到電晶體200的內側,而可以抑制在氧化物230中生成氧空位。 In particular, as the insulator 222, hafnium oxide is preferably formed by an ALD method. Thorium oxide formed by the ALD method is barrier to oxygen, hydrogen and water. By making the insulator 222 resistant to hydrogen and water, the hydrogen and water included in the structure provided around the transistor 200 do not diffuse to the inside of the transistor 200, and the generation of oxygen vacancies in the oxide 230 can be suppressed.

接著,在絕緣體222上形成絕緣體224。可以利用濺射法、CVD法、MBE法、PLD法或ALD法等形成絕緣體224(參照圖7A至圖7C)。 Next, an insulator 224 is formed on the insulator 222. The insulator 224 can be formed by a sputtering method, a CVD method, a MBE method, a PLD method, or an ALD method (see FIGS. 7A to 7C).

接著,較佳為進行加熱處理。加熱處理以250℃以上且650℃以下的溫度,較佳為以300℃以上且500℃以下的溫度,更佳為以320℃以上且450℃以下的溫度進行即可。第一加熱處理在氮或惰性氣體氛圍或者包含10ppm以上、1%以上或10%以上的氧化性氣體的氛圍下進行。第一加熱處理也可以在減壓狀態下進行。或者,第一加熱處理也可以在氮或惰性氣體氛圍下進行加熱處理,然後為了填補脫離了的氧在包含10ppm以上、1%以上或10%以上的氧化性氣體氛圍下,進行加熱處理。 Next, heat treatment is preferably performed. The heat treatment may be performed at a temperature of 250 ° C. or higher and 650 ° C. or lower, preferably 300 ° C. or higher and 500 ° C. or lower, and more preferably 320 ° C. or higher and 450 ° C. or lower. The first heat treatment is performed in an atmosphere of nitrogen or an inert gas or an atmosphere containing 10 ppm or more, 1% or more, or 10% or more of an oxidizing gas. The first heat treatment may be performed under a reduced pressure. Alternatively, the first heat treatment may be performed in a nitrogen or inert gas atmosphere, and then, in order to make up for the desorbed oxygen, the heat treatment may be performed in an oxidizing gas atmosphere containing 10 ppm or more, 1% or more, or 10% or more.

藉由上述加熱處理,可以去除絕緣體224所包含的水或氫等雜質。 By the heat treatment described above, impurities such as water or hydrogen contained in the insulator 224 can be removed.

或者,在加熱處理中,也可以在減壓狀態下進行包含氧的電漿處理。包含氧的電漿處理例如較佳為採用包括用來產生使用微波的高密度電漿的電源的裝置。或者,也可以包括對基板一側施加RF(Radio Frequency:射頻)的電源。藉由使用高密度電漿可以生成高密度氧自由基,且藉由對基板一側施加RF可以將由高密度電漿生成的氧自由基高效地導入絕緣體224中。或者,也可以在使用這種裝置進行包含惰性氣體的電漿處理之後,為填補脫離的氧而進行包含氧的電漿處理。注意,有時也可以不進行第一加熱處理。 Alternatively, in the heat treatment, a plasma treatment including oxygen may be performed under a reduced pressure. An oxygen-containing plasma treatment is preferably a device including a power source for generating a high-density plasma using microwaves, for example. Alternatively, it may include a power source that applies RF (Radio Frequency) to one side of the substrate. By using a high-density plasma, high-density oxygen radicals can be generated, and by applying RF to the substrate side, oxygen radicals generated by the high-density plasma can be efficiently introduced into the insulator 224. Alternatively, after performing a plasma treatment including an inert gas using such a device, a plasma treatment including oxygen may be performed in order to fill the desorbed oxygen. Note that the first heat treatment may not be performed in some cases.

另外,該加熱處理也可以在形成絕緣體220後以及形成絕緣體222後分別進行。該加熱處理可以使用上述加熱處理條件,但是形成絕緣 體220後的加熱處理較佳為在包含氮的氛圍下進行。 The heat treatment may be performed after the insulator 220 is formed and after the insulator 222 is formed. This heat treatment can be performed using the above-mentioned heat treatment conditions, but the heat treatment after forming the insulator 220 is preferably performed in an atmosphere containing nitrogen.

在本實施方式中,作為加熱處理,在形成絕緣體224之後在氮氛圍下以400℃的溫度進行1小時的處理。 In this embodiment, as the heat treatment, after the insulator 224 is formed, the treatment is performed at a temperature of 400 ° C. for one hour in a nitrogen atmosphere.

接著,在絕緣體224上依次形成成為氧化物230a的氧化膜230A以及成為氧化物230b的氧化膜230B(參照圖8A至圖8C)。較佳為在不暴露於大氣環境的情況下連續地形成上述氧化膜。藉由不暴露於大氣而形成氧化膜,由於可以防止來自大氣環境的雜質或水分附著於氧化膜230A及氧化膜230B上,所以可以保持氧化膜230A與氧化膜230B的介面附近的清潔。 Next, an oxide film 230A serving as the oxide 230a and an oxide film 230B serving as the oxide 230b are sequentially formed on the insulator 224 (see FIGS. 8A to 8C). The oxide film is preferably formed continuously without being exposed to the atmospheric environment. Since the oxide film is formed without being exposed to the atmosphere, impurities or moisture from the atmospheric environment can be prevented from adhering to the oxide film 230A and the oxide film 230B. Therefore, the vicinity of the interface between the oxide film 230A and the oxide film 230B can be kept clean.

可以利用濺射法、CVD法、MBE法、PLD法或ALD法等形成氧化膜230A以及氧化膜230B。 The oxide film 230A and the oxide film 230B can be formed by a sputtering method, a CVD method, a MBE method, a PLD method, or an ALD method.

例如,在利用濺射法形成氧化膜230A以及氧化膜230B的情況下,作為濺射氣體使用氧或者氧和稀有氣體的混合氣體。藉由增高濺射氣體所包含的氧的比率,可以增加在形成的氧化膜中的過量氧。另外,在利用濺射法形成上述氧化膜的情況下,可以使用上述In-M-Zn氧化物靶材。 For example, when the oxide film 230A and the oxide film 230B are formed by a sputtering method, oxygen or a mixed gas of oxygen and a rare gas is used as the sputtering gas. By increasing the ratio of the oxygen contained in the sputtering gas, the excess oxygen in the formed oxide film can be increased. When the oxide film is formed by a sputtering method, the In-M-Zn oxide target can be used.

尤其是,在形成氧化膜230A時,有時濺射氣體所包含的氧的一部分供應給絕緣體224。此外,氧化膜230A的濺射氣體所包含的氧的比率可以為70%以上,較佳為80%以上,更佳為100%。 In particular, when forming the oxide film 230A, a part of the oxygen contained in the sputtering gas may be supplied to the insulator 224. In addition, the ratio of the oxygen contained in the sputtering gas of the oxide film 230A may be 70% or more, preferably 80% or more, and more preferably 100%.

此外,在利用濺射法形成氧化膜230B的情況下,當在濺射氣體所包含的氧的比率設定為1%以上且30%以下、較佳為5%以上且20%以下的情況下進行成膜時,形成氧缺乏型氧化物半導體。使用氧缺乏型氧化物半導體的電晶體可以具有較高的場效移動率。 In addition, when the oxide film 230B is formed by a sputtering method, it is performed when the ratio of the oxygen contained in the sputtering gas is set to 1% or more and 30% or less, preferably 5% or more and 20% or less. During film formation, an oxygen-deficient oxide semiconductor is formed. A transistor using an oxygen-deficient oxide semiconductor can have a high field-effect mobility.

在本實施方式中,利用濺射法使用In:Ga:Zn=1:3:4[原子個數比]的靶材形成氧化膜230A,並且利用濺射法使用In:Ga:Zn=4:2:4.1[原子個數比]的靶材形成氧化膜230B。上述氧化膜可以根據氧化物230所需的特性適當地選擇成膜條件及原子個數比來形成。 In this embodiment, an oxide film 230A is formed using a sputtering method using a target of In: Ga: Zn = 1: 3: 4 [atom number ratio], and In: Ga: Zn = 4: using a sputtering method. 2: 4.1 [atomic number ratio] target forms an oxide film 230B. The oxide film can be formed by appropriately selecting film formation conditions and atomic ratios in accordance with the characteristics required for the oxide 230.

接著,也可以進行加熱處理。作為加熱處理,可以利用上述加熱處理條件。藉由進行加熱處理,可以去除氧化膜230A以及氧化膜230B中的水或氫等雜質。在本實施方式中,在氮氛圍下以400℃的溫度進行1小時的處理,接下來連續地在氧氛圍下以400℃的溫度進行1小時的處理。 Then, you may heat-process. As the heat treatment, the above-mentioned heat treatment conditions can be used. By performing the heat treatment, impurities such as water or hydrogen in the oxide film 230A and the oxide film 230B can be removed. In the present embodiment, the treatment is performed at a temperature of 400 ° C. for 1 hour under a nitrogen atmosphere, and then the treatment is performed continuously at a temperature of 400 ° C. for 1 hour under an oxygen atmosphere.

接著,將氧化膜230A及氧化膜230B加工為島狀來形成氧化物230a及氧化物230b(參照圖9A至圖9C)。在本製程中,例如可以將絕緣體222用作蝕刻停止膜。 Next, the oxide film 230A and the oxide film 230B are processed into an island shape to form an oxide 230a and an oxide 230b (see FIGS. 9A to 9C). In this process, for example, the insulator 222 can be used as an etching stopper film.

注意,在上述製程中,也可以將絕緣體224加工為島狀。可以對絕緣體224進行半蝕刻。藉由對絕緣體224進行半蝕刻,在後面的製程中形成的氧化物230c下殘留有絕緣體224。另外,可以在後面的製程中加工絕緣膜272A時將絕緣體224加工為島狀。 Note that in the above process, the insulator 224 may be processed into an island shape. The insulator 224 may be half-etched. By half-etching the insulator 224, the insulator 224 remains under the oxide 230c formed in a later process. In addition, the insulator 224 may be processed into an island shape when the insulating film 272A is processed in a later process.

在此,以其至少一部分與導電體205重疊的方式形成氧化物230a及氧化物230b。氧化物230a及氧化物230b的側面較佳為與絕緣體222大致垂直。當氧化物230a及氧化物230b的側面與絕緣體222大致垂直時,在設置多個電晶體200時可以實現小面積化和高密度化。可以採用氧化物230a及氧化物230b的側面和絕緣體222的頂面所形成的角度為銳角的結構。此時,氧化物230a及氧化物230b的側面和絕緣體222的頂面所形成的角度越大越好。 Here, the oxide 230a and the oxide 230b are formed so that at least a part of the oxide 230a overlaps the conductor 205. The side surfaces of the oxides 230a and 230b are preferably substantially perpendicular to the insulator 222. When the side surfaces of the oxide 230a and the oxide 230b are substantially perpendicular to the insulator 222, it is possible to reduce the area and increase the density when a plurality of transistors 200 are provided. A structure in which the angle formed by the side surfaces of the oxide 230a and the oxide 230b and the top surface of the insulator 222 is an acute angle may be adopted. At this time, the larger the angle formed by the side surfaces of the oxides 230a and 230b and the top surface of the insulator 222, the better.

在氧化物230a及氧化物230b的側面和氧化物230a及氧化物230b的頂面之間具有彎曲面。就是說,側面的端部和頂面的端部較佳為彎曲(以下,也稱為圓形)。例如,在氧化物230b的端部,彎曲面的曲率半徑較佳為3nm以上且10nm以下,更佳為5nm以上且6nm以下。 A curved surface is provided between the side surfaces of the oxides 230a and 230b and the top surfaces of the oxides 230a and 230b. That is, the end portion of the side surface and the end portion of the top surface are preferably curved (hereinafter, also referred to as a circle). For example, at the end of the oxide 230b, the curvature radius of the curved surface is preferably 3 nm or more and 10 nm or less, and more preferably 5 nm or more and 6 nm or less.

藉由使端部不具有角,可以提高後面的形成製程中的膜的覆蓋性。 By making the end portions not have corners, it is possible to improve the coverage of the film in the subsequent formation process.

該氧化膜的加工可以利用光微影法進行。另外,該加工可以利用乾蝕刻法或濕蝕刻法。利用乾蝕刻法的加工適合於微細加工。 The processing of this oxide film can be performed by a photolithography method. This process can be performed by a dry etching method or a wet etching method. The processing by the dry etching method is suitable for fine processing.

注意,在光微影法中,首先藉由遮罩對光阻劑進行曝光。接著,使用顯影液去除或留下所曝光的區域而形成光阻遮罩。接著,隔著該光阻遮罩進行蝕刻處理來將導電體、半導體或絕緣體等加工為所希望的形狀。例如,使用KrF準分子雷射、ArF準分子雷射、EUV(Extreme Ultraviolet:極紫外)光等對光阻劑進行曝光來形成光阻遮罩,即可。此外,也可以利用在基板和投影透鏡之間填滿液體(例如,水)的狀態下進行曝光的液浸技術。另外,也可以使用電子束或離子束代替上述光。注意,當使用電子束或離子束時,不需要遮罩。另外,作為去除光阻遮罩的方法,既可以進行灰化處理等乾蝕刻處理或濕蝕刻處理,也可以在進行乾蝕刻處理之後進行濕蝕刻處理,又可以在進行濕蝕刻處理之後進行乾蝕刻處理。 Note that in the photolithography method, the photoresist is first exposed by a mask. Next, a developing solution is used to remove or leave the exposed areas to form a photoresist mask. Next, an etching process is performed through this photoresist mask to process a conductor, a semiconductor, an insulator, or the like into a desired shape. For example, KrF excimer laser, ArF excimer laser, EUV (Extreme Ultraviolet) light or the like may be used to form a photoresist mask by exposing the photoresist. Alternatively, a liquid immersion technique may be used in which exposure is performed while a liquid (for example, water) is filled between the substrate and the projection lens. Alternatively, an electron beam or an ion beam may be used instead of the light. Note that when using an electron or ion beam, no mask is required. In addition, as a method of removing the photoresist mask, a dry etching process or a wet etching process such as an ashing process may be performed, a wet etching process may be performed after the dry etching process, or a dry etching may be performed after the wet etching process. deal with.

可以使用由絕緣體或導電體構成的硬遮罩代替光阻遮罩。當使用硬遮罩時,可以在氧化膜230B上形成成為硬遮罩材料的絕緣膜或導電膜且在其上形成光阻遮罩,然後對硬遮罩材料進行蝕刻來形成所希望的形狀的硬遮罩。對氧化膜230A以及氧化膜230B進行的蝕刻既可以在去除光阻遮罩後進行,又可以不去除光阻遮罩進行。在採用後者的情況下,進行蝕刻時有時光阻遮罩消失。可以在對上述氧化膜進行蝕刻後藉由蝕刻去除硬遮罩。另一方面,在硬遮罩材料沒有影響到後製 程或者可以在後製程中使用的情況下,不一定要去除硬遮罩。 Instead of a photoresist mask, a hard mask composed of an insulator or a conductor may be used. When a hard mask is used, an insulating film or a conductive film that becomes a hard mask material can be formed on the oxide film 230B and a photoresist mask can be formed thereon, and then the hard mask material is etched to form a desired shape. Hard matte. The etching of the oxide film 230A and the oxide film 230B may be performed after removing the photoresist mask, or may be performed without removing the photoresist mask. In the latter case, the photoresist mask may disappear during etching. After the oxide film is etched, the hard mask can be removed by etching. On the other hand, it is not necessary to remove the hard mask if the hard mask material does not affect the post process or can be used in the post process.

作為乾蝕刻裝置,可以使用包括平行平板型電極的電容耦合型電漿(CCP:Capacitively Coupled Plasma)蝕刻裝置。包括平行平板型電極的電容耦合型電漿蝕刻裝置也可以採用對平行平板型電極中的一個施加高頻電源的結構。或者,也可以採用對平行平板型電極中的一個施加不同的多個高頻電源的結構。或者,也可以採用對平行平板型電極的各個施加頻率相同的高頻電源的結構。或者,也可以採用對平行平板型電極的各個施加不同的高頻電源的結構。或者,也可以利用具有高密度電漿源的乾蝕刻裝置。例如,作為具有高密度電漿源的乾蝕刻裝置,可以使用感應耦合電漿(ICP:Inductively Coupled Plasma)蝕刻裝置等。 As the dry etching device, a capacitively coupled plasma (CCP: Capacitively Coupled Plasma) etching device including a parallel plate-type electrode can be used. The capacitance-coupled plasma etching device including the parallel plate-type electrode may have a structure in which a high-frequency power source is applied to one of the parallel plate-type electrodes. Alternatively, a configuration in which a plurality of different high-frequency power sources are applied to one of the parallel plate-type electrodes may be adopted. Alternatively, a configuration in which a high-frequency power source having the same frequency is applied to each of the parallel plate-shaped electrodes may be adopted. Alternatively, a configuration in which a different high-frequency power source is applied to each of the parallel flat-plate electrodes may be adopted. Alternatively, a dry etching apparatus having a high-density plasma source may be used. For example, as a dry etching device having a high-density plasma source, an inductively coupled plasma (ICP) etching device or the like can be used.

藉由進行上述乾蝕刻等的處理,有時起因於蝕刻氣體等的雜質附著於或擴散於氧化物230a、氧化物230b等的表面或內部。作為雜質,例如有氟或氯等。 By performing the above-mentioned processes such as dry etching, impurities due to an etching gas or the like may adhere to or diffuse on the surface or inside of the oxide 230a, the oxide 230b, or the like. Examples of impurities include fluorine and chlorine.

為了去除上述雜質等,進行洗滌。作為洗滌方法,有使用洗滌液等的濕式清潔、使用電漿的等離子處理以及熱處理的洗滌等,可以適當地組合上述洗滌。 In order to remove the impurities and the like, washing is performed. As a washing method, there are wet cleaning using a washing liquid or the like, plasma treatment using a plasma, and heat treatment washing, and the above-mentioned washing can be appropriately combined.

作為濕式清潔,可以使用用碳酸水或純水稀釋草酸、磷酸或氫氟酸等的水溶液進行洗滌處理。或者,可以使用純水或碳酸水進行超聲波洗滌。在本實施方式中,使用純水或碳酸水進行超聲波洗滌。 For wet cleaning, an aqueous solution such as oxalic acid, phosphoric acid, or hydrofluoric acid diluted with carbonated water or pure water can be used for washing treatment. Alternatively, ultrasonic washing may be performed using pure water or carbonated water. In this embodiment, ultrasonic washing is performed using pure water or carbonated water.

接著,也可以進行加熱處理。作為加熱處理,可以利用上述加熱處理條件。 Then, you may heat-process. As the heat treatment, the above-mentioned heat treatment conditions can be used.

接著,在絕緣體222、氧化物230a及氧化物230b上依次形成氧化 膜230C、絕緣膜250A、導電膜260A、導電膜260B、導電膜260C、絕緣膜270A及絕緣膜272A(參照圖10A至圖10C)。 Next, an oxide film 230C, an insulating film 250A, a conductive film 260A, a conductive film 260B, a conductive film 260C, an insulating film 270A, and an insulating film 272A are sequentially formed on the insulator 222, the oxide 230a, and the oxide 230b (see FIGS. 10A to 10C). ).

氧化膜230C可以使用濺射法、CVD法、MBE法、PLD法或ALD法等形成。氧化膜230C可以根據氧化物230c所需的特性利用與氧化膜230A或氧化膜230B相同的形成方法來形成。在本實施方式中,利用濺射法使用In:Ga:Zn=4:2:4.1[原子個數比]的靶材形成氧化膜230C。 The oxide film 230C can be formed using a sputtering method, a CVD method, a MBE method, a PLD method, an ALD method, or the like. The oxide film 230C can be formed using the same formation method as the oxide film 230A or the oxide film 230B according to the characteristics required for the oxide 230c. In this embodiment, an oxide film 230C is formed by a sputtering method using a target of In: Ga: Zn = 4: 2: 4.1 [atomic number ratio].

可以利用濺射法、CVD法、MBE法、PLD法或ALD法等形成絕緣膜250A。 The insulating film 250A can be formed by a sputtering method, a CVD method, a MBE method, a PLD method, or an ALD method.

另外,藉由使用微波激發氧,產生高密度氧電漿,將絕緣膜250A暴露於該氧電漿,可以對絕緣膜250A及氧化物230a、氧化物230b及氧化膜230C引入氧。 In addition, by using a microwave to excite oxygen to generate a high-density oxygen plasma, and exposing the insulating film 250A to the oxygen plasma, oxygen can be introduced into the insulating film 250A and oxide 230a, oxide 230b, and oxide film 230C.

另外,也可以進行加熱處理。作為加熱處理,可以利用上述加熱處理條件。藉由該加熱處理,可以降低絕緣膜250A的水分濃度及氫濃度。 Alternatively, heat treatment may be performed. As the heat treatment, the above-mentioned heat treatment conditions can be used. This heat treatment can reduce the water concentration and hydrogen concentration of the insulating film 250A.

可以利用濺射法、CVD法、MBE法、PLD法或ALD法等形成導電膜260A。在此,藉由進行低電阻化處理,可被用作氧化物230的氧化物半導體成為導電氧化物。因此,可以作為導電膜260A形成可被用作氧化物230的氧化物,在後面的製程中使該氧化物低電阻化。藉由作為導電膜260A,在包含氧的氛圍下利用濺射法形成可被用作氧化物230的氧化物,可以對絕緣膜250A添加氧。藉由對絕緣膜250A添加氧,該被添加的氧可以經過絕緣膜250A被供應到氧化物230。 The conductive film 260A can be formed by a sputtering method, a CVD method, a MBE method, a PLD method, or an ALD method. Here, the oxide semiconductor that can be used as the oxide 230 becomes a conductive oxide by performing a resistance reduction process. Therefore, an oxide that can be used as the oxide 230 can be formed as the conductive film 260A, and the oxide can be reduced in resistance in a later process. As the conductive film 260A, an oxide that can be used as the oxide 230 is formed by a sputtering method in an atmosphere containing oxygen, and oxygen can be added to the insulating film 250A. By adding oxygen to the insulating film 250A, the added oxygen can be supplied to the oxide 230 through the insulating film 250A.

可以利用濺射法、CVD法、MBE法、PLD法或ALD法等形成導電膜260B。當作為導電膜260A使用可被用作氧化物230的氧化物半導 體時,利用濺射法形成導電膜260B,由此可以降低導電膜260A的電阻值,使導電膜260A成為導電體。可以將該導電體稱為OC(Oxide Conductor)電極。可以在該OC電極上的導電體上利用濺射法等再形成導電體。 The conductive film 260B can be formed by a sputtering method, a CVD method, a MBE method, a PLD method, or an ALD method. When an oxide semiconductor that can be used as the oxide 230 is used as the conductive film 260A, the conductive film 260B is formed by a sputtering method, thereby reducing the resistance value of the conductive film 260A and making the conductive film 260A a conductor. This conductor may be referred to as an OC (Oxide Conductor) electrode. The conductor on the OC electrode can be reformed by a sputtering method or the like.

此外,藉由作為導電膜260C層疊低電阻的金屬膜,可以提供驅動電壓小的電晶體。 In addition, by stacking a low-resistance metal film as the conductive film 260C, a transistor having a small driving voltage can be provided.

接著,可以進行加熱處理。作為加熱處理,可以利用上述加熱處理條件。注意,有時也可以不進行加熱處理。在本實施方式中,在氮氛圍下以400℃的溫度進行1小時的處理。 Then, heat treatment may be performed. As the heat treatment, the above-mentioned heat treatment conditions can be used. Note that the heat treatment may not be performed in some cases. In this embodiment, the treatment is performed at a temperature of 400 ° C. for one hour in a nitrogen atmosphere.

可以利用濺射法、CVD法、MBE法、PLD法或ALD法等形成絕緣膜270A。因為絕緣膜270A被用作障壁膜,所以作為絕緣膜270A較佳為使用具有抑制水或氫等雜質及氧透過的功能的絕緣材料。例如較佳為使用氧化鋁或氧化鉿等。由此,可以防止導電體260的氧化。另外,可以抑制水或氫等雜質藉由導電體260及絕緣體250進入氧化物230中。 The insulating film 270A can be formed by a sputtering method, a CVD method, a MBE method, a PLD method, or an ALD method. Since the insulating film 270A is used as a barrier film, it is preferable to use an insulating material having a function of suppressing the penetration of impurities such as water or hydrogen and oxygen as the insulating film 270A. For example, alumina or hafnium oxide is preferably used. Thereby, oxidation of the conductor 260 can be prevented. In addition, impurities such as water or hydrogen can be suppressed from entering the oxide 230 through the conductor 260 and the insulator 250.

絕緣體250的側面、導電體260a的側面、導電體260b的側面及絕緣體270的側面較佳為形成同一面。由絕緣體250的側面、導電體260a的側面、導電體260b的側面及絕緣體270的側面形成的同一面較佳為與基板大致垂直。就是說,在剖面形狀中,絕緣體250、導電體260a、導電體260b及絕緣體270的側面與氧化物230的頂面之間的角度較佳為銳角且越大越好。在剖面形狀中,絕緣體250、導電體260a、導電體260b及絕緣體270的側面與氧化物230的頂面所形成的角度也可以為銳角。此時,絕緣體250、導電體260a、導電體260b及絕緣體270的側面與氧化物230的頂面所形成的角度越大越好。 The sides of the insulator 250, the sides of the conductor 260a, the sides of the conductor 260b, and the sides of the insulator 270 are preferably formed on the same side. The same surface formed by the side surface of the insulator 250, the side surface of the conductor 260a, the side surface of the conductor 260b, and the side surface of the insulator 270 is preferably substantially perpendicular to the substrate. That is, in the cross-sectional shape, the angle between the sides of the insulator 250, the conductor 260a, the conductor 260b, and the insulator 270 and the top surface of the oxide 230 is preferably an acute angle, and the larger the better. In the cross-sectional shape, the angle formed by the side surfaces of the insulator 250, the conductor 260a, the conductor 260b, and the insulator 270 and the top surface of the oxide 230 may be an acute angle. At this time, the larger the angle formed by the side surfaces of the insulator 250, the conductor 260a, the conductor 260b, and the insulator 270 and the top surface of the oxide 230, the better.

可以利用濺射法、CVD法、MBE法、PLD法或ALD法等形成絕緣膜271A。在此,絕緣膜271A的厚度較佳為比在後面的製程中形成的絕緣膜272A的厚度大。由此,在後面的製程中形成絕緣體272時,可易於在導電體260上殘留絕緣體271。 The insulating film 271A can be formed by a sputtering method, a CVD method, a MBE method, a PLD method, or an ALD method. Here, the thickness of the insulating film 271A is preferably larger than the thickness of the insulating film 272A formed in a later process. Therefore, when the insulator 272 is formed in a later process, the insulator 271 can be easily left on the conductor 260.

絕緣體271被用作硬遮罩。藉由設置絕緣體271,可以使絕緣體250的側面、導電體260a的側面、導電體260b的側面、導電體260c的側面及絕緣體270的側面與基板大致垂直。 The insulator 271 is used as a hard mask. By providing the insulator 271, the side surface of the insulator 250, the side of the conductor 260a, the side of the conductor 260b, the side of the conductor 260c, and the side of the insulator 270 can be made substantially perpendicular to the substrate.

因此,對絕緣膜271A進行蝕刻形成絕緣體271。接著,將絕緣體271用作遮罩,對絕緣膜250A、導電膜260A、導電膜260B、導電膜260C及絕緣膜270A進行蝕刻來形成絕緣體250、導電體260(導電體260a、導電體260b及導電體260c)及絕緣體270(參照圖11A至圖11C)。在該加工之後,也可以不去除上述硬遮罩而進行後製程。上述硬遮罩在後製程中進行的摻雜物的添加中也可以被用作硬遮罩。 Therefore, the insulating film 271A is etched to form an insulator 271. Next, using the insulator 271 as a mask, the insulating film 250A, the conductive film 260A, the conductive film 260B, the conductive film 260C, and the insulating film 270A are etched to form the insulator 250, the conductor 260 (the conductor 260a, the conductor 260b, and the conductor). Body 260c) and insulator 270 (see FIGS. 11A to 11C). After the processing, a post-process may be performed without removing the hard mask. The above-mentioned hard mask can also be used as a hard mask in the addition of dopants performed in a later process.

絕緣體250、導電體260及絕緣體271以其至少一部分與導電體205及氧化物230重疊的方式形成。 The insulator 250, the conductor 260, and the insulator 271 are formed so that at least a part thereof overlaps the conductor 205 and the oxide 230.

另外,由於上述蝕刻,有時氧化膜230C中的不與絕緣體250重疊的區域的頂面也被蝕刻。在此情況下,有時氧化膜230C中的與絕緣體250重疊的區域的膜的厚度比氧化膜230C中的不與絕緣體250重疊的區域大。 In addition, due to the above-mentioned etching, the top surface of a region in the oxide film 230C that does not overlap the insulator 250 may be etched. In this case, a thickness of a film in a region of the oxide film 230C that overlaps the insulator 250 may be larger than a thickness of a region in the oxide film 230C that does not overlap the insulator 250.

接著,覆蓋絕緣體222、絕緣體224、氧化膜230C、絕緣體250、導電體260、絕緣體270及絕緣體271形成絕緣膜272A(參照圖12A至圖12C)。絕緣膜272A較佳為使用濺射裝置形成。藉由利用濺射法,可以容易地在接觸於絕緣膜272A的絕緣體250及絕緣體224中形成過量氧區域。 Next, an insulating film 272A is formed by covering the insulator 222, the insulator 224, the oxide film 230C, the insulator 250, the conductor 260, the insulator 270, and the insulator 271 (see FIGS. 12A to 12C). The insulating film 272A is preferably formed using a sputtering device. By using the sputtering method, an excessive oxygen region can be easily formed in the insulator 250 and the insulator 224 that are in contact with the insulating film 272A.

在此,在利用濺射法進行成膜時,在靶材與基板之間存在離子和被濺射的粒子。例如,靶材與電源連接,並被供應電位E0。另外,基板被供應接地電位等電位E1。注意,基板也可以處於電浮動狀態。另外,在靶材與基板之間存在成為電位E2的區域。各電位的大小關係滿足E2>E1>E0。 Here, when a film is formed by a sputtering method, ions and sputtered particles are present between the target and the substrate. For example, the target is connected to a power source and is supplied with a potential E0. In addition, the substrate is supplied with a potential E1 such as a ground potential. Note that the substrate may also be in an electrically floating state. In addition, a region that becomes the potential E2 exists between the target and the substrate. The magnitude relationship of each potential satisfies E2> E1> E0.

藉由使電漿中的離子由於電位差E2-E0加速而該離子碰撞到靶材,被濺射的粒子從靶材被彈出。並且,藉由該被濺射的粒子附著於成膜表面上而沉積,來形成膜。另外,有時離子的一部分由靶材反沖,並且作為反沖離子經過所形成的膜被吸收到與被形成面接觸的絕緣體250及絕緣體224。此外,有時電漿中的離子由於電位差E2-E1而加速,衝擊到成膜表面。此時,離子的一部分到達絕緣體250及絕緣體224的內部。藉由離子被吸收到絕緣體250及絕緣體224,在絕緣體250及絕緣體224中形成離子被吸收的區域。換言之,在離子是包含氧的離子的情況下,在絕緣體250及絕緣體224中形成過量氧區域。 By accelerating the ions in the plasma due to the potential difference E2-E0, the ions collide with the target, and the sputtered particles are ejected from the target. Then, the sputtered particles are deposited on the film-forming surface and deposited to form a film. In addition, a part of the ions may be recoiled by the target, and may be absorbed as the recoil ions through the formed film to the insulator 250 and the insulator 224 that are in contact with the surface to be formed. In addition, the ions in the plasma may be accelerated due to the potential difference E2-E1, and may impact the film-forming surface. At this time, a part of the ions reach the inside of the insulator 250 and the insulator 224. As the ions are absorbed into the insulator 250 and the insulator 224, a region in which the ions are absorbed is formed in the insulator 250 and the insulator 224. In other words, when the ions are ions containing oxygen, an excessive oxygen region is formed in the insulator 250 and the insulator 224.

藉由對絕緣體250及絕緣體224引入過量氧,可以形成過量氧區域。絕緣體250及絕緣體224中的過量氧被供應到氧化物230中,可以填補氧化物230中的氧空位。 By introducing excess oxygen into the insulator 250 and the insulator 224, an excess oxygen region can be formed. Excess oxygen in the insulator 250 and the insulator 224 is supplied to the oxide 230, and the oxygen vacancies in the oxide 230 can be filled.

因此,藉由作為形成絕緣膜272A的方法利用濺射裝置在氧氣體氛圍下進行成膜,可以一邊形成絕緣膜272A,一邊對絕緣體250及絕緣體224引入氧。例如,藉由作為絕緣膜272A使用具有阻擋性的氧化鋁,可以高效地密封引入到絕緣體250中的過量氧。 Therefore, by forming the insulating film 272A using a sputtering apparatus under an oxygen gas atmosphere, it is possible to introduce oxygen into the insulator 250 and the insulator 224 while forming the insulating film 272A. For example, by using barrier aluminum oxide as the insulating film 272A, the excessive oxygen introduced into the insulator 250 can be efficiently sealed.

此外,可以利用ALD法形成絕緣膜272A。藉由利用ALD法,可以形成對絕緣體250、導電體260及絕緣體270的側面的覆蓋性更好的絕緣膜272A。 The insulating film 272A can be formed by an ALD method. By using the ALD method, it is possible to form an insulating film 272A with better coverage on the side surfaces of the insulator 250, the conductor 260, and the insulator 270.

在此,在氧化物230a、氧化物230b及氧化膜230C中可以形成區域231、接合區域232及區域234。區域231及接合區域232是對作為氧化物230a、氧化物230b及氧化膜230C設置的金屬氧化物添加銦等金屬原子或雜質來進行低電阻化而成的區域。各區域的導電性至少比區域234中的氧化物230b高。 Here, a region 231, a bonding region 232, and a region 234 may be formed in the oxide 230a, the oxide 230b, and the oxide film 230C. The region 231 and the bonding region 232 are regions formed by adding a metal atom such as indium or an impurity to a metal oxide provided as the oxide 230a, the oxide 230b, and the oxide film 230C to reduce the resistance. The conductivity of each region is at least higher than that of the oxide 230b in the region 234.

為了對區域231及接合區域232添加雜質,例如可以經過絕緣膜272A添加銦等金屬元素以及雜質的至少一個的摻雜物。 In order to add impurities to the region 231 and the bonding region 232, for example, at least one of a metal element such as indium and a dopant of the impurity may be added through the insulating film 272A.

作為摻雜物的添加方法,可以使用:對離子化了的源氣體進行質量分離而添加的離子植入法;不對離子化了的源氣體進行質量分離而添加的離子摻雜法;以及電漿浸沒離子佈植技術等。當進行質量分離時,可以嚴密地控制添加的離子種及其濃度。另一方面,當不進行質量分離時,可以在短時間內添加高濃度的離子。另外,也可以利用生成原子或分子的簇而進行離子化的離子摻雜法。注意,也可以將摻雜物換稱為離子、施體、受體、雜質或元素等。 As a method for adding a dopant, an ion implantation method for adding mass separation of ionized source gas; an ion doping method for adding mass separation of ionized source gas; and plasma Immersion ion implantation technology, etc. When performing mass separation, the ion species to be added and their concentrations can be tightly controlled. On the other hand, when mass separation is not performed, high-concentration ions can be added in a short time. Alternatively, an ion doping method in which clusters of atoms or molecules are generated and ionized may be used. Note that the dopant may also be referred to as an ion, a donor, an acceptor, an impurity, an element, or the like.

可以在電漿處理中添加摻雜物。此時,可以利用電漿CVD設備、乾蝕刻裝置、灰化裝置進行電漿處理,對氧化物230a、氧化物230b及氧化膜230C添加摻雜物。 Dopants can be added to the plasma treatment. At this time, a plasma CVD apparatus, a dry etching apparatus, and an ashing apparatus may be used for plasma processing, and dopants may be added to the oxide 230a, the oxide 230b, and the oxide film 230C.

此外,藉由增高氧化物230a、氧化物230b及氧化膜230C的銦含量,可以增高載子密度,而實現低電阻化。因此,作為摻雜物可以使用增高氧化物230a、氧化物230b及氧化膜230C的載子密度的銦等金屬元素。 In addition, by increasing the indium content of the oxide 230a, the oxide 230b, and the oxide film 230C, the carrier density can be increased, and the resistance can be reduced. Therefore, as the dopant, a metal element such as indium that increases the carrier density of the oxide 230a, the oxide 230b, and the oxide film 230C can be used.

就是說,藉由提高區域231及接合區域232的氧化物230a、氧化物230b及氧化膜230C中的銦等金屬元素的含量,可以提高電子移動 率而實現低電阻化。 That is, by increasing the content of metal elements such as indium in the oxide 230a, oxide 230b, and oxide film 230C of the region 231 and the junction region 232, the electron mobility can be increased and the resistance can be reduced.

因此,至少區域231中的相對於元素M的銦的原子個數比大於區域234中的相對於元素M的銦的原子個數比。 Therefore, at least the ratio of the number of atoms of indium relative to the element M in the region 231 is greater than the ratio of the number of atoms of indium relative to the element M in the region 234.

作為摻雜物,可以使用上述形成氧空位的元素或者被氧空位俘獲的元素等。作為上述元素,典型地可以舉出氫、硼、碳、氮、氟、磷、硫、氯、鈦、稀有氣體等。另外,作為稀有氣體元素的典型例子,有氦、氖、氬、氪以及氙等。 As the dopant, the above-mentioned element forming an oxygen vacancy or an element trapped by the oxygen vacancy can be used. Examples of the above elements include hydrogen, boron, carbon, nitrogen, fluorine, phosphorus, sulfur, chlorine, titanium, and rare gases. In addition, typical examples of the rare gas element include helium, neon, argon, krypton, and xenon.

在此,以覆蓋氧化物230、絕緣體250、導電體260及絕緣體270的方式設置有絕緣膜272A。因此,在與氧化物230a、氧化物230b及氧化膜230C的頂面垂直的方向上,絕緣膜272A的絕緣體250、導電體260及絕緣體270的周邊部的厚度與絕緣膜272A的其他區域的厚度不同。就是說,絕緣膜272A的絕緣體250、導電體260及絕緣體270的周邊部的厚度比絕緣膜272A的其他區域的厚度大。換言之,藉由經過絕緣膜272A添加摻雜物,即使在其通道長度為10nm至30nm左右的微型化電晶體中,也可以自對準地形成區域231及接合區域232。另外,接合區域232也可以藉由在後製程中的加熱處理等製程中的區域231中的摻雜物擴散而形成。 Here, an insulating film 272A is provided so as to cover the oxide 230, the insulator 250, the conductor 260, and the insulator 270. Therefore, in a direction perpendicular to the top surfaces of the oxides 230a, 230b, and 230C, the thickness of the peripheral portions of the insulator 250, the conductor 260, and the insulator 270 of the insulating film 272A and the thickness of other regions of the insulating film 272A different. That is, the thickness of the peripheral portions of the insulator 250, the conductor 260, and the insulator 270 of the insulating film 272A is larger than the thickness of other regions of the insulating film 272A. In other words, by adding a dopant through the insulating film 272A, the region 231 and the bonding region 232 can be formed in a self-aligned manner even in a miniaturized transistor whose channel length is about 10 nm to 30 nm. The bonding region 232 may be formed by diffusion of dopants in the region 231 in a process such as heat treatment in a later process.

藉由在電晶體200中設置接合區域232可以防止在被用作源極區域及汲極區域的區域231與形成有通道的區域234之間形成高電阻區域,而可以增高電晶體的通態電流並提高電晶體的移動率。當包括接合區域232時,在通道長度方向上源極區域及汲極區域不與閘極重疊,由此可以抑制不需要的電容的形成。另外,當包括接合區域232時,可以減小非導通時的洩漏電流。 By providing the junction region 232 in the transistor 200, a high-resistance region can be prevented from being formed between the region 231 used as the source region and the drain region and the region 234 where the channel is formed, and the on-state current of the transistor can be increased. And increase the mobility of the transistor. When the bonding region 232 is included, the source region and the drain region do not overlap with the gate in the channel length direction, so that the formation of unnecessary capacitance can be suppressed. In addition, when the bonding region 232 is included, the leakage current at the time of non-conduction can be reduced.

因此,藉由適當地選擇區域231a及區域231b的範圍,可以根據電 路設計,容易地提供一種具有滿足要求的電特性的電晶體。 Therefore, by appropriately selecting the range of the region 231a and the region 231b, it is possible to easily provide a transistor having electrical characteristics satisfying the requirements according to the circuit design.

接著,對絕緣膜272A進行各向異性蝕刻處理,以接觸於絕緣體250、導電體260及絕緣體270的側面的方式形成絕緣體272(參照圖13A至圖13C)。作為各向異性蝕刻處理,較佳為進行乾蝕刻處理。由此,去除在大致平行於基板的表面上形成的絕緣膜,而可以自對準地形成絕緣體272。 Next, anisotropic etching is performed on the insulating film 272A to form an insulator 272 so as to contact the sides of the insulator 250, the conductor 260, and the insulator 270 (see FIGS. 13A to 13C). As the anisotropic etching treatment, a dry etching treatment is preferably performed. Thereby, the insulating film formed on the surface substantially parallel to the substrate is removed, and the insulator 272 can be formed in a self-aligned manner.

在此,藉由使絕緣體270的厚度比絕緣膜272A的厚度大,即使絕緣體270上的絕緣膜272A被去除,也可以使絕緣體270及絕緣體272殘留。另外,藉由使由絕緣體250、導電體260及絕緣體270構成的結構體的高度比氧化物230a、氧化物230b及氧化膜230C的高度大,可以去除夾住氧化膜230C的氧化物230a和氧化物230b的側面的絕緣膜272A。並且,當氧化物230a及氧化物230b的端部為圓形時,以夾住氧化膜230C的方式形成在氧化物230a及氧化物230b的側面的絕緣膜272A的去除所需要的時間被縮短,因此可以更容易地形成絕緣體272。 Here, by making the thickness of the insulator 270 larger than the thickness of the insulating film 272A, even if the insulating film 272A on the insulator 270 is removed, the insulator 270 and the insulator 272 can remain. In addition, by making the height of the structure composed of the insulator 250, the conductor 260, and the insulator 270 larger than the height of the oxide 230a, the oxide 230b, and the oxide film 230C, the oxide 230a and the oxide sandwiching the oxide film 230C can be removed. The insulating film 272A on the side of the object 230b. In addition, when the ends of the oxides 230a and 230b are circular, the time required to remove the insulating film 272A formed on the side surfaces of the oxides 230a and 230b to sandwich the oxides 230C is shortened. Therefore, the insulator 272 can be formed more easily.

注意,上述各向異性蝕刻可以在上述摻雜物的添加之前進行。此時,摻雜物不經過絕緣膜272A地添加到氧化物230a、氧化物230b及氧化膜230C。 Note that the anisotropic etching may be performed before the dopant is added. At this time, a dopant is added to the oxide 230a, the oxide 230b, and the oxide film 230C without passing through the insulating film 272A.

接著,可以進行加熱處理。作為加熱處理,可以利用上述加熱處理條件。藉由進行加熱處理,被添加的摻雜物擴散到氧化物230的接合區域232而可以增大通態電流。 Then, heat treatment may be performed. As the heat treatment, the above-mentioned heat treatment conditions can be used. By performing the heat treatment, the added dopant diffuses into the junction region 232 of the oxide 230 and the on-state current can be increased.

接著,將絕緣體250、導電體260、絕緣體270、絕緣體271及絕緣體272用作遮罩,對氧化膜230C進行蝕刻,去除氧化膜230C的一部分來形成氧化物230c(參照圖14A至圖14C)。注意,由於本製程,而氧化物230b的頂面及側面的一部分、氧化物230a的側面的一部分有 時被去除。 Next, using the insulator 250, the conductor 260, the insulator 270, the insulator 271, and the insulator 272 as a mask, the oxide film 230C is etched, and a part of the oxide film 230C is removed to form an oxide 230c (see FIGS. 14A to 14C). Note that, due to this process, a part of the top surface and side surfaces of the oxide 230b and a part of the side surface of the oxide 230a are sometimes removed.

接著,覆蓋絕緣體224、氧化物230、絕緣體272、絕緣體270形成絕緣膜274A及絕緣膜280A(參照圖15A至圖15C)。 Next, the insulator 224, the oxide 230, the insulator 272, and the insulator 270 are covered to form an insulating film 274A and an insulating film 280A (see FIGS. 15A to 15C).

作為絕緣膜274A,例如可以利用CVD法形成氮化矽、氮氧化矽以及氧氮化矽。在本實施方式中,作為絕緣膜274A使用氮氧化矽。 As the insulating film 274A, for example, silicon nitride, silicon oxynitride, and silicon oxynitride can be formed by a CVD method. In this embodiment, silicon oxynitride is used as the insulating film 274A.

當以接觸於氧化物230的方式形成包含氮等作為雜質的元素的絕緣膜274A時,對區域231a及區域231b添加形成絕緣膜274A時的氛圍所包含的氫或氮等雜質元素。藉由以氧化物230中的與絕緣膜274A接觸的區域為中心由被添加的雜質元素形成氧空位,並且使該雜質元素進入氧空位,可以使載子密度增高並且使電阻降低。此時,雜質還擴散到不與絕緣膜274A接觸的接合區域232,因此使電阻降低。 When the insulating film 274A containing an element such as nitrogen as an impurity is formed so as to be in contact with the oxide 230, an impurity element such as hydrogen or nitrogen included in the atmosphere when the insulating film 274A is formed is added to the regions 231a and 231b. By forming an oxygen vacancy from an added impurity element around the region in contact with the insulating film 274A in the oxide 230 and allowing the impurity element to enter the oxygen vacancy, the carrier density can be increased and the resistance can be reduced. At this time, the impurities also diffuse into the bonding region 232 that is not in contact with the insulating film 274A, so that the resistance is reduced.

因此,區域231a及區域231b中的氫和氮中至少一種的濃度較佳為比區域234高。可以利用二次離子質譜分析法(SIMS:Secondary Ion Mass Spectrometry)測量氫或氮的濃度。在此,作為區域234的氫或氮的濃度,測量氧化物230b的與絕緣體250重疊的區域的中央附近(例如,氧化物230b的從絕緣體250的通道長度方向的兩側面的距離大致相等的部分)的氫或氮的濃度即可。 Therefore, the concentration of at least one of hydrogen and nitrogen in the regions 231a and 231b is preferably higher than that of the region 234. The concentration of hydrogen or nitrogen can be measured using secondary ion mass spectrometry (SIMS: Secondary Ion Mass Spectrometry). Here, as the hydrogen or nitrogen concentration of the region 234, the vicinity of the center of the region where the oxide 230b overlaps the insulator 250 is measured (for example, the portion of the oxide 230b that is approximately equal in distance from both sides of the channel length direction of the insulator 250 ) Concentration of hydrogen or nitrogen.

另外,藉由對區域231及接合區域232添加形成氧空位的元素或者被氧空位俘獲的元素,可以實現低電阻化。作為上述元素,典型地可以舉出氫、硼、碳、氮、氟、磷、硫、氯、鈦、稀有氣體等。另外,作為稀有氣體元素的典型例子,有氦、氖、氬、氪以及氙等。因此,可以使區域231及接合區域232包含上述元素中的一種或多種。 In addition, by adding an element that forms an oxygen vacancy or an element that is trapped by the oxygen vacancy to the region 231 and the bonding region 232, the resistance can be reduced. Examples of the above elements include hydrogen, boron, carbon, nitrogen, fluorine, phosphorus, sulfur, chlorine, titanium, and rare gases. In addition, typical examples of the rare gas element include helium, neon, argon, krypton, and xenon. Therefore, the region 231 and the bonding region 232 may include one or more of the above-mentioned elements.

或者,作為絕緣膜274A也可以使用抽出並吸收區域231及接合區 域232所包含的氧的膜。當區域231及接合區域232中的氧被抽出時,在區域231及接合區域232中產生氧空位。由於氫、硼、碳、氮、氟、磷、硫、氯、鈦、稀有氣體等被氧空位俘獲,因此區域231及接合區域232被低電阻化。 Alternatively, a film that extracts and absorbs oxygen contained in the region 231 and the bonding region 232 may be used as the insulating film 274A. When the oxygen in the region 231 and the bonding region 232 is extracted, oxygen vacancies are generated in the region 231 and the bonding region 232. Since hydrogen, boron, carbon, nitrogen, fluorine, phosphorus, sulfur, chlorine, titanium, and rare gases are captured by oxygen vacancies, the region 231 and the junction region 232 have a low resistance.

當作為包含作為雜質的元素的絕緣體或者從氧化物230抽出氧的絕緣體形成絕緣體274A時,可以利用濺射法、CVD法、MBE法、PLD法或ALD法等。 When the insulator 274A is formed as an insulator containing an element as an impurity or an insulator that extracts oxygen from the oxide 230, a sputtering method, a CVD method, a MBE method, a PLD method, an ALD method, or the like can be used.

包含作為雜質的元素的絕緣膜274A較佳為在包含氮和氫中的至少一種的氛圍下形成。藉由在上述氛圍下形成膜,以氧化物230b及氧化物230c中的不與絕緣體250重疊的區域為中心形成氧空位且使該氧空位和氮或氫等雜質元素鍵合,可以增高載子密度。如此,可以形成低電阻化的區域231a及區域231b。作為絕緣膜274A,例如可以利用CVD法並使用氮化矽、氮氧化矽以及氧氮化矽。在本實施方式中,作為絕緣膜274A使用氮氧化矽。 The insulating film 274A containing an element as an impurity is preferably formed in an atmosphere containing at least one of nitrogen and hydrogen. By forming a film under the above atmosphere, oxygen vacancies are formed around the regions not overlapping with the insulator 250 in the oxides 230b and 230c, and the oxygen vacancies are bonded to impurity elements such as nitrogen or hydrogen, thereby increasing carriers. density. In this way, the regions 231a and 231b with reduced resistance can be formed. As the insulating film 274A, for example, a CVD method can be used and silicon nitride, silicon oxynitride, and silicon oxynitride can be used. In this embodiment, silicon oxynitride is used as the insulating film 274A.

因此,藉由形成絕緣膜274A,可以自對準地形成源極區域及汲極區域。因此,可以高良率地製造微型化或高積體化半導體裝置。 Therefore, by forming the insulating film 274A, a source region and a drain region can be formed in a self-aligned manner. Therefore, a miniaturized or highly integrated semiconductor device can be manufactured with a high yield.

在此,藉由由絕緣體270及絕緣體272覆蓋導電體260及絕緣體250的頂面及側面,可以防止氮或氫等雜質元素進入導電體260及絕緣體250中。由此,可以防止氮或氫等雜質元素經過導電體260及絕緣體250進入被用作電晶體200的通道形成區域的區域234中。由此,可以提供具有優良的電特性的電晶體200。 Here, by covering the top and side surfaces of the conductor 260 and the insulator 250 with the insulator 270 and the insulator 272, it is possible to prevent impurity elements such as nitrogen or hydrogen from entering the conductor 260 and the insulator 250. Accordingly, impurity elements such as nitrogen or hydrogen can be prevented from entering the region 234 used as the channel formation region of the transistor 200 through the conductor 260 and the insulator 250. Accordingly, the transistor 200 having excellent electrical characteristics can be provided.

在上述製程中,藉由摻雜物的添加處理或絕緣膜274A的形成所引起的低電阻化來形成區域231、接合區域232及區域234,但是本實施方式不侷限於此。例如,也可以藉由摻雜物的添加處理和絕緣膜274A 的形成所引起的低電阻化,形成各區域等。另外,也可以利用電漿處理。 In the above process, the region 231, the bonding region 232, and the region 234 are formed by adding a dopant or reducing the resistance caused by the formation of the insulating film 274A. However, this embodiment is not limited to this. For example, it is also possible to form each region by reducing the resistance caused by the addition treatment of the dopant and the formation of the insulating film 274A. Alternatively, plasma treatment may be used.

例如,可以將絕緣體250、導電體260、絕緣體272、絕緣體270用作遮罩對氧化物230進行電漿處理。電漿處理可以在包含形成上述氧空位的元素或者被氧空位俘獲的元素的氛圍等下進行。例如,可以使用氬氣體和氮氣體進行電漿處理。 For example, the insulator 230, the conductor 260, the insulator 272, and the insulator 270 may be used as a mask to perform plasma treatment on the oxide 230. The plasma treatment may be performed in an atmosphere or the like containing an element forming the oxygen vacancy or an element captured by the oxygen vacancy. For example, argon gas and nitrogen gas can be used for plasma treatment.

接著,在絕緣膜274A上形成絕緣膜280A。絕緣膜280A可以利用濺射法、CVD法、MBE法、PLD法或ALD法等形成。或者,可以利用旋塗法、浸漬法、液滴噴射法(噴墨法等)、印刷法(網版印刷、平板印刷等)、刮刀(doctor knife)法、輥塗(roll coater)法或簾式塗佈(curtain coater)法等形成。在本實施方式中,作為該絕緣膜使用氧氮化矽。 Next, an insulating film 280A is formed on the insulating film 274A. The insulating film 280A can be formed by a sputtering method, a CVD method, a MBE method, a PLD method, an ALD method, or the like. Alternatively, a spin coating method, a dipping method, a droplet ejection method (inkjet method, etc.), a printing method (screen printing, lithography, etc.), a doctor knife method, a roll coater method, or a curtain can be used. It is formed by a curtain coater method or the like. In this embodiment, silicon oxynitride is used as the insulating film.

較佳為以其頂面具有平坦性的方式形成絕緣膜280A。例如,可以使絕緣體280的頂面在形成成為絕緣體280的絕緣膜後就具有平坦性。或者,例如,在成膜後,也可以從頂面去除絕緣體等以使絕緣體280的頂面平行於基板背面等基準面,而使絕緣體280的頂面具有平坦性。將這種處理稱為平坦化處理。作為平坦化處理,有CMP處理、乾蝕刻處理等。在本實施方式中,作為平坦化處理使用CMP處理。但是,絕緣體280的頂面不一定必須具有平坦性。 It is preferable to form the insulating film 280A so that the top surface has flatness. For example, the top surface of the insulator 280 can be made flat after forming an insulating film that becomes the insulator 280. Alternatively, for example, after the film is formed, the insulator or the like may be removed from the top surface so that the top surface of the insulator 280 is parallel to a reference surface such as the back surface of the substrate, so that the top surface of the insulator 280 is flat. This process is called a flattening process. Examples of the planarization process include a CMP process and a dry etching process. In this embodiment, a CMP process is used as the planarization process. However, the top surface of the insulator 280 does not necessarily have to be flat.

接著,在絕緣膜280A及絕緣膜274A中形成到達氧化物230的區域231b的開口、使氧化物230的區域231b露出,由此形成絕緣體274及絕緣體280(參照圖16A至圖16C)。 Next, openings reaching the region 231b of the oxide 230 are formed in the insulating film 280A and the insulating film 274A, and the region 231b of the oxide 230 is exposed to form the insulator 274 and the insulator 280 (see FIGS. 16A to 16C).

在形成該開口時,可以利用光微影法。 When the opening is formed, a photolithography method can be used.

接著,至少覆蓋氧化物230的區域231b、絕緣體274及絕緣體280的開口的側面的絕緣膜130A。作為絕緣膜130A例如可以使用氧化矽、氧氮化矽、氮氧化矽、氮化矽、氧化鋁、氧氮化鋁、氮氧化鋁、氮化鋁、氧化鉿、氧氮化鉿、氮氧化鉿、氮化鉿等,且以疊層或單層形成。 Next, an insulating film 130A covering at least the region 231b of the oxide 230, the side surfaces of the openings of the insulator 274, and the insulator 280. As the insulating film 130A, for example, silicon oxide, silicon oxynitride, silicon oxynitride, silicon nitride, aluminum oxide, aluminum oxynitride, aluminum oxynitride, aluminum nitride, hafnium oxide, hafnium oxynitride, hafnium oxynitride can be used. , Hafnium nitride, etc., and formed in a stack or a single layer.

例如,較佳為採用氧化鋁等high-k材料和氧氮化矽等絕緣強度大的材料的疊層結構。藉由採用該結構,電容器100因high-k材料而可以確保充分的電容,並因絕緣強度大的材料而絕緣強度得到提高,由此可以抑制電容器100的靜電破壞且提高電容器100的可靠性。 For example, a laminated structure using a high-k material such as alumina and a material with high insulation strength such as silicon oxynitride is preferred. By adopting this structure, the capacitor 100 can ensure a sufficient capacitance due to the high-k material, and the insulation strength can be improved by a material having a large insulation strength, thereby suppressing the electrostatic destruction of the capacitor 100 and improving the reliability of the capacitor 100.

接著,在氧化物230的區域231上隔著絕緣膜130A形成導電膜120A(參照圖17A至圖17C)。此時,較佳為以填埋絕緣體274及絕緣體280中的開口的方式形成導電膜120A。可以利用與導電體260相同的材料及方法形成成為導電體120的膜。 Next, a conductive film 120A is formed on the region 231 of the oxide 230 through an insulating film 130A (see FIGS. 17A to 17C). At this time, it is preferable to form the conductive film 120A so as to fill the openings in the insulator 274 and the insulator 280. The same material and method as those of the conductor 260 can be used to form a film that becomes the conductor 120.

藉由CMP處理,去除導電膜120A、絕緣膜130A、絕緣體274及絕緣體280的一部分,使絕緣體271露出。其結果是,只在上述開口中留下上述導電膜,由此可以形成其頂面平坦的導電體120(參照圖18A至圖18C)。 A part of the conductive film 120A, the insulating film 130A, the insulator 274, and the insulator 280 is removed by the CMP process, and the insulator 271 is exposed. As a result, the conductive film 120 having a flat top surface can be formed by leaving the conductive film only in the opening (see FIGS. 18A to 18C).

可以藉由蝕刻去除成為導電體120的膜的不需要的部分。在本製程中,藉由使絕緣體271露出可以去除被用作閘極電極的導電體260上的導電體,因此可以降低寄生電容等。 Unwanted portions of the film that becomes the conductor 120 can be removed by etching. In this process, the conductive body on the conductive body 260 used as the gate electrode can be removed by exposing the insulator 271, so that parasitic capacitance and the like can be reduced.

導電體120較佳為以隔著絕緣體130覆蓋氧化物230的區域231的側面及頂面的方式形成。藉由採用該結構,氧化物230的區域231的側面隔著絕緣體130與導電體120相對。因此,在電容器100中,氧化物230的區域231的頂面及側面的總和被用作電容器,因此可以形成每投影面積的電容大的電容器。 The conductor 120 is preferably formed so as to cover the side surface and the top surface of the region 231 of the oxide 230 through the insulator 130. By adopting this structure, the side surface of the region 231 of the oxide 230 is opposed to the conductor 120 via the insulator 130. Therefore, in the capacitor 100, the sum of the top surface and the side surface of the region 231 of the oxide 230 is used as a capacitor, and thus a capacitor having a large capacitance per a projected area can be formed.

接著,形成絕緣體286(參照圖19A至圖19C)。成為絕緣體150的絕緣體可以利用與絕緣體280等相同的材料及方法形成。 Next, an insulator 286 is formed (see FIGS. 19A to 19C). The insulator to be the insulator 150 can be formed using the same materials and methods as the insulator 280 and the like.

接著,在絕緣體286、絕緣體280、絕緣體274、絕緣體271及絕緣體270中形成到達氧化物230的區域231、導電體260以及導電體120的開口。在形成該開口時,可以利用光微影法。 Next, openings are formed in the insulator 286, the insulator 280, the insulator 274, the insulator 271, and the insulator 270 to reach the region 231 of the oxide 230, the conductor 260, and the conductor 120. When the opening is formed, a photolithography method can be used.

在此,為了將導電體252a、導電體252b設置為接觸於氧化物230的側面,以在到達氧化物230的開口中使氧化物230的側面露出的方式形成該開口。 Here, in order to provide the conductive body 252 a and the conductive body 252 b so as to contact the side surface of the oxide 230, the opening is formed so as to expose the side surface of the oxide 230 among the openings reaching the oxide 230.

接著,形成成為導電體252的導電膜。該導電膜可以利用濺射法、CVD法、MBE法、PLD法或ALD法等形成。 Next, a conductive film to be the conductive body 252 is formed. This conductive film can be formed by a sputtering method, a CVD method, a MBE method, a PLD method, an ALD method, or the like.

藉由CMP處理,去除成為導電體252的導電膜的一部分,使絕緣體280露出。其結果是,只在上述開口中留下上述導電膜,由此可以形成其頂面平坦的導電體252(參照圖20A至圖20C)。 A part of the conductive film that becomes the conductor 252 is removed by the CMP process, and the insulator 280 is exposed. As a result, the conductive film 252 having a flat top surface can be formed by leaving the conductive film only in the opening (see FIGS. 20A to 20C).

藉由上述製程,可以製造包括電晶體200的半導體裝置。如圖7A至圖20C所示,藉由使用本實施方式所示的半導體裝置的製造方法可以形成電晶體200。 Through the above process, a semiconductor device including the transistor 200 can be manufactured. As shown in FIGS. 7A to 20C, the transistor 200 can be formed by using the manufacturing method of the semiconductor device described in this embodiment mode.

如上所述,根據本發明的一個實施方式可以提供一種能夠實現微型化或高積體化的半導體裝置。另外,根據本發明的一個實施方式,可以提供一種具有良好的電特性的半導體裝置。另外,根據本發明的一個實施方式,可以提供一種關態電流小的半導體裝置。另外,根據本發明的一個實施方式,可以提供一種通態電流大的電晶體。另外,根據本發明的一個實施方式,可以提供一種可靠性高的半導體裝置。 另外,根據本發明的一個實施方式,可以提供一種功耗降低的半導體裝置。另外,根據本發明的一個實施方式,可以提供一種生產率高的半導體裝置。 As described above, according to an embodiment of the present invention, a semiconductor device capable of miniaturization or high integration can be provided. In addition, according to an embodiment of the present invention, a semiconductor device having good electrical characteristics can be provided. In addition, according to an embodiment of the present invention, a semiconductor device having a small off-state current can be provided. In addition, according to an embodiment of the present invention, a transistor having a large on-state current can be provided. In addition, according to an embodiment of the present invention, a highly reliable semiconductor device can be provided. In addition, according to an embodiment of the present invention, a semiconductor device with reduced power consumption can be provided. In addition, according to an embodiment of the present invention, a semiconductor device having high productivity can be provided.

以上,本實施方式所示的結構、方法等可以與其他實施方式所示的結構、方法等適當地組合而實施。 As described above, the structures, methods, and the like described in this embodiment can be implemented in appropriate combination with the structures, methods, and the like shown in other embodiments.

實施方式2 Embodiment 2

下面,對包括根據本發明的一個實施方式的電晶體200的半導體裝置的一個例子進行說明。 Next, an example of a semiconductor device including the transistor 200 according to an embodiment of the present invention will be described.

注意,在本實施方式所示的半導體裝置中,對具有與構成上述實施方式所示的半導體裝置的組件相同的功能的組件附加相同元件符號。 Note that in the semiconductor device described in this embodiment mode, components having the same functions as those of the components constituting the semiconductor device described in the above embodiment mode are denoted by the same reference numerals.

以下,說明單元600的結構。在本節中,作為單元600的構成材料可以使用在上述實施方式中進行了詳細說明的材料。 The structure of the unit 600 will be described below. In this section, as the constituent material of the unit 600, the materials described in the above embodiment can be used.

〈半導體裝置的結構實例4〉 <Structure Example 4 of Semiconductor Device>

圖21A、圖21B及圖21C是根據本發明的一個實施方式的電晶體200、電容器100及電晶體200周邊的俯視圖及剖面圖。另外,在本說明書中,將具有一個電容器及至少一個電晶體的半導體裝置稱為單元。 21A, 21B, and 21C are a top view and a cross-sectional view of the transistor 200, the capacitor 100, and the periphery of the transistor 200 according to an embodiment of the present invention. In this specification, a semiconductor device having one capacitor and at least one transistor is referred to as a cell.

圖21A是具有電晶體200及電容器100的單元600的俯視圖。另外,圖21B及圖21C是單元600的剖面圖。在此,圖21B是沿著圖21A中的點劃線A1-A2的剖面圖,該剖面圖相當於電晶體200的通道長度方向上的剖面圖。另外,圖21C是沿著圖21A中的點劃線A3-A4的剖面圖,該剖面圖相當於電晶體200的通道寬度方向上的剖面圖。在圖21A 的俯視圖中,為了圖面的明瞭化省略了部分組件。另外,在圖21A至圖21C中,為了圖面的明瞭化僅對部分組件附加了元件符號。另外,在圖25A至圖25C中對圖21A至圖21C所示的單元600的各組件附加了元件符號,將在後面進行詳細說明。 FIG. 21A is a plan view of a cell 600 including a transistor 200 and a capacitor 100. 21B and 21C are cross-sectional views of the cell 600. Here, FIG. 21B is a cross-sectional view taken along a chain line A1-A2 in FIG. 21A, and the cross-sectional view corresponds to a cross-sectional view in the channel length direction of the transistor 200. 21C is a cross-sectional view taken along a chain line A3-A4 in FIG. 21A, and the cross-sectional view corresponds to a cross-sectional view in the channel width direction of the transistor 200. In the top view of FIG. 21A, some components are omitted for clarity of the drawing. In addition, in FIGS. 21A to 21C, for the sake of clarity of the drawings, element symbols are added to only some components. In addition, in FIG. 25A to FIG. 25C, each component of the unit 600 shown in FIG. 21A to FIG. 21C is assigned a component symbol, which will be described in detail later.

在圖21A至圖21C所示的單元600中,藉由將電晶體200與電容器100設置在同一層中,可以將構成電晶體200的部分組件兼用作構成電容器100的部分組件。也就是說,可以將電晶體200的部分組件用作電容器100的部分組件。 In the unit 600 shown in FIGS. 21A to 21C, by providing the transistor 200 and the capacitor 100 in the same layer, a part of the components constituting the transistor 200 can also be used as a part of the components constituting the capacitor 100. That is, a part of the transistor 200 may be used as a part of the capacitor 100.

另外,藉由使電晶體200與整個電容器100或其一部分重疊,可以縮小電晶體200的投影面積及電容器100的投影面積的總面積。 In addition, by overlapping the transistor 200 with the entire capacitor 100 or a part thereof, the total area of the projection area of the transistor 200 and the projection area of the capacitor 100 can be reduced.

藉由將與電晶體200電連接的佈線或插頭設置在電容器100和電晶體200重疊的區域之下,單元600的微型化或高積體化變容易。 By providing a wiring or plug electrically connected to the transistor 200 under an area where the capacitor 100 and the transistor 200 overlap, miniaturization or high integration of the cell 600 becomes easy.

根據電容器100所需要的電容值,可以適當地設計電晶體200及電容器100的佈局。例如,圖22A至圖22D是說明單元600的俯視圖及剖面圖。圖22B是沿著圖22A所示的俯視圖的點劃線A5-A6的剖面圖,圖22D是沿著圖22C所示的俯視圖的點劃線A5-A6的剖面圖。另外,在圖22A至圖22D中,為了說明電容器100,省略被用作與電容器100或電晶體200連接的插頭的導電體252等部分結構。 The layout of the transistor 200 and the capacitor 100 can be appropriately designed according to the required capacitance value of the capacitor 100. For example, FIGS. 22A to 22D are a plan view and a cross-sectional view illustrating the unit 600. 22B is a cross-sectional view taken along the chain line A5-A6 in the top view shown in FIG. 22A, and FIG. 22D is a cross-sectional view taken along the chain line A5-A6 in the top view shown in FIG. 22C. In addition, in FIGS. 22A to 22D, in order to explain the capacitor 100, a part of the structure such as a conductor 252 used as a plug connected to the capacitor 100 or the transistor 200 is omitted.

如圖22A至圖22D所示,電容器100的面積由氧化物230a及氧化物230b的A5-A6方向的寬度及導電體120的A1-A2方向的寬度決定。也就是說,當無法從圖22A及圖22B所示的電容器100獲得單元600所需的電容值時,可以如圖22C及圖22D所示地增大氧化物230a及氧化物230b的A5-A6方向的寬度來增大電容值。 As shown in FIGS. 22A to 22D, the area of the capacitor 100 is determined by the widths in the A5-A6 direction of the oxides 230a and 230b and the widths in the A1-A2 direction of the conductors 120. That is, when the capacitance value required for the cell 600 cannot be obtained from the capacitor 100 shown in FIGS. 22A and 22B, the A5-A6 of the oxide 230a and the oxide 230b can be increased as shown in FIGS. 22C and 22D. Direction width to increase the capacitance value.

藉由具有上述結構可以實現微型化或高積體化。另外,可以提高設計彈性。另外,電晶體200可以與電容器100藉由同一製程形成。由此,可以縮短製程,從而可以提高生產率。 By having the above structure, miniaturization or high integration can be achieved. In addition, design flexibility can be improved. In addition, the transistor 200 and the capacitor 100 can be formed by the same process. Thereby, a manufacturing process can be shortened, and productivity can be improved.

〈單元陣列的結構〉 <Structure of Cell Array>

圖23A和圖23B以及圖24A和圖24B示出本實施方式的單元陣列的一個例子。例如,藉由將圖21A至圖21C所示的包括電晶體200及電容器100的單元600以矩陣狀配置可以構成單元陣列。 FIGS. 23A and 23B and FIGS. 24A and 24B show examples of the cell array according to the present embodiment. For example, a cell array can be configured by arranging the cells 600 including the transistor 200 and the capacitor 100 shown in FIGS. 21A to 21C in a matrix.

圖23A示出將圖21A至圖21C所示的單元600以矩陣狀配置的一個實施方式的電路圖。在圖23A中,配置在行方向上的單元600中的電晶體的第一閘極與共用的WL(WL01、WL02、WL03)電連接。另外,配置在列方向上的單元600中的電晶體的源極和汲極中的一個與共用的BL(BL01至BL06)電連接。另外,可以對各單元600中的電晶體設置第二閘極BG。可以根據對BG施加的電位控制電晶體的臨界值。另外,單元600中的電容器的第一電極與電晶體的源極和汲極中的另一個電連接。此時,電容器的第一電極由構成電晶體的部分組件構成。另外,單元600中的電容器的第二電極與PL電連接。 FIG. 23A is a circuit diagram of an embodiment in which the cells 600 shown in FIGS. 21A to 21C are arranged in a matrix. In FIG. 23A, the first gate of the transistor arranged in the cell 600 in the row direction is electrically connected to the common WL (WL01, WL02, WL03). In addition, one of a source and a drain of the transistor in the cell 600 arranged in the column direction is electrically connected to a common BL (BL01 to BL06). In addition, a second gate BG may be provided for the transistor in each cell 600. The threshold value of the transistor can be controlled according to the potential applied to the BG. In addition, the first electrode of the capacitor in the unit 600 is electrically connected to the other of the source and the drain of the transistor. At this time, the first electrode of the capacitor is composed of some components constituting a transistor. In addition, the second electrode of the capacitor in the unit 600 is electrically connected to the PL.

圖23B是圖23A中的包括與作為行的一部分的WL02和BL03電連接的單元600a以及與WL02和BL04電連接的單元600b的電路610的剖面圖。圖23B示出單元600a及單元600b的剖面圖。 23B is a cross-sectional view of a circuit 610 in FIG. 23A including a cell 600a electrically connected to WL02 and BL03 as part of a row and a cell 600b electrically connected to WL02 and BL04. FIG. 23B is a cross-sectional view of the cell 600a and the cell 600b.

單元600a包括電晶體200a及電容器100a。單元600b包括電晶體200b及電容器100b。 The cell 600a includes a transistor 200a and a capacitor 100a. The cell 600b includes a transistor 200b and a capacitor 100b.

圖24A示出與圖23A不同的一個實施方式的電路圖,其中將圖21A至圖21C所示的單元600以矩陣狀配置。在圖24A中,行方向上彼此相鄰的單元600中的電晶體的源極和汲極中的一個與共用的BL (BL01、BL02、BL03)電連接。另外,該BL還與列方向上配置的單元600中的電晶體的源極和汲極中的一個電連接。另外,行方向上彼此相鄰的單元600中的電晶體的第一閘極與不同的WL(WL01至WL06)電連接。另外,可以對各單元600中的電晶體設置第二閘極BG。可以根據對BG施加的電位控制電晶體的臨界值。另外,單元600中的電容器的第一電極與電晶體的源極和汲極中的另一個電連接。此時,電容器的第一電極由構成電晶體的部分組件構成。另外,單元600中的電容器的第二電極與PL電連接。 FIG. 24A illustrates a circuit diagram of an embodiment different from FIG. 23A, in which the units 600 illustrated in FIGS. 21A to 21C are arranged in a matrix. In FIG. 24A, one of the source and the drain of the transistors in the cells 600 adjacent to each other in the row direction is electrically connected to a common BL (BL01, BL02, BL03). The BL is also electrically connected to one of a source and a drain of a transistor in the cell 600 arranged in the column direction. In addition, the first gates of the transistors in the cells 600 adjacent to each other in the row direction are electrically connected to different WLs (WL01 to WL06). In addition, a second gate BG may be provided for the transistor in each cell 600. The threshold value of the transistor can be controlled according to the potential applied to the BG. In addition, the first electrode of the capacitor in the unit 600 is electrically connected to the other of the source and the drain of the transistor. At this time, the first electrode of the capacitor is composed of some components constituting a transistor. In addition, the second electrode of the capacitor in the unit 600 is electrically connected to the PL.

圖24B是圖24A中的包括與作為行的一部分的WL04和BL02電連接的單元600a以及與WL03和BL02電連接的單元600b的電路620的剖面圖。圖24B示出單元600a及單元600b的剖面圖。 24B is a cross-sectional view of a circuit 620 in FIG. 24A including a cell 600a electrically connected to WL04 and BL02 as part of a row and a cell 600b electrically connected to WL03 and BL02. 24B is a cross-sectional view of the cell 600a and the cell 600b.

單元600a包括電晶體200a及電容器100a。單元600b包括電晶體200b及電容器100b。 The cell 600a includes a transistor 200a and a capacitor 100a. The cell 600b includes a transistor 200b and a capacitor 100b.

電晶體200a的源極和汲極中的一個與電晶體200b的源極和汲極中的一個都與BL02電連接。 One of the source and the drain of the transistor 200a and one of the source and the drain of the transistor 200b are electrically connected to BL02.

在上述結構中,藉由使與源極和汲極中的一個電連接的佈線共同化,可以進一步縮小單元陣列的佔有面積。 In the above-mentioned structure, the wiring area electrically connected to one of the source and the drain can be used in common to further reduce the occupied area of the cell array.

[單元600] [Unit 600]

本發明的一個實施方式的半導體裝置包括電晶體200、電容器100以及被用作層間膜的絕緣體280。另外,還包括與電晶體200電連接的被用作插頭的導電體252(導電體252a、導電體252b、導電體252c及導電體252d)。 A semiconductor device according to an embodiment of the present invention includes a transistor 200, a capacitor 100, and an insulator 280 used as an interlayer film. In addition, a conductor 252 (conductor 252a, conductor 252b, conductor 252c, and conductor 252d) used as a plug, which is electrically connected to the transistor 200, is also included.

導電體252以與絕緣體280中的開口的內壁接觸的方式形成。在 此,導電體252的頂面的高度和絕緣體280的頂面的高度可以大致相同。在電晶體200中,導電體252具有兩層結構,但是本發明不侷限於此。導電體252例如可以具有單層結構或三層以上的疊層結構。 The conductor 252 is formed so as to be in contact with the inner wall of the opening in the insulator 280. Here, the height of the top surface of the conductor 252 and the height of the top surface of the insulator 280 may be substantially the same. In the transistor 200, the conductor 252 has a two-layer structure, but the present invention is not limited thereto. The conductor 252 may have, for example, a single-layer structure or a stacked structure of three or more layers.

被用作層間膜的絕緣體216及絕緣體280的介電常數較佳為比絕緣體214低。藉由將介電常數較低的材料用於層間膜,可以減少產生在佈線之間的寄生電容。 The dielectric constant of the insulator 216 and the insulator 280 used as the interlayer film is preferably lower than that of the insulator 214. By using a material with a lower dielectric constant for the interlayer film, it is possible to reduce parasitic capacitance generated between wirings.

作為被用作層間膜的絕緣體216及絕緣體280,例如可以使用氧化矽、氧氮化矽、氮氧化矽、氧化鋁、氧化鉿、氧化鉭、氧化鋯、鋯鈦酸鉛(PZT)、鈦酸鍶(SrTiO3)或(Ba,Sr)TiO3(BST)等絕緣體的單層或疊層。或者,例如也可以對這些絕緣體添加氧化鋁、氧化鉍、氧化鍺、氧化鈮、氧化矽、氧化鈦、氧化鎢、氧化釔、氧化鋯。此外,也可以對這些絕緣體進行氮化處理。還可以在上述絕緣體上層疊氧化矽、氧氮化矽或氮化矽而使用。 As the insulator 216 and insulator 280 used as the interlayer film, for example, silicon oxide, silicon oxynitride, silicon oxynitride, aluminum oxide, hafnium oxide, tantalum oxide, zirconia, lead zirconate titanate (PZT), and titanic acid can be used. Single layer or stack of insulators such as strontium (SrTiO 3 ) or (Ba, Sr) TiO 3 (BST). Alternatively, for example, alumina, bismuth oxide, germanium oxide, niobium oxide, silicon oxide, titanium oxide, tungsten oxide, yttrium oxide, and zirconia may be added to these insulators. These insulators may be subjected to a nitriding treatment. Alternatively, silicon oxide, silicon oxynitride, or silicon nitride can be laminated on the insulator and used.

另外,可以在導電體260c上配置具有障壁膜的功能的絕緣體270。絕緣體270可以使用具有抑制水或氫等雜質及氧透過的功能的絕緣材料。例如,可以使用包含鋁和鉿中的一個或兩個的氧化物的絕緣體。作為包含鋁和鉿中的一個或兩個的氧化物的絕緣體較佳為使用氧化鋁、氧化鉿、含有鋁及鉿的氧化物(鋁酸鉿)等。由此,可以防止導電體260的氧化。另外,可以防止藉由導電體260及絕緣體250水或氫等雜質進入氧化物230。 In addition, an insulator 270 having a function of a barrier film may be disposed on the conductor 260c. As the insulator 270, an insulating material having a function of suppressing the penetration of impurities such as water or hydrogen and oxygen can be used. For example, an insulator including an oxide of one or both of aluminum and hafnium may be used. As the insulator containing an oxide of one or both of aluminum and hafnium, alumina, hafnium oxide, and an oxide (hafnium aluminate) containing aluminum and hafnium are preferably used. Thereby, oxidation of the conductor 260 can be prevented. In addition, impurities such as water or hydrogen passing through the conductor 260 and the insulator 250 can be prevented from entering the oxide 230.

這裡,絕緣體272可以使用具有抑制水或氫等雜質及氧透過的功能的絕緣材料。例如,可以使用包含鋁和鉿中的一個或兩個的氧化物的絕緣體。作為包含鋁和鉿中的一個或兩個的氧化物的絕緣體較佳為使用氧化鋁、氧化鉿、含有鋁及鉿的氧化物(鋁酸鉿)等。由此,可以防止絕緣體250中的氧擴散到外部。另外,可以抑制氫或水等雜質 從絕緣體250的端部等進入到氧化物230。 Here, as the insulator 272, an insulating material having a function of suppressing the permeation of impurities such as water or hydrogen and oxygen can be used. For example, an insulator including an oxide of one or both of aluminum and hafnium may be used. As the insulator containing an oxide of one or both of aluminum and hafnium, alumina, hafnium oxide, and an oxide (hafnium aluminate) containing aluminum and hafnium are preferably used. This can prevent oxygen in the insulator 250 from diffusing to the outside. In addition, impurities such as hydrogen and water can be prevented from entering the oxide 230 from the end of the insulator 250 or the like.

較佳為在絕緣體274上設置被用作層間膜的絕緣體280。與絕緣體224等同樣,較佳為絕緣體280中的水或氫等雜質的濃度得到降低。另外,絕緣體280也可以使用由同樣的絕緣體構成的疊層結構。 An insulator 280 used as an interlayer film is preferably provided on the insulator 274. As with the insulator 224 and the like, the concentration of impurities such as water or hydrogen in the insulator 280 is preferably reduced. In addition, the insulator 280 may have a laminated structure composed of the same insulator.

在形成在絕緣體280、絕緣體274、絕緣體271及絕緣體270中的開口中分別配置導電體252a、導電體252c及導電體252d。導電體252a、導電體252c及導電體252d的頂面的高度可以與絕緣體280的頂面相同。 In the openings formed in the insulator 280, the insulator 274, the insulator 271, and the insulator 270, a conductor 252a, a conductor 252c, and a conductor 252d are disposed, respectively. The heights of the top surfaces of the conductors 252a, 252c, and 252d may be the same as the top surfaces of the insulator 280.

另外,電連接到電晶體200的區域231b的導電體252b可以與氧化物230a的底部接觸。藉由採用該結構,可以以彼此重疊的方式設置導電體252b、導電體207(導電體207a及導電體207b)、電晶體200和電容器100。當電晶體200與單元600的下方的其他結構體電連接時,不需要單元600上方的電連接到導電體252b的引線配線或者電連接該引線配線與單元600的下方的結構體的插頭等,所以可以縮短製程。導電體207可以以與導電體205相同的製程形成。 In addition, the conductor 252b electrically connected to the region 231b of the transistor 200 may be in contact with the bottom of the oxide 230a. By adopting this structure, the conductive body 252b, the conductive body 207 (the conductive body 207a and the conductive body 207b), the transistor 200, and the capacitor 100 can be provided so as to overlap each other. When the transistor 200 is electrically connected to other structures below the unit 600, there is no need for a lead wiring above the unit 600 that is electrically connected to the conductor 252b or a plug or the like that electrically connects the lead wiring to the structure below the unit 600. Therefore, the process can be shortened. The conductive body 207 can be formed by the same process as the conductive body 205.

另外,也可以採用設置有與埋有導電體252的絕緣體274及絕緣體280的開口的內壁接觸而能夠抑制水或氫等雜質的透過的絕緣體的結構。作為上述絕緣體,可以使用能夠用於絕緣體214的絕緣體,例如,較佳為使用氧化鋁等。由此,可以防止從絕緣體280等使氫、水等雜質藉由導電體252混入到氧化物230中。另外,該絕緣體例如可以利用ALD法或CVD法等進行覆蓋性良好的成膜。 In addition, a structure may be adopted in which an insulator is provided which is in contact with the inner wall of the opening of the insulator 274 and the insulator 280 in which the conductor 252 is buried, and which can suppress the permeation of impurities such as water or hydrogen. As the insulator, an insulator that can be used for the insulator 214 can be used. For example, alumina or the like is preferably used. Accordingly, impurities such as hydrogen and water from the insulator 280 and the like can be prevented from being mixed into the oxide 230 through the conductor 252. In addition, the insulator can be formed into a film with good coverage by, for example, an ALD method, a CVD method, or the like.

導電體252d與被用作電容器100的一個電極的導電體120接觸。因為可以同時形成導電體252d、導電體252a、導電體252b和導電體252c,所以可以縮短製程。 The conductor 252 d is in contact with the conductor 120 used as one electrode of the capacitor 100. Since the conductor 252d, the conductor 252a, the conductor 252b, and the conductor 252c can be formed at the same time, the manufacturing process can be shortened.

〈半導體裝置的結構實例5〉 <Structure Example 5 of Semiconductor Device>

下面,使用圖26A至圖26C說明包括根據本發明的一個實施方式的單元600的半導體裝置的一個例子。 Next, an example of a semiconductor device including a cell 600 according to an embodiment of the present invention will be described using FIGS. 26A to 26C.

圖26A是單元600的俯視圖。圖26B和圖26C是單元600的剖面圖。在此,圖26B是沿著圖26A中的點劃線A1-A2的剖面圖,該剖面圖相當於電晶體200的通道長度方向上的剖面圖。圖26C是沿著圖26A中的點劃線A3-A4剖面圖,該剖面圖相當於電晶體200的通道寬度方向上的剖面圖。為了明確起見,在圖26A的俯視圖中省略圖式中的部分組件。 FIG. 26A is a top view of the unit 600. 26B and 26C are cross-sectional views of the unit 600. Here, FIG. 26B is a cross-sectional view taken along a chain line A1-A2 in FIG. 26A, and the cross-sectional view corresponds to a cross-sectional view in the channel length direction of the transistor 200. FIG. 26C is a cross-sectional view taken along a chain line A3-A4 in FIG. 26A, and the cross-sectional view corresponds to a cross-sectional view in the channel width direction of the transistor 200. For clarity, some components in the drawings are omitted in the top view of FIG. 26A.

注意,在圖26A至圖26C所示的半導體裝置中,對具有與構成〈半導體裝置的結構實例4〉所示的半導體裝置的組件相同的功能的組件附加相同元件符號。 Note that, in the semiconductor device shown in FIGS. 26A to 26C, components having the same functions as those of the components constituting the semiconductor device shown in <Structural Example 4 of the semiconductor device> are assigned the same element symbols.

以下,使用圖26A至圖26C說明單元600的結構。在本節中,作為單元600的構成材料可以使用在〈半導體裝置的結構實例1〉中進行了詳細說明的材料。 Hereinafter, the structure of the unit 600 will be described using FIGS. 26A to 26C. In this section, as the constituent material of the cell 600, the material described in detail in <Structural Example 1 of Semiconductor Device> can be used.

[單元600] [Unit 600]

如圖26A至圖26C所示,單元600與〈半導體裝置的結構實例1〉所示的半導體裝置至少在電容器100的形狀上不同。 As shown in FIGS. 26A to 26C, the cell 600 is different from the semiconductor device shown in <Structural Example 1 of Semiconductor Device> at least in the shape of the capacitor 100.

電容器100包括氧化物230的區域231b、區域231b上的絕緣體130以及絕緣體130上的導電體120。並且,較佳的是,在絕緣體130上以其至少一部分與氧化物230的區域231b重疊的方式配置導電體120。 The capacitor 100 includes a region 231b of the oxide 230, an insulator 130 on the region 231b, and a conductor 120 on the insulator 130. In addition, it is preferable that the conductor 120 is disposed on the insulator 130 so that at least a part of the insulator 120 overlaps the region 231 b of the oxide 230.

氧化物230的區域231b被用作電容器100的一個電極,導電體120被用作電容器100的另一個電極。絕緣體130被用作電容器100的電介 質。氧化物230的區域231b被低電阻化,亦即是導電氧化物。因此,氧化物230的區域231b可以被用作電容器100的一個電極。 The region 231 b of the oxide 230 is used as one electrode of the capacitor 100, and the conductor 120 is used as the other electrode of the capacitor 100. The insulator 130 is used as a dielectric of the capacitor 100. The region 231b of the oxide 230 is reduced in resistance, that is, it is a conductive oxide. Therefore, the region 231 b of the oxide 230 may be used as one electrode of the capacitor 100.

絕緣體280及絕緣體274在與氧化物230的區域231b重疊的區域中具有開口。在該開口的底部,氧化物230的區域231b露出。以與上述開口的側面及氧化物230的區域231b接觸的方式設置絕緣體130。導電體120較佳為以隔著絕緣體130嵌入在上述開口中的方式設置。 The insulator 280 and the insulator 274 have openings in a region overlapping the region 231 b of the oxide 230. At the bottom of the opening, a region 231b of the oxide 230 is exposed. The insulator 130 is provided so as to be in contact with the side surface of the opening and the region 231 b of the oxide 230. The conductive body 120 is preferably provided so as to be embedded in the opening through the insulator 130.

並且,在絕緣體280及導電體120上設置絕緣體286。可以以嵌入形成在絕緣體286、絕緣體280、絕緣體274中的開口的方式形成導電體252a、導電體252c及導電體252d。由此,導電體252a、導電體252c及導電體252b的頂面和絕緣體286的頂面位於同一面上。 An insulator 286 is provided on the insulator 280 and the conductor 120. The conductor 252a, the conductor 252c, and the conductor 252d may be formed so as to fit into the openings formed in the insulator 286, the insulator 280, and the insulator 274. Accordingly, the top surfaces of the conductors 252a, 252c, and 252b and the top surface of the insulator 286 are located on the same surface.

由於上述結構,而平坦的層層疊,由此形成在疊層中的結構的覆蓋性得到提高。因此,高積體化變容易。 Due to the above-mentioned structure, the flat layers are laminated, whereby the coverage of the structure formed in the laminate is improved. Therefore, it becomes easy to make a high volume.

以上,本實施方式所示的結構、方法等可以與其他實施方式所示的結構、方法等適當地組合而實施。 As described above, the structures, methods, and the like described in this embodiment can be implemented in appropriate combination with the structures, methods, and the like shown in other embodiments.

實施方式3 Embodiment 3

在本實施方式中,參照圖27及圖28說明半導體裝置的一個實施方式。 In this embodiment, an embodiment of a semiconductor device will be described with reference to FIGS. 27 and 28.

[記憶體裝置1] [Memory device 1]

圖27及圖28所示的記憶體裝置包括電晶體300、以及含有電晶體200及電容器100的單元600。 The memory device shown in FIGS. 27 and 28 includes a transistor 300 and a unit 600 including a transistor 200 and a capacitor 100.

電晶體200是其通道形成在包含氧化物半導體的半導體層中的電 晶體。因為電晶體200的關態電流小,所以藉由將該電晶體用於記憶體裝置,可以長期保持存儲內容。換言之,由於不需要更新工作或更新工作的頻率極低,所以可以充分降低記憶體裝置的功耗。 The transistor 200 is a transistor whose channels are formed in a semiconductor layer containing an oxide semiconductor. Since the off-state current of the transistor 200 is small, by using the transistor in a memory device, the memory content can be maintained for a long time. In other words, since no refresh work is required or the frequency of the refresh work is extremely low, the power consumption of the memory device can be sufficiently reduced.

在單元600中,因為電晶體200和電容器100包括共同使用的組件所以投影面積較小,因此可以實現微型化或高積體化。 In the unit 600, since the transistor 200 and the capacitor 100 include components used in common, the projection area is small, and thus miniaturization or high integration can be achieved.

在圖27及圖28中,佈線3001與電晶體300的源極電連接,佈線3002與電晶體300的汲極電連接。另外,佈線3003與電晶體200的源極和汲極中的一個電連接,佈線3004與電晶體200的第一閘極電連接,佈線3006與電晶體200的第二閘極電連接。再者,電晶體300的閘極及電晶體200的源極和汲極中的另一個與電容器100的一個電極電連接,佈線3005與電容器100的另一個電極電連接。 In FIGS. 27 and 28, the wiring 3001 is electrically connected to the source of the transistor 300, and the wiring 3002 is electrically connected to the drain of the transistor 300. In addition, the wiring 3003 is electrically connected to one of the source and the drain of the transistor 200, the wiring 3004 is electrically connected to the first gate of the transistor 200, and the wiring 3006 is electrically connected to the second gate of the transistor 200. Furthermore, the gate of the transistor 300 and the other of the source and the drain of the transistor 200 are electrically connected to one electrode of the capacitor 100, and the wiring 3005 is electrically connected to the other electrode of the capacitor 100.

藉由使圖27及圖28所示的半導體裝置具有能夠保持電晶體300的閘極的電位的特徵,可以如下所示進行資料的寫入、保持以及讀出。 By making the semiconductor device shown in FIGS. 27 and 28 capable of holding the potential of the gate of the transistor 300, data can be written, held, and read as described below.

對資料的寫入及保持進行說明。首先,將佈線3004的電位設定為使電晶體200處於導通狀態的電位而使電晶體200處於導通狀態。由此,佈線3003的電位施加到與電晶體300的閘極及電容器100的一個電極電連接的節點FG。換言之,對電晶體300的閘極施加規定的電荷(寫入)。這裡,施加賦予兩種不同電位位準的電荷(以下,稱為低位準電荷、高位準電荷)中的任一個。然後,藉由將佈線3004的電位設定為使電晶體200成為非導通狀態的電位而使電晶體200處於非導通狀態,使電荷保持在節點FG(保持)。 The writing and holding of data will be described. First, the potential of the wiring 3004 is set to a potential at which the transistor 200 is turned on, and the transistor 200 is turned on. Thereby, the potential of the wiring 3003 is applied to the node FG electrically connected to the gate of the transistor 300 and one electrode of the capacitor 100. In other words, a predetermined charge (write) is applied to the gate of the transistor 300. Here, any one of charges (hereinafter, referred to as a low-level charge and a high-level charge) imparted to two different potential levels is applied. Then, the potential of the wiring 3004 is set to a potential at which the transistor 200 becomes a non-conducting state, so that the transistor 200 is in a non-conducting state, and the charge is held at the node FG (hold).

在電晶體200的關態電流較小時,節點FG的電荷被長期間保持。 When the off-state current of the transistor 200 is small, the charge of the node FG is held for a long period of time.

接著,對資料的讀出進行說明。當在對佈線3001施加規定的電位 (恆電位)的狀態下對佈線3005施加適當的電位(讀出電位)時,佈線3002具有對應於保持在節點FG中的電荷量的電位。這是因為:在電晶體300為n通道型電晶體的情況下,對電晶體300的閘極施加高位準電荷時的外觀上的臨界電壓Vth_H低於對電晶體300的閘極施加低位準電荷時的外觀上的臨界電壓Vth_L。在此,外觀上的臨界電壓是指為了使電晶體300成為“導通狀態”所需要的佈線3005的電位。由此,藉由將佈線3005的電位設定為Vth_H與Vth_L之間的電位V0,可以辨別施加到節點FG的電荷。例如,在寫入時節點FG被供應高位準電荷的情況下,若佈線3005的電位為V0(>Vth_H),電晶體300則成為“導通狀態”。另一方面,當節點FG被供應低位準電荷時,即便佈線3005的電位為V0(<Vth_L),電晶體300也保持“非導通狀態”。因此,藉由辨別佈線3002的電位,可以讀出節點FG所保持的資料。 Next, the reading of data will be described. When an appropriate potential (read potential) is applied to the wiring 3005 in a state where a predetermined potential (constant potential) is applied to the wiring 3001, the wiring 3002 has a potential corresponding to the amount of charge held in the node FG. This is because when the transistor 300 is an n-channel transistor, the external threshold voltage V th_H when a high-level charge is applied to the gate of the transistor 300 is lower than the low-level voltage applied to the gate of the transistor 300. The threshold voltage V th_L on the appearance of the electric charge. Here, the external critical voltage refers to the potential of the wiring 3005 required for the transistor 300 to be brought into a “on state”. Accordingly, by setting the potential of the wiring 3005 to the potential V 0 between V th_H and V th_L , the electric charge applied to the node FG can be discriminated. For example, when the node FG is supplied with a high level of charge at the time of writing, if the potential of the wiring 3005 is V 0 (> V th_H ), the transistor 300 becomes a “on state”. On the other hand, when the node FG is supplied with a low-level charge, even if the potential of the wiring 3005 is V 0 (<V th_L ), the transistor 300 remains in a “non-conducting state”. Therefore, by discriminating the potential of the wiring 3002, the data held by the node FG can be read.

〈記憶體裝置1的結構〉 <Configuration of Memory Device 1>

如圖27及圖28所示,本發明的一個實施方式的半導體裝置包括電晶體300、電晶體200及電容器100。電晶體200設置在電晶體300的上方,電容器100設置在電晶體300、電晶體200的上方。 As shown in FIGS. 27 and 28, a semiconductor device according to an embodiment of the present invention includes a transistor 300, a transistor 200, and a capacitor 100. The transistor 200 is provided above the transistor 300, and the capacitor 100 is provided above the transistor 300 and the transistor 200.

電晶體300設置在基板311上,並包括:導電體316、絕緣體315、由基板311的一部分構成的半導體區域313;以及被用作源極區域或汲極區域的低電阻區域314a及低電阻區域314b。 The transistor 300 is provided on the substrate 311 and includes: a conductor 316, an insulator 315, a semiconductor region 313 composed of a part of the substrate 311, and a low-resistance region 314a and a low-resistance region used as a source region or a drain region. 314b.

電晶體300可以為p通道型電晶體或n通道型電晶體。 The transistor 300 may be a p-channel type transistor or an n-channel type transistor.

半導體區域313的通道形成區域或其附近的區域、被用作源極區域或汲極區域的低電阻區域314a及低電阻區域314b等較佳為包含矽類半導體等半導體,更佳為包含單晶矽。另外,也可以使用包含Ge(鍺)、SiGe(矽鍺)、GaAs(砷化鎵)、GaAlAs(鎵鋁砷)等的材料形成。可以使用對晶格施加應力,改變晶面間距而控制有效質量的矽。此外, 電晶體300也可以是使用GaAs和GaAlAs等的HEMT(High Electron Mobility Transistor:高電子移動率電晶體)。 The channel formation region of the semiconductor region 313 or a region in the vicinity thereof, the low-resistance region 314 a and the low-resistance region 314 b used as a source region or a drain region preferably include a semiconductor such as a silicon-based semiconductor, and more preferably a single crystal Silicon. Alternatively, it may be formed using a material including Ge (germanium), SiGe (silicon germanium), GaAs (gallium arsenide), GaAlAs (gallium aluminum arsenide), or the like. It is possible to control the effective quality of silicon by applying stress to the crystal lattice and changing the interplanar spacing. The transistor 300 may be a HEMT (High Electron Mobility Transistor) using GaAs, GaAlAs, or the like.

在低電阻區域314a及低電阻區域314b中,除了應用於半導體區域313的半導體材料之外,還包含砷、磷等賦予n型導電性的元素或硼等賦予p型導電性的元素。 The low-resistance region 314a and the low-resistance region 314b include, in addition to the semiconductor material applied to the semiconductor region 313, an element that imparts n-type conductivity such as arsenic and phosphorus or an element that imparts p-type conductivity such as boron.

作為被用作閘極電極的導電體316,可以使用包含砷、磷等賦予n型導電性的元素或硼等賦予p型導電性的元素的矽等半導體材料、金屬材料、合金材料或金屬氧化物材料等導電材料。 As the conductor 316 used as the gate electrode, a semiconductor material such as silicon, a metal material, an alloy material, or a metal oxide containing silicon containing an element that imparts n-type conductivity such as arsenic and phosphorus or an element that imparts p-type conductivity such as boron can be used. Materials such as conductive materials.

另外,藉由根據導電體的材料設定功函數,可以調整臨界電壓。明確而言,作為導電體較佳為使用氮化鈦或氮化鉭等材料。為了兼具導電性和嵌入性,作為導電體較佳為使用鎢或鋁等金屬材料的疊層,尤其在耐熱性方面上較佳為使用鎢。 In addition, by setting the work function according to the material of the conductor, the threshold voltage can be adjusted. Specifically, it is preferable to use a material such as titanium nitride or tantalum nitride as the conductor. In order to have both conductivity and embedding property, it is preferable to use a laminate of a metal material such as tungsten or aluminum as the conductor, and it is particularly preferable to use tungsten in terms of heat resistance.

注意,圖27及圖28所示的電晶體300的結構只是一個例子,不侷限於上述結構,根據電路結構或驅動方法使用適當的電晶體即可。 Note that the structure of the transistor 300 shown in FIGS. 27 and 28 is only an example, and is not limited to the above-mentioned structure. An appropriate transistor may be used according to the circuit structure or driving method.

以覆蓋電晶體300的方式依次層疊有絕緣體320、絕緣體322、絕緣體324及絕緣體326。 An insulator 320, an insulator 322, an insulator 324, and an insulator 326 are stacked in this order so as to cover the transistor 300.

作為絕緣體320、絕緣體322、絕緣體324及絕緣體326,例如可以使用氧化矽、氧氮化矽、氮氧化矽、氮化矽、氧化鋁、氧氮化鋁、氮氧化鋁及氮化鋁等。 Examples of the insulator 320, insulator 322, insulator 324, and insulator 326 include silicon oxide, silicon oxynitride, silicon oxynitride, silicon nitride, aluminum oxide, aluminum oxynitride, aluminum nitride oxide, and aluminum nitride.

絕緣體322也可以被用作使因設置在其下方的電晶體300等而產生的步階平坦化的平坦化膜。例如,為了提高絕緣體322的頂面的平坦性,其頂面也可以藉由利用化學機械拋光(CMP)法等的平坦化處理 被平坦化。 The insulator 322 can also be used as a flattening film that flattens the steps generated by the transistor 300 or the like provided below it. For example, in order to improve the flatness of the top surface of the insulator 322, the top surface may be planarized by a planarization process using a chemical mechanical polishing (CMP) method or the like.

作為絕緣體324,較佳為使用能夠防止氫或雜質從基板311或電晶體300等擴散到設置有電晶體200的區域中的具有阻擋性的膜。 As the insulator 324, it is preferable to use a barrier film capable of preventing hydrogen or impurities from diffusing from the substrate 311, the transistor 300, or the like into a region where the transistor 200 is provided.

作為對氫具有阻擋性的膜的一個例子,例如可以使用藉由CVD法形成的氮化矽。在此,有時氫擴散到電晶體200等具有氧化物半導體的半導體元件中,導致該半導體元件的特性下降。因此,較佳為在電晶體300與電晶體200之間設置抑制氫的擴散的膜。明確而言,抑制氫的擴散的膜是指氫的脫離量少的膜。 As an example of a film having a barrier property against hydrogen, for example, silicon nitride formed by a CVD method can be used. Here, hydrogen may diffuse into a semiconductor element having an oxide semiconductor such as the transistor 200 and the characteristics of the semiconductor element may be deteriorated. Therefore, it is preferable to provide a film that suppresses the diffusion of hydrogen between the transistor 300 and the transistor 200. Specifically, the film that suppresses the diffusion of hydrogen refers to a film with a small amount of hydrogen detachment.

氫的脫離量例如可以利用熱脫附譜分析法(TDS)等測量。例如,在TDS分析中的50℃至500℃的範圍內,當將換算為氫原子的脫離量換算為絕緣體324的每單位面積的量時,絕緣體324中的氫的脫離量為10×1015atoms/cm2以下,較佳為5×1015atoms/cm2以下,即可。 The amount of hydrogen detached can be measured by, for example, thermal desorption spectrometry (TDS). For example, in the range of 50 ° C. to 500 ° C. in the TDS analysis, when the amount of hydrogen atoms converted into the amount per unit area of the insulator 324 is converted, the amount of hydrogen released from the insulator 324 is 10 × 10 15 The number of atoms / cm 2 or less is preferably 5 × 10 15 atoms / cm 2 or less.

注意,絕緣體326的介電常數較佳為比絕緣體324低。例如,絕緣體326的相對介電常數較佳為低於4,更佳為低於3。例如,絕緣體326的相對介電常數較佳為絕緣體324的相對介電常數的0.7倍以下,更佳為0.6倍以下。藉由將介電常數低的材料用於層間膜,可以減少產生在佈線之間的寄生電容。 Note that the dielectric constant of the insulator 326 is preferably lower than that of the insulator 324. For example, the relative dielectric constant of the insulator 326 is preferably less than 4, and more preferably less than 3. For example, the relative dielectric constant of the insulator 326 is preferably 0.7 times or less, and more preferably 0.6 times or less the relative dielectric constant of the insulator 324. By using a low dielectric constant material for the interlayer film, it is possible to reduce parasitic capacitance generated between wirings.

另外,在絕緣體320、絕緣體322、絕緣體324及絕緣體326中嵌入與電容器100或電晶體200電連接的導電體328、導電體330等。另外,導電體328及導電體330被用作插頭或佈線。注意,有時使用同一元件符號表示被用作插頭或佈線的多個導電體。此外,在本說明書等中,佈線、與佈線電連接的插頭也可以是一個組件。就是說,導電體的一部分有時被用作佈線,並且該導電體的一部分有時被用作插頭。 Further, a conductor 328, a conductor 330, and the like electrically connected to the capacitor 100 or the transistor 200 are embedded in the insulator 320, the insulator 322, the insulator 324, and the insulator 326. The conductive body 328 and the conductive body 330 are used as a plug or a wiring. Note that the same component symbol is sometimes used to indicate multiple electrical conductors used as plugs or wiring. In this specification and the like, the wiring and the plug electrically connected to the wiring may be a single component. That is, a part of the conductor is sometimes used as a wiring, and a part of the conductor is sometimes used as a plug.

作為各插頭及佈線(導電體328及導電體330等)的材料,可以使用金屬材料、合金材料、金屬氮化物材料或金屬氧化物材料等導電材料的單層或疊層。較佳為使用兼具耐熱性和導電性的鎢或鉬等高熔點材料,尤其較佳為使用鎢。或者,較佳為使用鋁或銅等低電阻導電材料。藉由使用低電阻導電材料可以降低佈線電阻。 As the material of each plug and wiring (conductor 328, conductor 330, etc.), a single layer or a stack of conductive materials such as metal materials, alloy materials, metal nitride materials, or metal oxide materials can be used. It is preferable to use a high melting point material such as tungsten or molybdenum having both heat resistance and electrical conductivity, and it is particularly preferable to use tungsten. Alternatively, a low-resistance conductive material such as aluminum or copper is preferably used. The wiring resistance can be reduced by using a low-resistance conductive material.

也可以在絕緣體326及導電體330上形成佈線層。例如,在圖27及圖28中,依次層疊有絕緣體350、絕緣體352及絕緣體354。另外,在絕緣體350、絕緣體352及絕緣體354中形成有導電體356。導電體356被用作插頭或佈線。此外,導電體356可以使用與導電體328及導電體330同樣的材料形成。 A wiring layer may be formed on the insulator 326 and the conductor 330. For example, in FIG. 27 and FIG. 28, the insulator 350, the insulator 352, and the insulator 354 are laminated | stacked in this order. A conductor 356 is formed in the insulator 350, the insulator 352, and the insulator 354. The electrical conductor 356 is used as a plug or wiring. The conductive body 356 can be formed using the same material as the conductive body 328 and the conductive body 330.

另外,與絕緣體324同樣,絕緣體350例如較佳為使用對氫具有阻擋性的絕緣體。此外,導電體356較佳為包含對氫具有阻擋性的導電體。尤其是,在對氫具有阻擋性的絕緣體350所具有的開口中形成對氫具有阻擋性的導電體。藉由採用該結構,可以使用障壁層將電晶體300與電晶體200分離,從而可以抑制氫從電晶體300擴散到電晶體200中。 In addition, like the insulator 324, the insulator 350 is preferably an insulator having a barrier property against hydrogen, for example. In addition, the conductor 356 preferably includes a conductor having a barrier property against hydrogen. In particular, a conductor having a barrier property against hydrogen is formed in an opening of the insulator 350 having a barrier property against hydrogen. By adopting this structure, the transistor 300 can be separated from the transistor 200 using the barrier layer, and the diffusion of hydrogen from the transistor 300 into the transistor 200 can be suppressed.

注意,作為對氫具有阻擋性的導電體,例如較佳為使用氮化鉭等。另外,藉由層疊氮化鉭和導電性高的鎢,不但可以保持作為佈線的導電性而且可以抑制氫從電晶體300擴散。此時,對氫具有阻擋性的氮化鉭層較佳為與對氫具有阻擋性的絕緣體350接觸。 Note that as the conductor having a barrier property against hydrogen, for example, tantalum nitride or the like is preferably used. In addition, by stacking tantalum nitride and highly conductive tungsten, not only the conductivity as a wiring can be maintained, but also the diffusion of hydrogen from the transistor 300 can be suppressed. At this time, the tantalum nitride layer having a barrier property against hydrogen is preferably in contact with the insulator 350 having a barrier property against hydrogen.

也可以在絕緣體354及導電體356上形成佈線層。例如,在圖27及圖28中,依次層疊有絕緣體360、絕緣體362及絕緣體364。另外,在絕緣體360、絕緣體362及絕緣體364中形成有導電體366。導電體366被用作插頭或佈線。此外,導電體366可以使用與導電體328及導電體330同樣的材料形成。 A wiring layer may be formed on the insulator 354 and the conductor 356. For example, in FIGS. 27 and 28, an insulator 360, an insulator 362, and an insulator 364 are stacked in this order. A conductor 366 is formed in the insulator 360, the insulator 362, and the insulator 364. The electrical conductor 366 is used as a plug or wiring. The conductive body 366 can be formed using the same material as the conductive body 328 and the conductive body 330.

另外,與絕緣體324同樣,絕緣體360例如較佳為使用對氫具有阻擋性的絕緣體。此外,導電體366較佳為包含對氫具有阻擋性的導電體。尤其是,在對氫具有阻擋性的絕緣體360所具有的開口中形成對氫具有阻擋性的導電體。藉由採用該結構,可以使用障壁層將電晶體300與電晶體200分離,從而可以抑制氫從電晶體300擴散到電晶體200中。 In addition, like the insulator 324, the insulator 360 is preferably, for example, an insulator having a barrier property against hydrogen. In addition, the conductor 366 preferably includes a conductor having a barrier property against hydrogen. In particular, a conductor having a barrier property against hydrogen is formed in an opening of the insulator 360 having a barrier property against hydrogen. By adopting this structure, the transistor 300 can be separated from the transistor 200 using the barrier layer, and the diffusion of hydrogen from the transistor 300 into the transistor 200 can be suppressed.

也可以在絕緣體364及導電體366上形成佈線層。例如,在圖27及圖28中,依次層疊有絕緣體370、絕緣體372及絕緣體374。另外,在絕緣體370、絕緣體372及絕緣體374中形成有導電體376。導電體376被用作插頭或佈線。此外,導電體376可以使用與導電體328及導電體330同樣的材料形成。 A wiring layer may be formed on the insulator 364 and the conductor 366. For example, in FIGS. 27 and 28, an insulator 370, an insulator 372, and an insulator 374 are stacked in this order. A conductor 376 is formed in the insulator 370, the insulator 372, and the insulator 374. The conductor 376 is used as a plug or wiring. The conductive body 376 can be formed using the same material as the conductive body 328 and the conductive body 330.

另外,與絕緣體324同樣,絕緣體370例如較佳為使用對氫具有阻擋性的絕緣體。此外,導電體376較佳為包含對氫具有阻擋性的導電體。尤其是,在對氫具有阻擋性的絕緣體370所具有的開口中形成對氫具有阻擋性的導電體。藉由採用該結構,可以使用障壁層將電晶體300與電晶體200分離,從而可以抑制氫從電晶體300擴散到電晶體200中。 In addition, like the insulator 324, the insulator 370 is preferably an insulator having a barrier property against hydrogen, for example. In addition, the conductor 376 preferably includes a conductor having a barrier property against hydrogen. In particular, a conductor having a barrier property against hydrogen is formed in an opening of the insulator 370 having a barrier property against hydrogen. By adopting this structure, the transistor 300 can be separated from the transistor 200 using the barrier layer, and the diffusion of hydrogen from the transistor 300 into the transistor 200 can be suppressed.

可以在絕緣體374及導電體376上形成佈線層。例如,在圖27及圖28中,依次層疊有絕緣體380、絕緣體382及絕緣體384。另外,在絕緣體380、絕緣體382及絕緣體384中形成有導電體386。導電體386被用作插頭或佈線。此外,導電體386可以使用與導電體328及導電體330同樣的材料形成。 A wiring layer may be formed on the insulator 374 and the conductor 376. For example, in FIGS. 27 and 28, an insulator 380, an insulator 382, and an insulator 384 are stacked in this order. A conductor 386 is formed in the insulator 380, the insulator 382, and the insulator 384. The electrical conductor 386 is used as a plug or wiring. The conductive body 386 can be formed using the same material as the conductive body 328 and the conductive body 330.

另外,與絕緣體324同樣,絕緣體380例如較佳為使用對氫具有阻擋性的絕緣體。此外,導電體386較佳為包含對氫具有阻擋性的導電 體。尤其是,在對氫具有阻擋性的絕緣體380所具有的開口中形成對氫具有阻擋性的導電體。藉由採用該結構,可以使用障壁層將電晶體300與電晶體200分離,從而可以抑制氫從電晶體300擴散到電晶體200中。 In addition, like the insulator 324, the insulator 380 is preferably an insulator having a barrier property against hydrogen, for example. In addition, the conductor 386 preferably includes a conductor having a barrier property against hydrogen. In particular, a conductor having a barrier property against hydrogen is formed in an opening of the insulator 380 having a barrier property against hydrogen. By adopting this structure, the transistor 300 can be separated from the transistor 200 using the barrier layer, and the diffusion of hydrogen from the transistor 300 into the transistor 200 can be suppressed.

在絕緣體384上,依次層疊有絕緣體210、絕緣體212。作為絕緣體210、絕緣體212中的任何一個,較佳為使用對氧或氫具有阻擋性的物質。 An insulator 210 and an insulator 212 are stacked on the insulator 384 in this order. As either of the insulator 210 and the insulator 212, a substance having a barrier property against oxygen or hydrogen is preferably used.

例如,作為絕緣體210,例如較佳為使用能夠防止氫或雜質從基板311或設置有電晶體300的區域等擴散到設置有單元600的區域中的具有阻擋性的膜。因此,上述膜可以使用與絕緣體324同樣的材料。 For example, as the insulator 210, for example, it is preferable to use a barrier film capable of preventing hydrogen or impurities from diffusing from the substrate 311 or the region where the transistor 300 is provided into the region where the cell 600 is provided. Therefore, the film can be made of the same material as the insulator 324.

作為對氫具有阻擋性的膜的一個例子,可以使用藉由CVD法形成的氮化矽。在此,有時氫擴散到單元600等具有氧化物半導體的半導體元件中,導致該半導體元件的特性下降。因此,較佳為在電晶體300與單元600之間設置抑制氫的擴散的膜。明確而言,抑制氫的擴散的膜是指氫的脫離量少的膜。 As an example of a film having a barrier property against hydrogen, silicon nitride formed by a CVD method can be used. Here, hydrogen may diffuse into a semiconductor element having an oxide semiconductor, such as the cell 600, and the characteristics of the semiconductor element may be deteriorated. Therefore, it is preferable to provide a film that suppresses the diffusion of hydrogen between the transistor 300 and the cell 600. Specifically, the film that suppresses the diffusion of hydrogen refers to a film with a small amount of hydrogen detachment.

例如,作為對氫具有阻擋性的膜,絕緣體210較佳為使用氧化鋁、氧化鉿、氧化鉭等金屬氧化物。 For example, as the film having a barrier property against hydrogen, the insulator 210 is preferably a metal oxide such as aluminum oxide, hafnium oxide, or tantalum oxide.

尤其是,氧化鋁的不使氧及導致電晶體的電特性變動的氫、水分等雜質透過的阻擋效果高。因此,在電晶體的製程中及製程之後,氧化鋁可以防止氫、水分等雜質進入單元600中。另外,氧化鋁可以抑制氧從構成單元600的氧化物釋放。因此,氧化鋁適合用作單元600的保護膜。 In particular, alumina has a high barrier effect that does not allow oxygen and impurities such as hydrogen and moisture that cause the electrical characteristics of the transistor to change. Therefore, during and after the transistor process, alumina can prevent impurities such as hydrogen and moisture from entering the unit 600. In addition, alumina can suppress the release of oxygen from the oxide of the constituent unit 600. Therefore, alumina is suitable as a protective film for the cell 600.

例如,作為絕緣體212,可以使用與絕緣體320同樣的材料。此外, 藉由由介電常數較低的材料形成層間膜,可以減少產生在佈線之間的寄生電容。例如,作為絕緣體212,可以使用氧化矽膜和氧氮化矽膜等。 For example, as the insulator 212, the same material as the insulator 320 can be used. In addition, by forming the interlayer film from a material having a lower dielectric constant, it is possible to reduce parasitic capacitance generated between wirings. For example, as the insulator 212, a silicon oxide film, a silicon oxynitride film, or the like can be used.

另外,在絕緣體210、絕緣體212、絕緣體214及絕緣體216中嵌入有導電體218、構成電晶體200的導電體(導電體205)等。此外,導電體218被用作與單元600或電晶體300電連接的插頭或佈線。導電體218可以使用與導電體328及導電體330同樣的材料形成。 A conductor 218, a conductor (conductor 205) constituting the transistor 200, and the like are embedded in the insulator 210, the insulator 212, the insulator 214, and the insulator 216. In addition, the conductor 218 is used as a plug or wiring that is electrically connected to the unit 600 or the transistor 300. The conductive body 218 can be formed using the same material as the conductive body 328 and the conductive body 330.

尤其是,與絕緣體210及絕緣體214接觸的區域的導電體218較佳為對氧、氫及水具有阻擋性的導電體。藉由採用該結構,可以利用對氧、氫及水具有阻擋性的層將電晶體300與電晶體200分離,從而可以抑制氫從電晶體300擴散到單元600中。 In particular, the conductor 218 in a region in contact with the insulator 210 and the insulator 214 is preferably a conductor having barrier properties against oxygen, hydrogen, and water. By adopting this structure, the transistor 300 and the transistor 200 can be separated by a layer having a barrier property against oxygen, hydrogen, and water, and the diffusion of hydrogen from the transistor 300 into the cell 600 can be suppressed.

絕緣體212的上方設置有單元600。作為單元600的結構可以使用在上述實施方式中說明的單元600的結構。注意,圖27及圖28所示的單元600的結構只是一個例子,不侷限於上述結構,根據電路結構或驅動方法使用適當的電晶體即可。 A unit 600 is provided above the insulator 212. As the structure of the unit 600, the structure of the unit 600 described in the above embodiment can be used. Note that the structure of the unit 600 shown in FIG. 27 and FIG. 28 is only an example, and is not limited to the above-mentioned structure, and an appropriate transistor may be used according to a circuit structure or a driving method.

以上是對結構實例的說明。藉由採用本結構,在使用包含氧化物半導體的電晶體的半導體裝置中,可以抑制電特性變動且可以提高可靠性。另外,可以提供一種包含通態電流大的氧化物半導體的電晶體。另外,可以提供一種包含關態電流小的氧化物半導體的電晶體。另外,可以提供一種功耗得到減少的半導體裝置。 The above is a description of a structural example. By adopting this structure, in a semiconductor device using an transistor including an oxide semiconductor, variations in electrical characteristics can be suppressed and reliability can be improved. In addition, a transistor including an oxide semiconductor having a large on-state current can be provided. In addition, a transistor including an oxide semiconductor having a small off-state current can be provided. In addition, a semiconductor device with reduced power consumption can be provided.

以上,本實施方式所示的結構、方法等可以與其他實施方式所示的結構、方法等適當地組合而實施。 As described above, the structures, methods, and the like described in this embodiment can be implemented in appropriate combination with the structures, methods, and the like shown in other embodiments.

實施方式4 Embodiment 4

在本實施方式中,參照圖29及圖30A至圖30E,作為根據本發明的一個實施方式的使用將氧化物用於半導體的電晶體(以下稱為OS電晶體)及電容器的記憶體裝置的一個例子,對NOSRAM進行說明。NOSRAM(註冊商標)是“Nonvolatile Oxide Semiconductor RAM”的簡稱,指具有增益單元型(2T型、3T型)記憶單元的RAM。以下有時將NOSRAM這樣的採用OS電晶體的記憶體裝置稱作OS記憶體。 In the present embodiment, referring to FIGS. 29 and 30A to 30E, as a memory device using a transistor (hereinafter referred to as an OS transistor) using a oxide as a semiconductor and a capacitor according to an embodiment of the present invention, An example is to explain NOSRAM. NOSRAM (registered trademark) is an abbreviation of "Nonvolatile Oxide Semiconductor RAM" and refers to a RAM having a gain cell type (2T type, 3T type) memory cell. Hereinafter, a memory device using an OS transistor such as NOSRAM is sometimes referred to as an OS memory.

在NOSRAM中,可以使用記憶單元中使用OS電晶體的記憶體裝置(以下稱為“OS記憶體”)。OS記憶體是至少包括電容器和控制該電容器的充放電的OS電晶體的記憶體。OS電晶體的關態電流極小,因此OS記憶體具有優良的保持特性而可以被用作非揮發性記憶體。 In NOSRAM, a memory device (hereinafter referred to as “OS memory”) using an OS transistor in a memory unit can be used. The OS memory is a memory including at least a capacitor and an OS transistor that controls charging and discharging of the capacitor. The off-state current of the OS transistor is extremely small, so the OS memory has excellent holding characteristics and can be used as a non-volatile memory.

〈〈NOSRAM〉〉 〈〈 NOSRAM 〉〉

圖29示出NOSRAM的結構實例。圖29所示的NOSRAM1600包括記憶單元陣列1610、控制器1640、行驅動器1650、列驅動器1660、輸出驅動器1670。另外,NOSRAM1600是以一個記憶單元儲存多值資料的多值NOSRAM。 FIG. 29 shows a configuration example of the NOSRAM. The NOSRAM 1600 shown in FIG. 29 includes a memory cell array 1610, a controller 1640, a row driver 1650, a column driver 1660, and an output driver 1670. In addition, NOSRAM1600 is a multi-valued NOSRAM that stores multi-valued data in one memory unit.

記憶單元陣列1610包括多個記憶單元1611、多個字線WWL、RWL、位元線BL及源極線SL。字線WWL是寫入字線,字線RWL是讀出字線。在NOSRAM1600中,以一個記憶單元1611儲存3位元(8值)的資料。 The memory cell array 1610 includes a plurality of memory cells 1611, a plurality of word lines WWL, RWL, a bit line BL, and a source line SL. The word line WWL is a write word line, and the word line RWL is a read word line. In NOSRAM1600, three bits (eight values) of data are stored in one memory unit 1611.

控制器1640控制整個NOSRAM1600,並進行資料WDA[31:0]的寫入及資料RDA[31:0]的讀出。控制器1640對來自外部的指令信號(例如,晶片賦能信號、寫入賦能信號等)進行處理而生成行驅動器1650、列驅動器1660及輸出驅動器1670的控制信號。 The controller 1640 controls the entire NOSRAM 1600, and performs writing of data WDA [31: 0] and reading of data RDA [31: 0]. The controller 1640 processes external command signals (for example, wafer enable signals, write enable signals, etc.) to generate control signals for the row driver 1650, the column driver 1660, and the output driver 1670.

行驅動器1650具有選擇要訪問行的功能。行驅動器1650包括行解 碼器1651及字線驅動器1652。 The row driver 1650 has a function of selecting a row to be accessed. The row driver 1650 includes a row decoder 1651 and a word line driver 1652.

列驅動器1660驅動源極線SL及位元線BL。列驅動器1660包括列解碼器1661、寫入驅動器1662以及DAC(數位-類比轉換電路)1663。 The column driver 1660 drives the source line SL and the bit line BL. The column driver 1660 includes a column decoder 1661, a write driver 1662, and a DAC (Digital-Analog Conversion Circuit) 1663.

DAC1663將3位元的數位資料轉換為類比電壓。DAC1663將32位元的資料WDA[31:0]每隔3位元變換成類比電壓。 The DAC1663 converts 3-bit digital data into an analog voltage. DAC1663 converts 32-bit data WDA [31: 0] into analog voltage every 3 bits.

寫入驅動器1662具有如下功能:對源極線SL進行預充電;使源極線SL變為電浮動狀態;選擇源極線SL;對被選擇的源極線SL輸入由DAC1663生成的寫入電壓;對位元線BL進行預充電;使位元線BL變為電浮動狀態;等等。 The write driver 1662 has the following functions: pre-charging the source line SL; making the source line SL become electrically floating; selecting the source line SL; and inputting the write voltage generated by the DAC1663 to the selected source line SL Pre-charge the bit line BL; make the bit line BL electrically floating; and so on.

輸出驅動器1670包括選擇器1671、ADC(類比-數位轉換電路)1672、輸出緩衝器1673。選擇器1671選擇要訪問的源極線SL並將被選擇的源極線SL的電壓發送至ADC1672。ADC1672具有將類比電壓轉換成3位元的數位資料的功能。源極線SL的電壓在ADC1672中被轉換為3位元的資料,輸出緩衝器1673保持從ADC1672輸出的資料。 The output driver 1670 includes a selector 1671, an ADC (analog-to-digital conversion circuit) 1672, and an output buffer 1673. The selector 1671 selects the source line SL to be accessed and sends the voltage of the selected source line SL to the ADC 1672. ADC1672 has the function of converting analog voltage into 3-bit digital data. The voltage of the source line SL is converted into 3-bit data in the ADC 1672, and the output buffer 1673 holds the data output from the ADC 1672.

〈記憶單元〉 <Memory Unit>

圖30A是示出記憶單元1611的結構實例的電路圖。記憶單元1611是2T型增益單元,記憶單元1611與字線WWL、RWL、位元線BL、源極線SL、佈線BGL電連接。記憶單元1611包括節點SN、OS電晶體MO61、電晶體MP61以及電容器C61。OS電晶體MO61是寫入電晶體。電晶體MP61是讀出電晶體,例如由p通道型Si電晶體構成。電容器C61是用來保持節點SN的電壓的儲存電容器。節點SN是用來保持資料的節點,在此相當於電晶體MP61的閘極。 FIG. 30A is a circuit diagram showing a configuration example of the memory unit 1611. The memory unit 1611 is a 2T-type gain unit. The memory unit 1611 is electrically connected to the word lines WWL, RWL, bit lines BL, source lines SL, and wiring BGL. The memory unit 1611 includes a node SN, an OS transistor MO61, a transistor MP61, and a capacitor C61. The OS transistor MO61 is a write transistor. The transistor MP61 is a readout transistor, and is composed of, for example, a p-channel Si transistor. The capacitor C61 is a storage capacitor for holding the voltage of the node SN. The node SN is a node for holding data, which is equivalent to the gate of the transistor MP61.

由於記憶單元1611的寫入電晶體由OS電晶體MO61構成,所以 NOSRAM1600可以長時間地保持資料。 Since the write transistor of the memory unit 1611 is composed of the OS transistor MO61, the NOSRAM1600 can hold data for a long time.

雖然圖30A的例子中示出的位元線是兼用於進行寫入及讀出的共用位元線,但是也可以如圖30B所示地分別設置寫入位元線WBL和讀出位元線RBL。 Although the bit line shown in the example of FIG. 30A is a common bit line used for both writing and reading, the writing bit line WBL and the reading bit line may be separately provided as shown in FIG. 30B. RBL.

圖30C至圖30E示出記憶單元的其他結構實例。雖然圖30C至圖30E中示出設置寫入用位元線和讀出用位元線的例子,但是也可以如圖30A那樣設置寫入與讀出共用的位元線。 30C to 30E illustrate other structural examples of the memory unit. Although examples in which bit lines for writing and bit lines for reading are provided are shown in FIGS. 30C to 30E, bit lines common to writing and reading may be provided as shown in FIG. 30A.

圖30C所示的記憶單元1612是記憶單元1611的變形例,記憶單元1612中使用n通道型電晶體(MN61)代替讀出電晶體。電晶體MN61可以為OS電晶體或Si電晶體。 The memory unit 1612 shown in FIG. 30C is a modification of the memory unit 1611. The memory unit 1612 uses an n-channel transistor (MN61) instead of a readout transistor. The transistor MN61 may be an OS transistor or a Si transistor.

在記憶單元1611和記憶單元1612中,OS電晶體MO61可以為無背閘極的OS電晶體。 In the memory unit 1611 and the memory unit 1612, the OS transistor MO61 may be an OS transistor without a back gate.

圖30D所示的記憶單元1613是3T型增益單元並與字線WWL、RWL、位元線WBL、RBL、源極線SL、佈線BGL以及佈線PCL電連接。記憶單元1613包括節點SN、OS電晶體MO62、電晶體MP62、電晶體MP63以及電容器C62。OS電晶體MO62是寫入電晶體。電晶體MP62是讀出電晶體,電晶體MP63是選擇電晶體。 The memory cell 1613 shown in FIG. 30D is a 3T type gain cell and is electrically connected to the word lines WWL, RWL, bit lines WBL, RBL, source lines SL, wiring BGL, and wiring PCL. The memory unit 1613 includes a node SN, an OS transistor MO62, a transistor MP62, a transistor MP63, and a capacitor C62. The OS transistor MO62 is a write transistor. The transistor MP62 is a readout transistor, and the transistor MP63 is a selection transistor.

圖30E所示的記憶單元1614是記憶單元1613的變形例,其中使用n通道型電晶體(MN62、MN63)代替讀出電晶體及選擇電晶體。電晶體MN62、MN63可以為OS電晶體或Si電晶體。 The memory unit 1614 shown in FIG. 30E is a modification of the memory unit 1613, in which n-channel transistors (MN62, MN63) are used instead of the readout transistor and the selection transistor. The transistors MN62 and MN63 may be OS transistors or Si transistors.

設置於記憶單元1611至記憶單元1614中的OS電晶體可以為無背閘極的電晶體或有背閘極的電晶體。 The OS transistors provided in the memory unit 1611 to the memory unit 1614 may be transistors without a back gate or transistors with a back gate.

由於是藉由電容器C61的充放電來改寫資料,所以理論上對NOSRAM1600的改寫次數沒有限制,而且可以以低能量進行資料的寫入以及讀出。另外,由於可以長時間地保持資料,由此可以降低更新頻率。 Since the data is rewritten by charging and discharging of the capacitor C61, theoretically there is no limit to the number of rewrites of the NOSRAM1600, and data can be written and read with low energy. In addition, since the data can be held for a long time, the update frequency can be reduced.

當將上述實施方式所示的半導體裝置用於記憶單元1611、記憶單元1612、記憶單元1613及記憶單元1614時,作為OS電晶體MO61、MO62可以使用電晶體200,作為電容器C61、C62可以使用電容器100,作為電晶體MP61、MN62可以使用電晶體300。由此,可以縮小由一個電晶體和一個電容器組成的各組的俯視時的佔有面積,從而可以使根據本實施方式的記憶體裝置進一步高積體化。由此,可以增加根據本實施方式的記憶體裝置的單位面積的記憶容量。 When the semiconductor device described in the above embodiment is used for the memory unit 1611, the memory unit 1612, the memory unit 1613, and the memory unit 1614, the transistor 200 can be used as the OS transistor MO61 and MO62, and the capacitor can be used as the capacitor C61 and C62 100. As the transistors MP61 and MN62, a transistor 300 can be used. Thereby, the occupation area in the plan view of each group consisting of one transistor and one capacitor can be reduced, and the memory device according to the present embodiment can be further integrated. Thereby, the memory capacity per unit area of the memory device according to the present embodiment can be increased.

本實施方式所示的結構可以與其他實施方式所示的結構適當地組合而使用。 The structure described in this embodiment can be used in appropriate combination with the structures described in other embodiments.

實施方式5 Embodiment 5

在本實施方式中,使用圖31以及圖32A和圖32B作為使用OS電晶體及電容器的根據本發明的一個實施方式的記憶體裝置的一個例子,說明DOSRAM。DOSRAM(註冊商標)是“Dynamic Oxide Semiconductor RAM(動態氧化物半導體隨機存取記憶體)”的簡稱,並是指包括1T(電晶體)1C(電容器)型記憶單元的RAM。與NOSRAM同樣地,DOSRAM也使用OS記憶體。 In this embodiment, DOSRAM will be described using FIG. 31 and FIGS. 32A and 32B as an example of a memory device according to an embodiment of the present invention using an OS transistor and a capacitor. DOSRAM (registered trademark) is an abbreviation of "Dynamic Oxide Semiconductor RAM" and refers to a RAM including a 1T (transistor) 1C (capacitor) type memory cell. Like NOSRAM, DOSRAM also uses OS memory.

〈〈DOSRAM1400〉〉 〈〈 DOSRAM1400 〉〉

圖31示出DOSRAM的結構實例。如圖31所示,DOSRAM1400包括控制器1405、行電路1410、列電路1415、記憶單元以及感測放大器 陣列1420(以下稱為“MC-SA陣列1420”)。 FIG. 31 shows a configuration example of the DOSRAM. As shown in FIG. 31, the DOSRAM 1400 includes a controller 1405, a row circuit 1410, a column circuit 1415, a memory unit, and a sense amplifier array 1420 (hereinafter referred to as "MC-SA array 1420").

行電路1410包括解碼器1411、字線驅動器電路1412、列選擇器1413、感測放大器驅動電路1414。列電路1415包括全局感測放大器陣列1416、輸入輸出電路1417。全局感測放大器陣列1416包括多個全局感測放大器1447。MC-SA陣列1420包括記憶單元陣列1422、感測放大器陣列1423、全局位元線GBLL、GBLR。 The row circuit 1410 includes a decoder 1411, a word line driver circuit 1412, a column selector 1413, and a sense amplifier drive circuit 1414. The column circuit 1415 includes a global sense amplifier array 1416 and an input-output circuit 1417. The global sense amplifier array 1416 includes a plurality of global sense amplifiers 1447. The MC-SA array 1420 includes a memory cell array 1422, a sense amplifier array 1423, global bit lines GBLL, and GBLR.

(MC-SA陣列1420) (MC-SA array 1420)

MC-SA陣列1420具有記憶單元陣列1422層疊於感測放大器陣列1423上的疊層結構。全局位元線GBLL、GBLR層疊於記憶單元陣列1422上。在DOSRAM1400中,作為位元線結構採用局部位元線和全局位元線被分層化的分層位元線結構。 The MC-SA array 1420 has a stacked structure in which a memory cell array 1422 is stacked on a sense amplifier array 1423. The global bit lines GBLL and GBLR are stacked on the memory cell array 1422. In DOSRAM 1400, a layered bit line structure in which a local bit line and a global bit line are layered is adopted as a bit line structure.

記憶單元陣列1422包括N個(N為2以上的整數)局部記憶單元陣列1425〈0〉-1425〈N-1〉。圖32A示出局部記憶單元陣列1425的結構實例。局部記憶單元陣列1425包括多個記憶單元1445、多個字線WL、多個位元線BLL、BLR。在圖32A的例子中,局部記憶單元陣列1425的結構為開位元線型,但是也可以為折疊位元線型。 The memory cell array 1422 includes N (N is an integer of 2 or more) local memory cell arrays 1425 <0> -1425 <N-1>. FIG. 32A illustrates a configuration example of the local memory cell array 1425. The local memory cell array 1425 includes a plurality of memory cells 1445, a plurality of word lines WL, and a plurality of bit lines BLL and BLR. In the example of FIG. 32A, the structure of the local memory cell array 1425 is an open bit line type, but it may be a folded bit line type.

圖32B示出記憶單元1445的電路結構實例。記憶單元1445包括電晶體MW1、電容器CS1、端子B1、B2。電晶體MW1具有控制電容器CS1的充放電的功能。電晶體MW1的閘極電連接於字線,第一端子電連接於位元線,第二端子電連接於電容器CS1的第一端子。電容器CS1的第二端子電連接於端子B2。端子B2被輸入定電壓(例如,低電源電壓)。 FIG. 32B shows a circuit configuration example of the memory unit 1445. The memory unit 1445 includes a transistor MW1, a capacitor CS1, and terminals B1 and B2. The transistor MW1 has a function of controlling the charge and discharge of the capacitor CS1. The gate of the transistor MW1 is electrically connected to the word line, the first terminal is electrically connected to the bit line, and the second terminal is electrically connected to the first terminal of the capacitor CS1. The second terminal of the capacitor CS1 is electrically connected to the terminal B2. The terminal B2 is input with a constant voltage (for example, a low power supply voltage).

當將上述實施方式所示的半導體裝置用於記憶單元1445時,作為電晶體MW1可以使用電晶體200,作為電容器CS1可以使用電容器 100。由此,可以縮小由一個電晶體和一個電容器組成的各組的俯視時的佔有面積,因此可以實現根據本實施方式的記憶體裝置的高積體化。因此,可以增加本實施方式的記憶體裝置的每單位面積的記憶容量。 When the semiconductor device described in the above embodiment is used for the memory unit 1445, the transistor 200 can be used as the transistor MW1, and the capacitor 100 can be used as the capacitor CS1. As a result, the occupation area in the plan view of each group consisting of one transistor and one capacitor can be reduced, so that the memory device according to the present embodiment can be increased in volume. Therefore, the memory capacity per unit area of the memory device of the present embodiment can be increased.

電晶體MW1包括背閘極,背閘極電連接於端子B1。因此,可以根據端子B1的電壓改變電晶體MW1的臨界電壓。例如,端子B1的電壓可以是固定電壓(例如,負的定電壓),也可以根據DOSRAM1400的工作,改變端子B1的電壓。 Transistor MW1 includes a back gate, which is electrically connected to terminal B1. Therefore, the threshold voltage of the transistor MW1 can be changed according to the voltage of the terminal B1. For example, the voltage at the terminal B1 may be a fixed voltage (for example, a negative constant voltage), or the voltage at the terminal B1 may be changed according to the operation of the DOSRAM 1400.

也可以將電晶體MW1的背閘極電連接於電晶體MW1的閘極、第一端子或者第二端子。或者,也可以在電晶體MW1中不設置背閘極。 The back gate of the transistor MW1 may be electrically connected to the gate, the first terminal, or the second terminal of the transistor MW1. Alternatively, the back gate may not be provided in the transistor MW1.

感測放大器陣列1423包括N個局部感測放大器陣列1426〈0〉-1426〈N-1〉。局部感測放大器陣列1426包括一個開關陣列1444和多個感測放大器1446。感測放大器1446電連接有位元線對。感測放大器1446具有對位元線對進行預充電的功能、放大位元線對的電壓差的功能、保持該電壓差的功能。開關陣列1444具有選擇位元線對,並使選擇的位元線對和全局位元線對之間成為導通狀態的功能。 The sense amplifier array 1423 includes N local sense amplifier arrays 1426 <0> -1426 <N-1>. The local sense amplifier array 1426 includes a switch array 1444 and a plurality of sense amplifiers 1446. The sense amplifier 1446 is electrically connected with a bit line pair. The sense amplifier 1446 has a function of precharging the bit line pair, a function of amplifying a voltage difference of the bit line pair, and a function of maintaining the voltage difference. The switch array 1444 has a function of selecting a bit line pair, and turning a selected bit line pair into a conducting state with a global bit line pair.

在此,位元線對是指被感測放大器同時比較的兩個位元線。全局位元線對是指被全局感測放大器同時比較的兩個全局位元線。可以將位元線對稱為一對位元線,將全局位元線對稱為一對全局位元線。在此,位元線BLL和位元線BLR構成1組位元線對。全局位元線GBLL和全局位元線GBLR構成1組全局位元線對。以下也表示為位元線對(BLL、BLR)、全局位元線對(GBLL、GBLR)。 Here, the bit line pair refers to two bit lines that are compared by the sense amplifier at the same time. A global bit line pair refers to two global bit lines that are simultaneously compared by a global sense amplifier. The bit line pairs can be referred to as a pair of bit lines, and the global bit line pairs can be referred to as a pair of global bit lines. Here, the bit line BLL and the bit line BLR constitute a group of bit line pairs. The global bit line GBLL and the global bit line GBLR form a group of global bit line pairs. The following are also referred to as bit line pairs (BLL, BLR) and global bit line pairs (GBLL, GBLR).

(控制器1405) (Controller 1405)

控制器1405具有控制DOSRAM1400的全部工作的功能。控制器 1405具有:對從外部輸入的指令信號進行邏輯運算並決定工作模式的功能;生成行電路1410和列電路1415的控制信號以使決定的工作模式被執行的功能;保持從外部輸入的位址信號的功能;以及生成內部位址信號的功能。 The controller 1405 has a function of controlling the entire operation of the DOSRAM 1400. The controller 1405 has a function of performing a logic operation on an instruction signal input from the outside and determining an operation mode; a function of generating control signals of the row circuit 1410 and the column circuit 1415 to cause the determined operation mode to be executed; Address signal function; and the function of generating an internal address signal.

(行電路1410) (Line circuit 1410)

行電路1410具有驅動MC-SA陣列1420的功能。解碼器1411具有對位址信號進行解碼的功能。字線驅動器電路1412生成選擇訪問對象行的字線WL的選擇信號。 The row circuit 1410 has a function of driving the MC-SA array 1420. The decoder 1411 has a function of decoding an address signal. The word line driver circuit 1412 generates a selection signal that selects the word line WL of an access target row.

列選擇器1413、感測放大器驅動電路1414是用於驅動感測放大器陣列1423的電路。列選擇器1413具有生成選擇訪問對象列的位元線的選擇信號的功能。藉由列選擇器1413的選擇信號控制各局部感測放大器陣列1426的開關陣列1444。藉由感測放大器驅動電路1414的控制信號,多個局部感測放大器陣列1426被獨立驅動。 The column selector 1413 and the sense amplifier driving circuit 1414 are circuits for driving the sense amplifier array 1423. The column selector 1413 has a function of generating a selection signal for selecting a bit line of an access target column. The switch array 1444 of each local sense amplifier array 1426 is controlled by the selection signal of the column selector 1413. By the control signal of the sense amplifier driving circuit 1414, the plurality of local sense amplifier arrays 1426 are independently driven.

(列電路1415) (Column circuit 1415)

列電路1415具有控制資料信號WDA[31:0]的輸入的功能以及控制資料信號RDA[31:0]的輸出的功能。資料信號WDA[31:0]是寫入資料信號,資料信號RDA[31:0]是讀出資料信號。 The column circuit 1415 has a function of controlling the input of the data signal WDA [31: 0] and a function of controlling the output of the data signal RDA [31: 0]. The data signal WDA [31: 0] is a write data signal, and the data signal RDA [31: 0] is a read data signal.

全局感測放大器1447電連接於全局位元線對(GBLL、GBLR)。全局感測放大器1447具有放大全局位元線對(GBLL、GBLR)之間的電壓差的功能以及保持該電壓差的功能。對全局位元線對(GBLL、GBLR)的資料的寫入以及讀出由輸入輸出電路1417執行。 The global sense amplifier 1447 is electrically connected to the global bit line pair (GBLL, GBLR). The global sense amplifier 1447 has a function of amplifying a voltage difference between global bit line pairs (GBLL, GBLR) and a function of maintaining the voltage difference. Writing and reading of data to and from the global bit line pairs (GBLL, GBLR) are performed by the input-output circuit 1417.

對DOSRAM1400的寫入工作的概要進行說明。藉由輸入輸出電路1417,資料被寫入全局位元線對。全局位元線對的資料由全局感測放大器陣列1416保持。藉由位址信號所指定的局部感測放大器陣列1426 的開關陣列1444,全局位元線對的資料被寫入對象列的位元線對。局部感測放大器陣列1426放大並保持被寫入的資料。在被指定的局部記憶單元陣列1425中,由行電路1410選擇對象行的字線WL,對選擇行的記憶單元1445寫入局部感測放大器陣列1426的保持資料。 The outline of the write operation of DOSRAM1400 will be described. Through the input-output circuit 1417, data is written into the global bit line pair. The data of the global bit line pair is held by the global sense amplifier array 1416. With the switch array 1444 of the local sense amplifier array 1426 specified by the address signal, the data of the global bit line pair is written into the bit line pair of the target column. The local sense amplifier array 1426 amplifies and holds the written data. In the designated local memory cell array 1425, the word circuit WL of the target row is selected by the row circuit 1410, and the holding data of the local sense amplifier array 1426 is written into the memory cell 1445 of the selected row.

對DOSRAM1400的讀出工作的概要進行說明。由位址信號指定局部記憶單元陣列1425的1行。在被指定的局部記憶單元陣列1425中,對象行的字線WL成為選擇狀態,記憶單元1445的資料被寫入位元線。由局部感測放大器陣列1426將各列的位元線對的電壓差作為資料檢測出並保持。由開關陣列1444將局部感測放大器陣列1426的保持資料中位址信號所指定的列的資料被寫入全局位元線對。全局感測放大器陣列1416檢測出並保持全局位元線對的資料。將全局感測放大器陣列1416的保持資料輸出到輸入輸出電路1417。藉由上述步驟完成讀出工作。 The outline of the read operation of the DOSRAM 1400 will be described. One line of the local memory cell array 1425 is designated by the address signal. In the designated local memory cell array 1425, the word line WL of the target row is selected, and the data of the memory cell 1445 is written into the bit line. The voltage difference between the bit line pairs of each column is detected and held by the local sense amplifier array 1426 as data. The switch array 1444 writes the data of the column designated by the address signal in the holding data of the local sense amplifier array 1426 into the global bit line pair. The global sense amplifier array 1416 detects and maintains data of global bit line pairs. The holding data of the global sense amplifier array 1416 is output to the input-output circuit 1417. Through the above steps, the reading operation is completed.

由於是藉由電容器CS1的充放電來改寫資料,所以理論上對DOSRAM1400的改寫次數沒有限制,而且可以以低能量進行資料的寫入以及讀出。另外,記憶單元1445的電路結構簡單,容易實現大容量化。 Since the data is rewritten by charging and discharging of the capacitor CS1, there is theoretically no limit to the number of rewriting of DOSRAM 1400, and data can be written and read with low energy. In addition, the circuit structure of the memory unit 1445 is simple, and it is easy to increase the capacity.

電晶體MW1是OS電晶體。因為OS電晶體的關態電流極小,所以可以抑制電容器CS1的電荷洩漏。因此,DOSRAM1400的保持時間比DRAM長很多。由此可以減少更新頻率,而可以降低更新工作所需要的功耗。因此,DOSRAM1400適合於以高頻率改寫大容量資料的記憶體裝置,例如適合於用於影像處理的圖框記憶體。 Transistor MW1 is an OS transistor. Since the off-state current of the OS transistor is extremely small, the charge leakage of the capacitor CS1 can be suppressed. Therefore, the holding time of DOSRAM1400 is much longer than that of DRAM. As a result, the update frequency can be reduced, and the power consumption required for update work can be reduced. Therefore, the DOSRAM 1400 is suitable for a memory device that rewrites large-capacity data at a high frequency, such as a frame memory for image processing.

由於MC-SA陣列1420是疊層結構,所以可以將位元線長度減短為與局部感測放大器陣列1426的長度相同程度。藉由減短位元線,位元線電容減小,由此可以降低記憶單元1445的儲存電容。另外,藉由 在局部感測放大器陣列1426設置開關陣列1444,可以減少長位元線的個數。綜上理由可以降低DOSRAM1400的訪問時驅動的負載,而可以降低功耗。 Since the MC-SA array 1420 is a stacked structure, the bit line length can be shortened to the same extent as the length of the local sense amplifier array 1426. By shortening the bit line, the bit line capacitance is reduced, thereby reducing the storage capacitance of the memory unit 1445. In addition, by providing the switch array 1444 in the local sense amplifier array 1426, the number of long bit lines can be reduced. In summary, the load driven by the DOSRAM 1400 can be reduced, and the power consumption can be reduced.

本實施方式所示的結構可以與其他實施方式所示的結構適當地組合而實施。 The structure described in this embodiment can be implemented in appropriate combination with the structures described in other embodiments.

實施方式6 Embodiment 6

在本實施方式中,使用圖33A至圖36B作為使用OS電晶體及電容器的根據本發明的一個實施方式的半導體裝置的一個例子,對FPGA(現場可程式邏輯閘陣列)進行說明。在本實施方式的FPGA中,將OS記憶體用於組態記憶體及暫存器。在此,將上述FPGA稱為“OS-FPGA”。 In the present embodiment, an FPGA (field programmable logic gate array) will be described using FIG. 33A to FIG. 36B as an example of a semiconductor device according to an embodiment of the present invention using an OS transistor and a capacitor. In the FPGA of this embodiment, the OS memory is used as a configuration memory and a register. Here, the above-mentioned FPGA is called "OS-FPGA".

〈〈OS-FPGA〉〉 〈〈 OS-FPGA 〉〉

圖33A示出OS-FPGA的結構實例。圖33A所示的OS-FPGA3110能夠實現進行利用多上下文結構的上下文切換以及根據每個PLE的細粒電源閘控的NOFF(常關閉)運算。OS-FPGA3110包括控制器3111、字線驅動器3112、資料驅動器3113和可程式區域3115。 FIG. 33A shows a configuration example of the OS-FPGA. The OS-FPGA 3110 shown in FIG. 33A can implement a context switch using a multi-context structure and a NOFF (normally closed) operation that is gated by the fine-grained power supply of each PLE. The OS-FPGA 3110 includes a controller 3111, a word line driver 3112, a data driver 3113, and a programmable area 3115.

可程式區域3115包括兩個輸入輸出塊(IOB)3117和核心3119。IOB3117包括多個可程式輸入輸出電路。核心3119包括多個邏輯陣列塊(LAB)3120和多個開關陣列塊(SAB)3130。LAB3120包括多個PLE3121。圖33B示出使用五個PLE3121構成LAB3120的例子。如圖33C所示,SAB3130包括排列為陣列狀的多個開關塊(SB)3131。LAB3120藉由其輸入端子及SAB3130與四個方向(上下左右)上的LAB3120連接。 The programmable area 3115 includes two input-output blocks (IOBs) 3117 and a core 3119. IOB3117 includes multiple programmable input and output circuits. The core 3119 includes a plurality of logic array blocks (LAB) 3120 and a plurality of switch array blocks (SAB) 3130. LAB3120 includes multiple PLE3121. FIG. 33B shows an example in which the LAB3120 is configured using five PLE3121s. As shown in FIG. 33C, the SAB 3130 includes a plurality of switch blocks (SB) 3131 arranged in an array. The LAB3120 is connected to the LAB3120 in four directions (up, down, left and right) through its input terminals and SAB3130.

參照圖34A至圖34C對SB3131進行說明。圖34A所示的SB3131被輸入data、datab、信號context[1:0]、信號word[1:0]。data、datab是組態資料,data和datab的邏輯處於互補關係。OS-FPGA3110的上下文數為2,信號context[1:0]是上下文選擇信號。信號word[1:0]是字線選擇信號,被輸入信號word[1:0]的佈線都是字線。 The SB3131 will be described with reference to FIGS. 34A to 34C. The SB3131 shown in FIG. 34A is input with data, datab, signal context [1: 0], and signal word [1: 0]. data and datab are configuration data, and the logic of data and datab is in a complementary relationship. The context number of OS-FPGA3110 is 2, and the signal context [1: 0] is the context selection signal. The signal word [1: 0] is a word line selection signal, and the wiring of the input signal word [1: 0] is a word line.

SB3131包括PRS(可程式選路開關)3133[0]和3133[1]。PRS3133[0]和3133[1]包括能夠儲存互補資料的組態記憶體(CM)。注意,在不區分PRS3133[0]和PRS3133[1]的情況下,將它們的每一個稱為PRS3133。其他組件也同樣。 The SB3131 includes PRS (programmable routing switches) 3133 [0] and 3133 [1]. PRS3133 [0] and 3133 [1] include configuration memory (CM) capable of storing complementary data. Note that in the case where PRS3133 [0] and PRS3133 [1] are not distinguished, each of them is referred to as PRS3133. Other components also.

圖34B示出PRS3133[0]的電路結構實例。PRS3133[0]和PRS3133[1]具有相同的電路結構。在PRS3133[0]與PRS3133[1]之間,被輸入的上下文選擇信號和字線選擇信號不同。信號context[0]、word[0]輸入到PRS3133[0],信號context[1]、word[1]輸入到PRS3133[1]。例如,在SB3131中,當信號context[0]成為“H”時,PRS3133[0]成為活動狀態。 FIG. 34B shows a circuit configuration example of PRS3133 [0]. PRS3133 [0] and PRS3133 [1] have the same circuit structure. Between PRS3133 [0] and PRS3133 [1], the context selection signal and the word line selection signal that are input are different. The signals context [0] and word [0] are input to PRS3133 [0], and the signals context [1] and word [1] are input to PRS3133 [1]. For example, in SB3131, when the signal context [0] becomes "H", PRS3133 [0] becomes active.

PRS3133[0]包括CM3135、Si電晶體M31。Si電晶體M31是由CM3135控制的傳輸電晶體(pass transistor)。CM3135包括記憶體電路3137和3137B。記憶體電路3137和3137B具有相同的電路結構。記憶體電路3137包括電容器C31、OS電晶體MO31和MO32。記憶體電路3137B包括電容器CB31、OS電晶體MOB31和MOB32。 PRS3133 [0] includes CM3135 and Si transistor M31. The Si transistor M31 is a pass transistor controlled by CM3135. The CM3135 includes memory circuits 3137 and 3137B. The memory circuits 3137 and 3137B have the same circuit structure. The memory circuit 3137 includes a capacitor C31, an OS transistor MO31, and MO32. The memory circuit 3137B includes a capacitor CB31, an OS transistor MOB31, and a MOB32.

當將上述實施方式所示的半導體裝置用於SAB3130時,作為OS電晶體M031及OS電晶體MOB31可以使用電晶體200,作為電容器C31及電容器CB31可以使用電容器100。由此,可以縮小由一個電晶體和一個電容器組成的各組的俯視時的佔有面積,因此可以實現根據本實施方式的半導體裝置的高積體化。 When the semiconductor device described in the above embodiment is used for the SAB3130, the transistor 200 may be used as the OS transistor M031 and the OS transistor MOB31, and the capacitor 100 may be used as the capacitor C31 and the capacitor CB31. As a result, the occupation area in the plan view of each group consisting of one transistor and one capacitor can be reduced, so that the semiconductor device according to the present embodiment can be highly integrated.

OS電晶體MO31、MO32、MOB31和MOB32包括背閘極,這些背閘極與分別供應固定電壓的電源線電連接。 The OS transistors MO31, MO32, MOB31, and MOB32 include back gates, which are electrically connected to power lines respectively supplying a fixed voltage.

Si電晶體M31的閘極相當於節點N31,OS電晶體MO32的閘極相當於節點N32,OS電晶體MOB32的閘極相當於節點NB32。節點N32和NB32是CM3135的電荷保持節點。OS電晶體MO32控制節點N31與信號context[0]用信號線之間的導通狀態。OS電晶體MOB32控制節點N31與低電位電源線VSS之間的導通狀態。 The gate of the Si transistor M31 is equivalent to the node N31, the gate of the OS transistor MO32 is equivalent to the node N32, and the gate of the OS transistor MOB32 is equivalent to the node NB32. Nodes N32 and NB32 are charge retention nodes of CM3135. The OS transistor MO32 controls the conduction state between the node N31 and the signal context [0] signal line. The OS transistor MOB32 controls the conduction state between the node N31 and the low-potential power supply line VSS.

記憶體電路3137和3137B所保持的資料的邏輯處於互補關係。因此,OS電晶體MO32和MOB32中的任一個成為導通狀態。 The logic of the data held by the memory circuits 3137 and 3137B is in a complementary relationship. Therefore, any one of the OS transistors MO32 and MOB32 is turned on.

參照圖34C對PRS3133[0]的工作實例進行說明。PRS3133[0]已寫入有組態資料,PRS3133[0]的節點N32為“H”,節點NB32為“L”。 A working example of PRS3133 [0] will be described with reference to FIG. 34C. PRS3133 [0] has been written with configuration data. Node N32 of PRS3133 [0] is "H" and node NB32 is "L".

在信號context[0]為“L”的期間,PRS3133[0]處於非活動狀態。在該期間,即使PRS3133[0]的輸入端子轉移為“H”,Si電晶體M31的閘極也維持“L”,PRS3133[0]的輸出端子也維持“L”。 While the signal context [0] is "L", PRS3133 [0] is inactive. During this period, even if the input terminal of PRS3133 [0] transitions to "H", the gate of the Si transistor M31 maintains "L" and the output terminal of PRS3133 [0] also maintains "L".

在信號context[0]為“H”的期間,PRS3133[0]處於活動狀態。當信號context[0]轉移為“H”時,根據CM3135所儲存的組態資料,Si電晶體M31的閘極轉移為“H”。 While the signal context [0] is "H", PRS3133 [0] is active. When the signal context [0] is transferred to "H", the gate of the Si transistor M31 is transferred to "H" according to the configuration data stored in the CM3135.

在PRS3133[0]處於活動狀態的期間,當輸入端子的電位轉移為“H”時,由於記憶體電路3137的OS電晶體MO32是源極隨耦器,所以藉由升壓Si電晶體M31的閘極電壓上升。其結果是,記憶體電路3137的OS電晶體MO32丟失驅動能力,Si電晶體M31的閘極成為浮動狀態。 While PRS3133 [0] is in the active state, when the potential of the input terminal shifts to "H", since the OS transistor MO32 of the memory circuit 3137 is a source follower, the The gate voltage rises. As a result, the OS transistor MO32 of the memory circuit 3137 loses the driving ability, and the gate of the Si transistor M31 becomes a floating state.

在具有多上下文的功能(multi context function)的PRS3133中,CM3135還被用作多工器。 In the PRS3133 with a multi context function, the CM3135 is also used as a multiplexer.

圖35示出PLE3121的結構實例。PLE3121包括LUT(查找表)塊3123、暫存器塊3124、選擇器3125和CM3126。LUT塊3123根據輸入inA至inD選擇其內部的資料,並將其輸出。選擇器3125根據CM3126所儲存的組態資料選擇LUT塊3123的輸出或暫存器塊3124的輸出。 FIG. 35 shows a configuration example of the PLE3121. PLE3121 includes a LUT (lookup table) block 3123, a register block 3124, a selector 3125, and a CM3126. The LUT block 3123 selects its internal data according to the inputs inA to inD and outputs it. The selector 3125 selects the output of the LUT block 3123 or the output of the register block 3124 according to the configuration data stored in the CM3126.

PLE3121藉由功率開關3127與電壓VDD用電源線電連接。功率開關3127的開啟還是關閉根據CM3128所儲存的組態資料而決定。藉由根據各PLE3121設置功率開關3127,可以進行細粒電源閘控。由於細粒電源閘控功能,可以對在切換上下文之後不使用的PLE3121進行電源閘控,所以可以有效地降低待機功率。 The PLE3121 is electrically connected to a power line for voltage VDD through a power switch 3127. Whether the power switch 3127 is turned on or off depends on the configuration data stored in the CM3128. By setting the power switch 3127 according to each PLE3121, fine-grain power supply gating can be performed. Due to the fine-grained power gating function, the PLE3121 that is not used after context switching can be power-gated, so it can effectively reduce standby power.

為了實現NOFF運算,暫存器塊3124使用非揮發性暫存器構成。PLE3121中的非揮發性暫存器是包括OS記憶體的正反器(以下,稱為“OS-FF”)。 In order to realize the NOFF operation, the register block 3124 is constituted by a non-volatile register. The non-volatile register in PLE3121 is a flip-flop including an OS memory (hereinafter referred to as "OS-FF").

暫存器塊3124包括OS-FF3140[1]和3140[2]。信號user_res、load、store輸入到OS-FF3140[1]和3140[2]。時脈信號CLK1輸入到OS-FF3140[1],時脈信號CLK2輸入到OS-FF3140[2]。圖36A示出OS-FF3140的結構實例。 The register block 3124 includes OS-FF3140 [1] and 3140 [2]. The signals user_res, load, and store are input to OS-FF3140 [1] and 3140 [2]. The clock signal CLK1 is input to the OS-FF3140 [1], and the clock signal CLK2 is input to the OS-FF3140 [2]. FIG. 36A shows a configuration example of the OS-FF3140.

OS-FF3140包括FF3141和影子暫存器3142。FF3141包括節點CK、R、D、Q和QB。節點CK被輸入時脈信號。節點R被輸入信號user_res。信號user_res是重設信號。節點D是資料輸入節點,節點Q是資料輸出節點。節點Q和節點QB的邏輯處於互補關係。 OS-FF3140 includes FF3141 and shadow register 3142. FF3141 includes nodes CK, R, D, Q, and QB. The node CK is input with a clock signal. The node R is input with a signal user_res. The signal user_res is a reset signal. Node D is a data input node, and node Q is a data output node. The logic of node Q and node QB are in a complementary relationship.

影子暫存器3142被用作FF3141的備份電路。影子暫存器3142根 據信號store對節點Q和QB的資料進行備份,並且根據信號load將所備份的資料回寫到節點Q、QB。 The shadow register 3142 is used as a backup circuit of the FF3141. The shadow register 3142 backs up the data of the nodes Q and QB according to the signal store, and writes back the backed up data to the nodes Q and QB according to the signal load.

影子暫存器3142包括反相器電路3188和3189、Si電晶體M37和MB37以及記憶體電路3143和3143B。記憶體電路3143和3143B具有與PRS3133的記憶體電路3137相同的電路結構。記憶體電路3143包括電容器C36、OS電晶體MO35和OS電晶體MO36。記憶體電路3143B包括電容器CB36、OS電晶體MOB35和OS電晶體MOB36。節點N36和NB36分別相當於OS電晶體MO36和OS電晶體MOB36的閘極,並它們都是電荷保持節點。節點N37和NB37相當於Si電晶體M37和Si電晶體MB37的閘極。 The shadow register 3142 includes inverter circuits 3188 and 3189, Si transistors M37 and MB37, and memory circuits 3143 and 3143B. The memory circuits 3143 and 3143B have the same circuit structure as the memory circuit 3137 of the PRS 3133. The memory circuit 3143 includes a capacitor C36, an OS transistor MO35, and an OS transistor MO36. The memory circuit 3143B includes a capacitor CB36, an OS transistor MOB35, and an OS transistor MOB36. The nodes N36 and NB36 correspond to the gates of the OS transistor MO36 and the OS transistor MOB36, respectively, and they are both charge holding nodes. The nodes N37 and NB37 correspond to the gates of the Si transistor M37 and the Si transistor MB37.

當將上述實施方式所示的半導體裝置用於LAB3120時,作為OS電晶體M035及OS電晶體MOB35可以使用電晶體200,作為電容器C36及電容器CB36可以使用電容器100。由此,可以縮小由一個電晶體和一個電容器組成的各組的俯視時的佔有面積,因此可以實現根據本實施方式的半導體裝置的高積體化。 When the semiconductor device described in the above embodiment is used for the LAB 3120, the transistor 200 can be used as the OS transistor M035 and the OS transistor MOB35, and the capacitor 100 can be used as the capacitor C36 and the capacitor CB36. As a result, the occupation area in the plan view of each group consisting of one transistor and one capacitor can be reduced, so that the semiconductor device according to the present embodiment can be highly integrated.

OS電晶體MO35、MO36、MOB35和MOB36包括背閘極,這些背閘極與分別供應固定電壓的電源線電連接。 The OS transistors MO35, MO36, MOB35, and MOB36 include back gates, which are electrically connected to power lines respectively supplying a fixed voltage.

參照圖36B對OS-FF3140的工作方法的例子進行說明。 An example of the operating method of the OS-FF3140 will be described with reference to FIG. 36B.

(備份) (Backup)

當“H”的信號store輸入到OS-FF3140時,影子暫存器3142對FF3141的資料進行備份。藉由被輸入節點Q的資料,節點N36成為“L”,藉由被寫入節點QB的資料,節點NB36成為“H”。然後,進行電源閘控,使功率開關3127成為關閉狀態。雖然FF3141的節點Q和QB的資料被消失,但是即使在停止電源供應的狀態下,影子暫存器 3142也保持所備份的資料。 When the "H" signal store is input to OS-FF3140, the shadow register 3142 backs up the data of FF3141. The node N36 becomes "L" by the data input to the node Q, and the node NB36 becomes "H" by the data written in the node QB. Then, the power is gated, and the power switch 3127 is turned off. Although the data of nodes Q and QB of FF3141 are lost, the shadow register 3142 retains the backed up data even when the power supply is stopped.

(恢復) (Recovery)

使功率開關3127成為導通狀態,對PLE3121供應電源。然後,當“H”的信號load輸入到OS-FF3140時,影子暫存器3142將所備份的資料回寫到FF3141。因為節點N36為“L”,所以節點N37維持“L”,而因為節點NB36為“H”,所以節點NB37為“H”。因此,節點Q成為“H”,節點QB成為“L”。換言之,OS-FF3140恢復到備份工作時的狀態。 The power switch 3127 is turned on, and power is supplied to the PLE3121. Then, when the signal load of "H" is input to the OS-FF3140, the shadow register 3142 writes back the backed-up data to the FF3141. Because node N36 is "L", node N37 remains "L", and because node NB36 is "H", node NB37 is "H". Therefore, the node Q becomes "H" and the node QB becomes "L". In other words, OS-FF3140 is restored to the state at the time of backup work.

藉由組合細粒電源閘控與OS-FF3140的備份/恢復工作,可以有效地減少OS-FPGA3110的功耗。 By combining fine-grained power gating and OS-FF3140's backup / recovery, the power consumption of OS-FPGA3110 can be effectively reduced.

作為可能在記憶體電路中發生的誤差,可以舉出因輻射入射而產生的軟錯誤。軟錯誤是如下現象:從構成記憶體或封裝的材料等釋放的α線或從宇宙入射到大氣的一次宇宙射線與存在於大氣中的原子的原子核產生核反應而產生的二次宇宙射線中性子等照射到電晶體以生成電子電洞對,由此產生保持在記憶體中的資料反轉等的故障。使用OS電晶體的OS記憶體的軟錯誤耐性高。因此,藉由安裝OS記憶體,可以提供可靠性高的OS-FPGA3110。 Examples of errors that may occur in a memory circuit include soft errors due to radiation incident. Soft errors are phenomena such as the irradiation of alpha rays released from materials constituting memory or packaging, or the irradiation of secondary cosmic ray neutrons generated by the primary cosmic rays entering the atmosphere from the universe and the nucleus of atoms in the atmosphere. To the transistor to generate an electron hole pair, thereby causing a failure such as data reversal held in the memory. The OS memory using OS transistors has high soft error tolerance. Therefore, by installing the OS memory, it is possible to provide a highly reliable OS-FPGA3110.

本實施方式所示的結構可以與其他實施方式所示的結構適當地組合而實施。 The structure described in this embodiment can be implemented in appropriate combination with the structures described in other embodiments.

實施方式7 Embodiment 7

在本實施方式中,參照圖37對採用上述實施方式所示的半導體裝置的AI系統進行說明。 In this embodiment, an AI system using the semiconductor device described in the above embodiment will be described with reference to FIG. 37.

圖37是示出AI系統4041的結構實例的方塊圖。AI系統4041包括運算部4010、控制部4020以及輸入輸出部4030。 FIG. 37 is a block diagram showing a configuration example of the AI system 4041. The AI system 4041 includes a computing unit 4010, a control unit 4020, and an input / output unit 4030.

運算部4010包括類比運算電路4011、DOSRAM4012、NOSRAM4013及FPGA4014。作為DOSRAM4012、NOSRAM4013及FPGA4014,可以使用上述實施方式所示的DOSRAM1400、NOSRAM1600及OS-FPGA3110。 The arithmetic unit 4010 includes an analog arithmetic circuit 4011, DOSRAM 4012, NOSRAM 4013, and FPGA 4014. As the DOSRAM 4012, NOSRAM 4013, and FPGA 4014, DOSRAM 1400, NOSRAM 1600, and OS-FPGA 3110 described in the above embodiment can be used.

控制部4020包括CPU(Central Processing Unit:中央處理器)4021、GPU(Graphics Processing Unit:圖形處理器)4022、PLL(Phase Locked Loop:鎖相環)4023、SRAM(Static Random Access Memory:靜態隨機存取記憶體)4024、PROM(Programmable Read Only Memory:可程式唯讀記憶體)4025、記憶體控制器4026、電源電路4027以及PMU(Power Management Unit:電源管理單元)4028。 The control unit 4020 includes a CPU (Central Processing Unit) 4021, a GPU (Graphics Processing Unit) 4022, a PLL (Phase Locked Loop) 4023, and a Static Random Access Memory (SRAM) (Access memory) 4024, PROM (Programmable Read Only Memory) 4025, memory controller 4026, power circuit 4027, and PMU (Power Management Unit) 4028.

輸入輸出部4030包括外部記憶體控制電路4031、音訊編解碼器4032、視頻編解碼器4033、通用輸入輸出模組4034及通訊模組4035。 The input / output section 4030 includes an external memory control circuit 4031, an audio codec 4032, a video codec 4033, a universal input / output module 4034, and a communication module 4035.

運算部4010可以進行神經網路學習或神經網路推論。 The computing unit 4010 can perform neural network learning or neural network inference.

類比運算電路4011包括A/D(類比/數位)轉換電路、D/A(數位/類比)轉換電路及積和運算電路。 The analog operation circuit 4011 includes an A / D (analog / digital) conversion circuit, a D / A (digital / analog) conversion circuit, and a product-sum operation circuit.

類比運算電路4011較佳為使用OS電晶體形成。使用OS電晶體的類比運算電路4011具有類比記憶體並能夠以低功耗進行學習或推論時所需的積和演算。 The analog operation circuit 4011 is preferably formed using an OS transistor. The analog operation circuit 4011 using an OS transistor has an analog memory and can perform products and calculations required for learning or inference with low power consumption.

DOSRAM4012是使用OS電晶體形成的DRAM,DOSRAM4012是暫時儲存從CPU4021發送的數位資料的記憶體。DOSRAM4012包括具 有OS電晶體的記憶單元以及具有Si電晶體的讀出電路部。由於上述記憶單元和讀出電路部可以設置在被層疊的不同層上,所以可以縮小DOSRAM4012的整體電路面積。 DOSRAM 4012 is a DRAM formed using an OS transistor, and DOSRAM 4012 is a memory that temporarily stores digital data sent from the CPU 4021. DOSRAM4012 includes a memory cell with an OS transistor and a readout circuit section with a Si transistor. Since the above-mentioned memory unit and readout circuit section can be provided on different layers to be stacked, the overall circuit area of the DOSRAM 4012 can be reduced.

在利用神經網路的計算中,有時輸入資料超過1000。當將上述輸入資料儲存至SRAM時,由於SRAM的電路面積有限記憶容量較小而不得不一點點地儲存上述輸入資料。DOSRAM4012即便在有限的電路面積中也可以將記憶單元高集成地配置,與SRAM相比記憶容量更大。因此,DOSRAM4012可以高效地儲存上述輸入資料。 In calculations using neural networks, the input data sometimes exceeds 1,000. When the input data is stored in the SRAM, the input area has to be stored little by little due to the limited circuit area of the SRAM and the small memory capacity. DOSRAM4012 allows highly integrated memory cells to be configured even in a limited circuit area, and has a larger memory capacity than SRAM. Therefore, DOSRAM4012 can efficiently store the above input data.

NOSRAM4013是採用OS電晶體的非揮發性記憶體。與快閃記憶體、ReRAM(Resistive Random Access Memory:電阻隨機存取記憶體)、MRAM(Magnetoresistive Random Access Memory:磁阻隨機存取記憶體)等其他的非揮發性記憶體相比,NOSRAM4013寫入資料時的功耗小。另外,NOSRAM4013不會像快閃記憶體或ReRAM那樣在寫入資料時發生元件劣化,在資料寫入次數上沒有限制。 NOSRAM4013 is a non-volatile memory using OS transistors. Compared with other non-volatile memories such as flash memory, Resistive Random Access Memory (ReRAM), Magnetoresistive Random Access Memory (MRAM), and other non-volatile memories, NOSRAM4013 writes The power consumption during data is small. In addition, NOSRAM4013 does not suffer from component degradation when writing data like flash memory or ReRAM, and there is no limit on the number of times data can be written.

另外,NOSRAM4013不僅可以儲存1位元的2值資料還可以儲存2位元以上的多值資料。NOSRAM4013藉由儲存多值資料可以縮小每1位元的記憶單元面積。 In addition, NOSRAM4013 can store not only binary data of 1 bit, but also multi-value data of 2 bits or more. NOSRAM4013 can reduce the memory cell area per bit by storing multi-valued data.

另外,NOSRAM4013除了可以儲存數位資料之外還可以儲存類比資料。因此,類比運算電路4011可以將NOSRAM4013作為類比記憶體使用。由於NOSRAM4013可以以類比資料的方式進行儲存,所以不需要D/A轉換電路或A/D轉換電路。因此,可以縮小NOSRAM4013用周邊電路的面積。另外,本說明書中的類比資料是指具有3位元(8值)以上解析度的資料。上述多值資料也可以包含在類比資料內。 In addition, NOSRAM4013 can store analog data in addition to digital data. Therefore, the analog operation circuit 4011 can use the NOSRAM 4013 as an analog memory. Since NOSRAM4013 can be stored by analogy, no D / A conversion circuit or A / D conversion circuit is needed. Therefore, the area of the peripheral circuit for NOSRAM 4013 can be reduced. The analog data in this specification refers to data having a resolution of 3 bits (8 values) or more. The above multi-valued data can also be included in the analog data.

神經網路的計算所使用的資料及參數可以暫時儲存在 NOSRAM4013中。雖然也可以將上述資料和參數藉由CPU4021儲存至設置在AI系統4041的外部的記憶體中,但是儲存在設置於內部的NOSRAM4013可以更高速並更低功耗地儲存上述資料和參數。另外,NOSRAM4013可以使位元線長於DOSRAM4012的位元線,由此可以增大記憶容量。 The data and parameters used in the calculation of the neural network can be temporarily stored in NOSRAM4013. Although the above-mentioned data and parameters can also be stored in the memory set outside the AI system 4041 by the CPU 4021, the NOSRAM 4013 stored in the inside can store the above-mentioned data and parameters at higher speed and lower power consumption. In addition, NOSRAM 4013 can make the bit line longer than the bit line of DOSRAM 4012, which can increase the memory capacity.

FPGA4014是使用OS電晶體的FPGA。AI系統4041藉由利用FPGA4014可以由硬體構成後述的深度神經網路(DNN)、卷積神經網路(CNN)、遞迴神經網路(RNN)、自編碼器、深度波茲曼機(DBM)、深度置信網路(DBN)等神經網路的連接。藉由以硬體構成上述神經網路的連接可以進行更高速的執行。 FPGA4014 is an FPGA using an OS transistor. The AI system 4041 can use hardware FPGA 4014 to form a deep neural network (DNN), a convolutional neural network (CNN), a recurrent neural network (RNN), an autoencoder, and a deep Boltzmann machine ( DBM), Deep Belief Network (DBN) and other neural networks. The above-mentioned neural network connection can be executed at a higher speed by hardware.

FPGA4014是OS-FPGA。OS-FPGA的記憶體面積可以比由SRAM構成的FPGA更小。因此,即便對其附加上下文切換功能,面積增加也較少。另外,OS-FPGA藉由升壓(boosting)可以高速地傳送資料和參數。 FPGA4014 is an OS-FPGA. The memory area of OS-FPGA can be smaller than FPGA made of SRAM. Therefore, even if a context switching function is added thereto, the increase in area is small. In addition, OS-FPGA can boost data and parameters at high speed by boosting.

AI系統4041可以將類比運算電路4011、DOSRAM4012、NOSRAM4013及FPGA4014設置在一個裸晶(晶片)上。因此,AI系統4041可以高速且低功耗地進行神經網路計算。另外,類比運算電路4011、DOSRAM4012、NOSRAM4013及FPGA4014可以以相同製程製造。因此,AI系統4041可以以低成本製造。 The AI system 4041 can set the analog operation circuit 4011, DOSRAM 4012, NOSRAM 4013, and FPGA 4014 on a bare chip (chip). Therefore, the AI system 4041 can perform neural network calculations at high speed and low power consumption. In addition, the analog operation circuit 4011, DOSRAM 4012, NOSRAM 4013, and FPGA 4014 can be manufactured by the same process. Therefore, the AI system 4041 can be manufactured at a low cost.

注意,運算部4010沒有必要具有DOSRAM4012、NOSRAM4013及FPGA4014中的全部。根據AI系統4041想要解決的課題選擇DOSRAM4012、NOSRAM4013和FPGA4014中的一個或多個即可。 Note that it is not necessary for the arithmetic unit 4010 to have all of DOSRAM 4012, NOSRAM 4013, and FPGA 4014. Select one or more of DOSRAM 4012, NOSRAM 4013, and FPGA 4014 according to the problem that AI system 4041 wants to solve.

AI系統4041可以根據想要解決的問題執行深度神經網路(DNN)、卷積神經網路(CNN)、遞迴神經網路(RNN)、自編碼器、深度波 茲曼機(DBM)、深度置信網路(DBN)等運算。PROM4025可以儲存用來執行上述運算中的至少一個的程式。另外,可以將部分上述程式或所有程式儲存至NOSRAM4013。 The AI system 4041 can execute deep neural networks (DNN), convolutional neural networks (CNN), recurrent neural networks (RNN), autoencoders, deep Bozman machines (DBM), Deep belief network (DBN) and other operations. PROM4025 can store programs used to perform at least one of the above operations. In addition, some or all of the above programs can be stored in NOSRAM4013.

作為程式庫存在的既存的程式多是在以GPU進行處理為前提而設計的。為此,較佳為AI系統4041具有GPU4022。AI系統4041可以利用運算部4010進行學習及推論所使用的積和演算中比較費時的積和演算並利用GPU4022進行其餘的積和演算。由此,可以高速地進行學習及推論。 Most of the existing programs that are stored in the program library are designed on the premise of processing by the GPU. For this reason, it is preferable that the AI system 4041 has a GPU 4022. The AI system 4041 can use the arithmetic unit 4010 to perform the time-consuming product and operation of the product and operation used for learning and inference, and use the GPU 4022 to perform the remaining product and operation. This enables high-speed learning and inference.

電源電路4027不僅生成邏輯電路用低電源電位還生成類比演算用電位。電源電路4027也可以使用OS記憶體。藉由將參考電位儲存至OS記憶體可以降低電源電路4027的功耗。 The power supply circuit 4027 generates not only a low power supply potential for a logic circuit but also an analog calculation potential. The power supply circuit 4027 may use an OS memory. The power consumption of the power circuit 4027 can be reduced by storing the reference potential in the OS memory.

PMU4028具有暫時停止AI系統4041的電力供給的功能。 The PMU4028 has a function of temporarily stopping the power supply of the AI system 4041.

CPU4021及GPU4022較佳為作為暫存器包括OS記憶體。藉由使CPU4021及GPU4022包括OS記憶體時,即使電力供給停止也可以在OS記憶體中繼續保持資料(邏輯值)。由此,AI系統4041可以節省電力。 The CPU 4021 and the GPU 4022 preferably include an OS memory as a register. When the CPU 4021 and the GPU 4022 include the OS memory, the data (logic value) can be maintained in the OS memory even if the power supply is stopped. As a result, the AI system 4041 can save power.

PLL4023具有生成時脈的功能。AI系統4041以PLL4023生成的時脈為基準進行工作。PLL4023較佳為具有OS記憶體。藉由使PLL4023包括OS記憶體,可以利用其保持控制時脈的振盪頻率的類比電位。 PLL4023 has a function of generating a clock. The AI system 4041 works based on the clock generated by the PLL 4023. The PLL 4023 preferably has an OS memory. By including the PLL 4023 with the OS memory, it is possible to use it to maintain an analog potential that controls the oscillation frequency of the clock.

AI系統4041可以利用DRAM等外部記憶體儲存資料。為此,AI系統4041較佳為具有被用作與外部的DRAM之間的介面的記憶體控制器4026。另外,記憶體控制器4026較佳為配置在CPU4021或GPU4022的附近。由此,可以高速地進行資料通訊。 The AI system 4041 can use external memory such as DRAM to store data. For this reason, the AI system 4041 is preferably a memory controller 4026 having an interface for use with an external DRAM. In addition, the memory controller 4026 is preferably disposed near the CPU 4021 or the GPU 4022. As a result, data communication can be performed at high speed.

控制部4020所示的電路的一部分或全部可以形成在與運算部4010相同的裸晶上。由此,AI系統4041可以高速且低功耗地執行神經網路的計算。 A part or all of the circuit shown in the control unit 4020 may be formed on the same die as the operation unit 4010. As a result, the AI system 4041 can perform calculations of the neural network at high speed and low power consumption.

神經網路的計算所使用的資料多儲存於外部記憶體裝置(HDD(Hard Disk Drive:硬式磁碟機)、SSD(Solid State Drive:固體狀態驅動機)等)。為此,AI系統4041較佳為具有被用作與外部記憶體裝置之間的介面的外部記憶體控制電路4031。 The data used for the calculation of the neural network are mostly stored in external memory devices (HDD (Hard Disk Drive), SSD (Solid State Drive), etc.). For this reason, the AI system 4041 preferably has an external memory control circuit 4031 having an interface used as an interface with an external memory device.

使用神經網路的學習及推論多利用音聲或視頻,AI系統4041包括音訊編解碼器4032及視頻編解碼器4033。音訊編解碼器4032進行音聲資料的編碼處理(符號化)及解碼(復號),視頻編解碼器4033進行視頻資料的編碼處理及解碼。 Learning and inference using neural networks mostly use audio or video. The AI system 4041 includes an audio codec 4032 and a video codec 4033. The audio codec 4032 performs encoding processing (symbolization) and decoding (multi-numbering) of audio data, and the video codec 4033 performs encoding processing and decoding of video data.

AI系統4041可以利用由外部感測器獲得的資料進行學習或推論。為此,AI系統4041包括通用輸入輸出模組4034。通用輸入輸出模組4034例如包含USB(Universal Serial Bus:通用序列匯流排)或I2C(Inter-Integrated Circuit:內置積體電路)等。 The AI system 4041 can use data obtained from external sensors for learning or inference. To this end, the AI system 4041 includes a universal input-output module 4034. The universal input / output module 4034 includes, for example, a USB (Universal Serial Bus) or an I2C (Inter-Integrated Circuit).

AI系統4041可以利用藉由網際網路獲得的資料進行學習或推論。為此,AI系統4041較佳為包括通訊模組4035。 The AI system 4041 can use data obtained through the Internet for learning or inference. For this reason, the AI system 4041 preferably includes a communication module 4035.

類比運算電路4011可以將多值的快閃記憶體用作類比記憶體。但是,快閃記憶體的改寫可能次數有限。另外,多值的快閃記憶體很難以嵌入的方式形成(亦即,很難將運算電路與記憶體形成在同一裸晶上)。 The analog operation circuit 4011 can use a multi-valued flash memory as the analog memory. However, flash memory may be rewritten a limited number of times. In addition, it is difficult to form a multi-value flash memory in an embedded manner (that is, it is difficult to form an arithmetic circuit and a memory on the same die).

另外,類比運算電路4011可以將ReRAM用作類比記憶體。但是, ReRAM的改寫可能次數有限,在儲存精度上也有問題。並且,由於是由2端子構成的元件,所以分開資料的寫入與讀出的電路設計比較複雜。 In addition, the analog operation circuit 4011 can use ReRAM as an analog memory. However, ReRAM may be rewritten a limited number of times, and there are problems in storage accuracy. In addition, since it is a two-terminal device, the circuit design for writing and reading data is complicated.

另外,類比運算電路4011可以將MRAM用作類比記憶體。但是,MRAM電阻變化率低且在儲存精度上也有問題。 In addition, the analog operation circuit 4011 can use MRAM as an analog memory. However, MRAM has a low rate of change in resistance and has problems in storage accuracy.

鑒於上述理由,類比運算電路4011較佳為將OS記憶體用作類比記憶體。 For the reasons described above, the analog operation circuit 4011 preferably uses the OS memory as the analog memory.

本實施方式所示的結構可以與其他實施方式所示的結構適當地組合而使用。 The structure described in this embodiment can be used in appropriate combination with the structures described in other embodiments.

實施方式8 Embodiment 8

〈AI系統的應用例〉 〈Application example of AI system〉

在本實施方式中,參照圖38A和圖38B對上述實施方式所示的AI系統的應用例進行說明。 In this embodiment, an application example of the AI system shown in the above embodiment will be described with reference to FIGS. 38A and 38B.

圖38A是將圖37說明的AI系統4041並列配置藉由匯流排進行系統間的信號的發送和接收的AI系統4041A。 FIG. 38A is an AI system 4041A in which the AI system 4041 described in FIG. 37 is arranged in parallel to transmit and receive signals between the systems through a bus.

圖38A所示的AI系統4041A包括多個AI系統4041_1至AI系統4041_n(n為自然數)。AI系統4041_1至AI系統4041_n藉由匯流排4098彼此連接。 The AI system 4041A shown in FIG. 38A includes a plurality of AI systems 4041_1 to AI systems 4041_n (n is a natural number). The AI systems 4041_1 to 4041_n are connected to each other through a bus bar 4098.

圖38B是將圖37說明的AI系統4041與圖38A同樣地並列配置藉由網路進行系統間的信號的發送和接收的AI系統4041B。 FIG. 38B is an AI system 4041B in which the AI system 4041 described in FIG. 37 is arranged in parallel in the same manner as in FIG. 38A to transmit and receive signals between systems via a network.

圖38B所示的AI系統4041B包括多個AI系統4041_1至AI系統4041_n。AI系統4041_1至AI系統4041_n藉由網路4099彼此連接。 The AI system 4041B shown in FIG. 38B includes a plurality of AI systems 4041_1 to AI systems 4041_n. The AI systems 4041_1 to 4041_n are connected to each other via a network 4099.

網路4099可以採用分別在AI系統4041_1至AI系統4041_n設置通訊模組來進行無線或有線通訊的結構。通訊模組能夠藉由天線進行通訊。例如,可以使各電子裝置與World Wide Web(WWW:環球網)的基礎的網際網路、內聯網、外聯網、PAN(Personal Area Network:個人網)、LAN(Local Area Network:局域網)、CAN(Campus Area Network:校園網)、MAN(Metropolitan Area Network:都會區網路)、WAN(Wide Area Network:廣域網路)、GAN(Global Area Network:全球網)等電腦網路連接,來進行通訊。當進行無線通訊時,作為通訊協定或通訊技術可以使用:通訊標準諸如LTE(Long Term Evolution:長期演進)、GSM(Global System for Mobile Communication:註冊商標:全球移動通訊系統)、EDGE(Enhanced Data Rates for GSM Evolution:GSM增強資料率演進)、CDMA2000(Code Division Multiple Access 2000:碼分多址2000)、W-CDMA(註冊商標);或者由IEEE(電氣電子工程師學會)通訊標準化的規格諸如Wi-Fi(註冊商標)、Bluetooth(在日本註冊的商標)、ZigBee(註冊商標)等。 The network 4099 may adopt a structure in which a communication module is set in the AI system 4041_1 to the AI system 4041_n to perform wireless or wired communication. The communication module can communicate through an antenna. For example, each electronic device and the World Wide Web (WWW: World Wide Web) based Internet, intranet, extranet, PAN (Personal Area Network), LAN (Local Area Network), CAN (Campus Area Network: campus network), MAN (Metropolitan Area Network: Metropolitan Area Network), WAN (Wide Area Network: Wide Area Network), GAN (Global Area Network: Global Network) and other computer network connections for communication. When performing wireless communication, it can be used as a communication protocol or communication technology: communication standards such as LTE (Long Term Evolution: Long Term Evolution), GSM (Global System for Mobile Communication: Registered Trademark: Global Mobile Communication System), EDGE (Enhanced Data Rates for GSM Evolution: GSM Enhanced Data Rate Evolution), CDMA2000 (Code Division Multiple Access 2000), W-CDMA (registered trademark); or specifications standardized by IEEE (Institute of Electrical and Electronics Engineers) communications such as Wi- Fi (registered trademark), Bluetooth (trademark registered in Japan), ZigBee (registered trademark), and the like.

藉由採用圖38A和圖38B的結構,可以將從外部的感測器等得到的類比信號利用不同的AI系統進行處理。例如,可以利用腦波感測器、脈波感測器、血壓感測器、溫度感測器等各種感測器取得腦波、脈搏、血壓、體溫等生物資訊並利用不同的AI系統處理類比信號。藉由利用不同的AI系統分別進行信號的處理或學習可以減少各AI系統的資訊處理量。由此,可以藉由較少的運算量進行信號的處理或學習。由此,可以提高識別精度。藉由由不同的AI系統得到的資訊,由此可以期待能夠即刻把握不規則變化的生物資訊的變化。 By adopting the structures of FIGS. 38A and 38B, analog signals obtained from external sensors and the like can be processed by different AI systems. For example, various sensors such as brain wave sensors, pulse wave sensors, blood pressure sensors, and temperature sensors can be used to obtain biological information such as brain waves, pulse, blood pressure, and body temperature and use different AI systems to process analogies. signal. By using different AI systems to perform signal processing or learning separately, the amount of information processing of each AI system can be reduced. Therefore, it is possible to perform signal processing or learning with a small amount of calculation. Thereby, recognition accuracy can be improved. With the information obtained by different AI systems, it can be expected that changes in biological information that can be irregularly changed can be grasped immediately.

本實施方式所示的結構可以與其他實施方式所示的結構適當地組 合而使用。 The structure shown in this embodiment can be used in appropriate combination with the structures shown in other embodiments.

實施方式9 Embodiment 9

本實施方式示出安裝了上述實施方式所示的AI系統的IC的一個例子。 This embodiment shows an example of an IC on which the AI system described in the above embodiment is mounted.

上述實施方式所示的AI系統可以將CPU等的由Si電晶體構成的數位處理電路、使用OS電晶體的類比運算電路、OS-FPGA及DOSRAM、NOSRAM等OS記憶體集成在一個裸晶上。 The AI system described in the above embodiment can integrate a digital processing circuit composed of a Si transistor such as a CPU, an analog operation circuit using an OS transistor, OS-FPGA, and OS memory such as DOSRAM, NOSRAM, etc. on one bare chip.

圖39示出安裝有AI系統的IC的一個例子。圖39所示的AI系統IC7000包括引線7001及電路部7003。AI系統IC7000例如安裝於印刷電路板7002上。藉由組合多個這樣的IC晶片並使其在印刷電路板7002上彼此電連接,完成安裝有電子構件的基板(安裝基板7004)。在電路部7003中,上述實施方式所示的各種電路設置在一個裸晶上。如上述實施方式的圖27及圖28所示,電路部7003具有疊層結構,大致分為Si電晶體層7031、佈線層7032、OS電晶體層7033。由於可以將OS電晶體層7033層疊在Si電晶體層7031上,可以容易地實現AI系統IC7000的小型化。 FIG. 39 shows an example of an IC on which an AI system is mounted. The AI system IC7000 shown in FIG. 39 includes a lead 7001 and a circuit portion 7003. The AI system IC7000 is mounted on a printed circuit board 7002, for example. By combining a plurality of such IC chips and electrically connecting them to each other on the printed circuit board 7002, a substrate on which electronic components are mounted (mounting substrate 7004) is completed. In the circuit portion 7003, the various circuits described in the above embodiments are provided on a single die. As shown in FIG. 27 and FIG. 28 of the above embodiment, the circuit portion 7003 has a laminated structure, and is roughly divided into a Si transistor layer 7031, a wiring layer 7032, and an OS transistor layer 7033. Since the OS transistor layer 7033 can be stacked on the Si transistor layer 7031, the miniaturization of the AI system IC7000 can be easily achieved.

雖然在圖39中作為AI系統IC7000的封裝採用QFP(Quad Flat Package:四面扁平封裝),但是封裝的方式不侷限於此。 Although QFP (Quad Flat Package) is used as the package of the AI system IC7000 in FIG. 39, the packaging method is not limited to this.

可以將CPU等數位處理電路、使用OS電晶體的類比運算電路、OS-FPGA及DOSRAM、NOSRAM等OS記憶體都形成在Si電晶體層7031、佈線層7032及OS電晶體層7033中。也就是說,構成上述AI系統的元件可以利用同一製程形成。由此,本實施方式所示的IC即便增加構成元件也不需要增加製程,由此可以以低成本安裝上述AI系統。 Digital processing circuits such as a CPU, analog operation circuits using OS transistors, OS memories such as OS-FPGA, DOSRAM, and NOSRAM can be formed in the Si transistor layer 7031, the wiring layer 7032, and the OS transistor layer 7033. In other words, the components constituting the above-mentioned AI system can be formed using the same process. Therefore, the IC described in this embodiment does not need to increase the number of processes even if the number of constituent elements is increased, so that the above-mentioned AI system can be installed at a low cost.

本實施方式所示的結構可以與其他實施方式所示的結構適當地組合而使用。 The structure described in this embodiment can be used in appropriate combination with the structures described in other embodiments.

實施方式10 Embodiment 10

〈電子裝置〉 <Electronic device>

本發明的一個實施方式的半導體裝置可以應用於各種電子裝置。圖40A至圖40F示出使用根據本發明的一個實施方式的半導體裝置的電子裝置的具體例子。 The semiconductor device according to an embodiment of the present invention can be applied to various electronic devices. 40A to 40F illustrate a specific example of an electronic device using a semiconductor device according to an embodiment of the present invention.

圖40A是示出汽車的一個例子的外觀圖。汽車2980包括車體2981、車輪2982、儀表板2983及燈2984等。另外,汽車2980具有天線、電池等。 FIG. 40A is an external view showing an example of an automobile. The automobile 2980 includes a vehicle body 2981, wheels 2982, an instrument panel 2983, and a lamp 2984. The automobile 2980 includes an antenna, a battery, and the like.

圖40B所示的資訊終端2910包括外殼2911、顯示部2912、麥克風2917、揚聲器部2914、照相機2913、外部連接部2916及操作開關2915等。顯示部2912設置有使用撓性基板的顯示面板及觸控面板。另外,資訊終端2910在外殼2911的內側具有天線、電池等。資訊終端2910例如可以被用作智慧手機、行動電話、平板資訊終端、平板電腦或電子書閱讀器終端等。 The information terminal 2910 shown in FIG. 40B includes a housing 2911, a display portion 2912, a microphone 2917, a speaker portion 2914, a camera 2913, an external connection portion 2916, an operation switch 2915, and the like. The display unit 2912 is provided with a display panel and a touch panel using a flexible substrate. The information terminal 2910 includes an antenna, a battery, and the like inside the case 2911. The information terminal 2910 can be used as, for example, a smartphone, a mobile phone, a tablet information terminal, a tablet computer, or an e-book reader terminal.

圖40C所示的膝上型個人電腦2920包括外殼2921、顯示部2922、鍵盤2923及指向裝置2924等。另外,膝上型個人電腦2920在外殼2921的內側具有天線、電池等。 The laptop personal computer 2920 shown in FIG. 40C includes a housing 2921, a display portion 2922, a keyboard 2923, a pointing device 2924, and the like. The laptop personal computer 2920 includes an antenna, a battery, and the like inside the housing 2921.

圖40D所示的攝影機2940包括外殼2941、外殼2942、顯示部2943、操作開關2944、鏡頭2945及連接部2946等。操作開關2944及鏡頭2945設置在外殼2941中,顯示部2943設置在外殼2942中。另外,攝影機 2940在外殼2941的內側具有天線、電池等。並且,外殼2941和外殼2942由連接部2946連接,由連接部2946可以改變外殼2941和外殼2942之間的角度。另外,可以根據外殼2942與外殼2941所形成的角度而改變顯示在顯示部2943中的影像的方向並切換影像的顯示/非顯示。 The camera 2940 shown in FIG. 40D includes a housing 2941, a housing 2942, a display portion 2943, an operation switch 2944, a lens 2945, a connection portion 2946, and the like. The operation switch 2944 and the lens 2945 are provided in the housing 2941, and the display portion 2943 is provided in the housing 2942. The camera 2940 includes an antenna, a battery, and the like inside the housing 2941. In addition, the housing 2941 and the housing 2942 are connected by a connecting portion 2946, and the angle between the housing 2941 and the housing 2942 can be changed by the connecting portion 2946. In addition, the direction of the image displayed on the display unit 2943 can be changed and the display / non-display of the image can be switched according to the angle formed by the casing 2942 and the casing 2941.

圖40E示出手鐲型資訊終端的一個例子。資訊終端2950包括外殼2951及顯示部2952等。另外,資訊終端2950在外殼2951的內側具有天線、電池等。顯示部2952由具有曲面的外殼2951支撐。因為顯示部2952具備使用撓性基板的顯示面板,所以可以提供一種具有撓性、輕量且方便性良好的資訊終端2950。 FIG. 40E shows an example of a bracelet-type information terminal. The information terminal 2950 includes a casing 2951, a display portion 2952, and the like. The information terminal 2950 includes an antenna, a battery, and the like inside the housing 2951. The display portion 2952 is supported by a case 2951 having a curved surface. Since the display unit 2952 includes a display panel using a flexible substrate, it is possible to provide an information terminal 2950 that is flexible, lightweight, and convenient.

圖40F示出手錶型資訊終端的一個例子。資訊終端2960包括外殼2961、顯示部2962、腕帶2963、錶扣2964、操作開關2965、輸入輸出端子2966等。另外,資訊終端2960在外殼2961的內側具有天線、電池等。資訊終端2960可以執行行動電話、電子郵件、文章的閱讀及編寫、音樂播放、網路通訊、電腦遊戲等各種應用程式。 FIG. 40F shows an example of a watch-type information terminal. The information terminal 2960 includes a housing 2961, a display portion 2962, a wristband 2963, a buckle 2964, an operation switch 2965, an input / output terminal 2966, and the like. The information terminal 2960 includes an antenna, a battery, and the like inside the housing 2961. The information terminal 2960 can execute various applications such as mobile phones, e-mails, reading and writing of articles, music playback, network communication, computer games, and the like.

顯示部2962的顯示面彎曲,能夠沿著彎曲的顯示面進行顯示。另外,顯示部2962具備觸控感測器,可以用手指或觸控筆等觸摸螢幕來進行操作。例如,藉由觸摸顯示於顯示部2962的圖示2967,可以啟動應用程式。操作開關2965除了時刻設定之外,還可以具有電源開關、無線通訊的開關、靜音模式的設置及取消、省電模式的設置及取消等各種功能。例如,藉由利用組裝在資訊終端2960中的作業系統,也可以設定操作開關2965的功能。 The display surface of the display unit 2962 is curved, and display can be performed along the curved display surface. The display unit 2962 includes a touch sensor, and can be operated by touching the screen with a finger or a stylus. For example, by touching the icon 2967 displayed on the display unit 2962, an application can be launched. In addition to the time setting, the operation switch 2965 can also have various functions such as a power switch, a switch for wireless communication, setting and canceling a silent mode, and setting and canceling a power saving mode. For example, by using an operating system incorporated in the information terminal 2960, the function of the operation switch 2965 can be set.

另外,資訊終端2960可以執行依據通訊標準的近距離無線通訊。例如,藉由與可無線通訊的耳麥通訊,可以進行免提通話。另外,資訊終端2960具備輸入輸出端子2966,可以藉由連接器直接與其他資訊終端進行資料的交換。另外,也可以藉由輸入輸出端子2966進行充電。 另外,充電動作也可以利用無線供電進行,而不藉由輸入輸出端子2966進行。 In addition, the information terminal 2960 can perform short-range wireless communication according to a communication standard. For example, by communicating with a wirelessly communicable headset, a hands-free call can be made. In addition, the information terminal 2960 has an input / output terminal 2966, which can directly exchange data with other information terminals through a connector. In addition, charging can also be performed through the input / output terminal 2966. In addition, the charging operation can also be performed by wireless power supply instead of the input / output terminal 2966.

例如,使用本發明的一個實施方式的半導體裝置的記憶體裝置可以在長期間保持上述電子裝置的控制資料和控制程式等。藉由使用根據本發明的一個實施方式的半導體裝置,可以實現高可靠性的電子裝置。 For example, a memory device using a semiconductor device according to an embodiment of the present invention can hold the control data, the control program, and the like of the electronic device for a long period of time. By using the semiconductor device according to an embodiment of the present invention, a highly reliable electronic device can be realized.

本實施方式可以與其他實施方式所記載的結構適當地組合而實施。 This embodiment can be implemented in appropriate combination with the structures described in the other embodiments.

Claims (19)

一種半導體裝置,包括:第一氧化物,包括:相鄰的第一區域和第二區域;以及其間設置有該第一區域及該第二區域的第三區域和第四區域;該第一區域上的第二氧化物;該第二氧化物上的第一絕緣體;該第一絕緣體上的第一導電體;該第二氧化物上的第二絕緣體,該第二絕緣體與該第一絕緣體的側面及該第一導電體的側面接觸;該第二區域上的第三絕緣體,該第三絕緣體與該第二絕緣體的側面接觸;以及在其與該第二區域之間設置有該第三絕緣體的該第二區域上的第二導電體,其中,該第三絕緣體的一部分位於該第二導電體和該第二絕緣體的該側面之間。     A semiconductor device includes: a first oxide including: an adjacent first region and a second region; and a third region and a fourth region between which the first region and the second region are disposed; the first region A second insulator on the second oxide; a first insulator on the second oxide; a first conductor on the first insulator; a second insulator on the second oxide; the second insulator and the first insulator The side surface is in contact with the side surface of the first conductor; a third insulator on the second region, the third insulator is in contact with the side surface of the second insulator; and the third insulator is provided between it and the second region The second conductor on the second region, wherein a part of the third insulator is located between the second conductor and the side of the second insulator.     根據申請專利範圍第1項之半導體裝置,其中該第一氧化物位於第三導電體上,並且該第四區域的底面與該第三導電體的頂面接觸。     According to the semiconductor device of claim 1, the first oxide is located on the third conductor, and a bottom surface of the fourth region is in contact with a top surface of the third conductor.     根據申請專利範圍第1項之半導體裝置,其中該第二絕緣體包含含有鋁和鉿中的一個或兩個的氧化物。     The semiconductor device according to claim 1, wherein the second insulator includes an oxide containing one or both of aluminum and hafnium.     根據申請專利範圍第1項之半導體裝置,其中該第一氧化物包含In、元素M及Zn,並且該元素M為Al、Ga、Y或Sn。     The semiconductor device according to claim 1, wherein the first oxide includes In, an element M, and Zn, and the element M is Al, Ga, Y, or Sn.     根據申請專利範圍第1項之半導體裝置,其中該第二氧化物包含In、元素M及Zn,並且該元素M為Al、Ga、Y或Sn。     The semiconductor device according to claim 1, wherein the second oxide includes In, an element M, and Zn, and the element M is Al, Ga, Y, or Sn.     一種半導體裝置,包括: 電晶體;電容器;第一氧化物,包括:相鄰的第一區域和第二區域;以及其間設置有該第一區域及該第二區域的第三區域和第四區域;該第一區域上的第二氧化物;該第二氧化物上的第一絕緣體;該第一絕緣體上的第一導電體;該第二氧化物上的第二絕緣體,該第二絕緣體與該第一絕緣體的側面及該第一導電體的側面接觸;該第二區域上的第三絕緣體,該第三絕緣體與該第二絕緣體的側面接觸;以及在其與該第二區域之間設置有該第三絕緣體的該第二區域上的第二導電體,其中,該第三絕緣體的一部分位於該第二導電體和該第二絕緣體的該側面之間,該第一區域的一部分被用作該電晶體的通道形成區域,該第一絕緣體被用作該電晶體的閘極絕緣膜,該第一導電體被用作該電晶體的閘極電極,該第二區域被用作該電容器的第一電極,該第三絕緣體被用作該電容器的電介質,並且,該第二導電體被用作該電容器的第二電極。     A semiconductor device includes: a transistor; a capacitor; a first oxide including: an adjacent first region and a second region; and a third region and a fourth region between which the first region and the second region are disposed. A second oxide on the first region; a first insulator on the second oxide; a first conductor on the first insulator; a second insulator on the second oxide; the second insulator and A side of the first insulator and a side of the first conductor are in contact; a third insulator on the second region, the third insulator is in contact with the side of the second insulator; and disposed between the second insulator and the second region There is a second conductor on the second region of the third insulator, wherein a part of the third insulator is located between the second conductor and the side of the second insulator, and a part of the first region is used As a channel forming region of the transistor, the first insulator is used as a gate insulating film of the transistor, the first conductor is used as a gate electrode of the transistor, and the second region is used as the capacitor First An electrode, the third insulator is used as a dielectric of the capacitor, and the second conductor is used as a second electrode of the capacitor.     根據申請專利範圍第6項之半導體裝置,其中該第四區域相鄰於該第二區域,該第三區域被用作該電晶體的源極和汲極中的一個,並且該第二區域及該第四區域被用作該電晶體的該源極和該汲極中的另一個。     The semiconductor device according to item 6 of the application, wherein the fourth region is adjacent to the second region, the third region is used as one of a source and a drain of the transistor, and the second region and The fourth region is used as the other of the source and the drain of the transistor.     根據申請專利範圍第6項之半導體裝置,其中該第一氧化物位於第三導電體上, 並且該第四區域的底面與該第三導電體的頂面接觸。     According to the semiconductor device of claim 6, the first oxide is located on the third conductor, and the bottom surface of the fourth region is in contact with the top surface of the third conductor.     根據申請專利範圍第6項之半導體裝置,其中該第二絕緣體包含含有鋁和鉿中的一個或兩個的氧化物。     The semiconductor device according to claim 6, wherein the second insulator includes an oxide containing one or both of aluminum and hafnium.     根據申請專利範圍第6項之半導體裝置,其中該第一氧化物包含In、元素M及Zn,並且該元素M為Al、Ga、Y或Sn。     The semiconductor device according to item 6 of the application, wherein the first oxide includes In, an element M, and Zn, and the element M is Al, Ga, Y, or Sn.     根據申請專利範圍第6項之半導體裝置,其中該第二氧化物包含In、元素M及Zn,並且該元素M為Al、Ga、Y或Sn。     The semiconductor device according to item 6 of the application, wherein the second oxide includes In, an element M, and Zn, and the element M is Al, Ga, Y, or Sn.     一種半導體裝置,包括:第一氧化物,包括:相鄰的第一區域和第二區域;該第一區域上的第二氧化物;該第二氧化物上的第一絕緣體;該第一絕緣體上的第一導電體;該第二氧化物上的第二絕緣體,該第二絕緣體與該第一絕緣體的側面及該第一導電體的側面接觸;該第二區域上的第三絕緣體,該第三絕緣體與該第二絕緣體的側面接觸;在其與該第二區域之間設置有該第三絕緣體的該第二區域上的第二導電體,以其間設置有該第二區域的方式與該第二導電體重疊的第三導電體,其中,該第三絕緣體的一部分位於該第二導電體和該第二絕緣體的該側面之間,     A semiconductor device includes a first oxide including: an adjacent first region and a second region; a second oxide on the first region; a first insulator on the second oxide; the first insulator A first insulator on the second oxide; a second insulator on the second oxide, the second insulator is in contact with the side of the first insulator and the side of the first conductor; a third insulator on the second region, the The third insulator is in contact with the side surface of the second insulator; a second conductor on the second region where the third insulator is disposed between the third insulator and the second region, and the second region is disposed between the second insulator A third conductor overlapping the second conductor, wherein a portion of the third insulator is located between the second conductor and the side of the second insulator,     根據申請專利範圍第12項之半導體裝置,其中該第一區域的一部分被用作該電晶體的通道形成區域,該第一絕緣體被用作該電晶體的閘極絕緣膜, 該第一導電體被用作該電晶體的閘極電極,該第二區域被用作該電容器的第一電極,該第三絕緣體被用作該電容器的電介質,該第二導電體被用作該電容器的第二電極,並且該第三導電體被用作與該電晶體電連接的插頭。     The semiconductor device according to claim 12 in which a portion of the first region is used as a channel formation region of the transistor, the first insulator is used as a gate insulating film of the transistor, and the first conductor Is used as the gate electrode of the transistor, the second region is used as the first electrode of the capacitor, the third insulator is used as the dielectric of the capacitor, and the second conductor is used as the second of the capacitor An electrode, and the third conductor is used as a plug electrically connected to the transistor.     根據申請專利範圍第12項之半導體裝置,其中該第一氧化物還包括其間設置有該第一區域及該第二區域的第三區域和第四區域。     According to the semiconductor device of claim 12, the first oxide further includes a third region and a fourth region with the first region and the second region disposed therebetween.     根據申請專利範圍第14項之半導體裝置,其中該第二區域被用作該電晶體的源極和汲極中的一個,並且該第三區域被用作該電晶體的該源極和該汲極中的另一個。     A semiconductor device according to claim 14 in which the second region is used as one of a source and a drain of the transistor, and the third region is used as the source and the drain of the transistor The other of the poles.     根據申請專利範圍第12項之半導體裝置,其中該第一氧化物位於該第三導電體上,並且該第二區域的底面與該第三導電體的頂面接觸。     According to the semiconductor device of claim 12, wherein the first oxide is located on the third conductor, and a bottom surface of the second region is in contact with a top surface of the third conductor.     根據申請專利範圍第12項之半導體裝置,其中該第二絕緣體包含含有鋁和鉿中的一個或兩個的氧化物。     The semiconductor device according to claim 12, wherein the second insulator includes an oxide containing one or both of aluminum and hafnium.     根據申請專利範圍第12項之半導體裝置,其中該第一氧化物包含In、元素M及Zn,並且該元素M為Al、Ga、Y或Sn。     The semiconductor device according to item 12 of the application, wherein the first oxide includes In, an element M, and Zn, and the element M is Al, Ga, Y, or Sn.     根據申請專利範圍第12項之半導體裝置,其中該第二氧化物包含In、元素M及Zn,並且該元素M為Al、Ga、Y或Sn。     The semiconductor device according to item 12 of the application, wherein the second oxide includes In, an element M, and Zn, and the element M is Al, Ga, Y, or Sn.    
TW107104806A 2017-02-10 2018-02-09 Semiconductor device and method for manufacturing the same TW201834149A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2017-023595 2017-02-10
JP2017023595 2017-02-10
JP2017027613 2017-02-17
JP2017-027613 2017-02-17

Publications (1)

Publication Number Publication Date
TW201834149A true TW201834149A (en) 2018-09-16

Family

ID=63108054

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107104806A TW201834149A (en) 2017-02-10 2018-02-09 Semiconductor device and method for manufacturing the same

Country Status (7)

Country Link
US (1) US20200006328A1 (en)
JP (1) JP7017428B2 (en)
KR (1) KR20190116998A (en)
CN (1) CN110709998A (en)
DE (1) DE112018000776T5 (en)
TW (1) TW201834149A (en)
WO (1) WO2018146580A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI820732B (en) * 2022-03-08 2023-11-01 日商鎧俠股份有限公司 semiconductor memory device

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11605740B2 (en) * 2020-05-28 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor, integrated circuit, and manufacturing method of transistor
CN113033138B (en) * 2021-03-08 2023-03-24 电子科技大学 Novel FPGA structure based on power gating technology controlled by anti-fuse device

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003057640A (en) * 2001-06-05 2003-02-26 Seiko Epson Corp Electro-optical device, electronic apparatus, and method for manufacturing electro-optical device
JP4187027B2 (en) * 2006-09-13 2008-11-26 ソニー株式会社 Display device
KR101752348B1 (en) * 2009-10-30 2017-06-29 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device
JP5497417B2 (en) 2009-12-10 2014-05-21 富士フイルム株式会社 THIN FILM TRANSISTOR, MANUFACTURING METHOD THEREOF, AND APPARATUS HAVING THE THIN FILM TRANSISTOR
JP2011138934A (en) 2009-12-28 2011-07-14 Sony Corp Thin film transistor, display device, and electronic equipment
WO2011114919A1 (en) * 2010-03-19 2011-09-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
WO2011114867A1 (en) * 2010-03-19 2011-09-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and driving method of semiconductor device
US8321321B2 (en) 2010-06-16 2012-11-27 Metamarket, Inc. Online marketplace system and method
CN107947763B (en) 2010-08-06 2021-12-28 株式会社半导体能源研究所 Semiconductor integrated circuit having a plurality of transistors
JP5743790B2 (en) * 2010-08-06 2015-07-01 株式会社半導体エネルギー研究所 Semiconductor device
JP2012256821A (en) 2010-09-13 2012-12-27 Semiconductor Energy Lab Co Ltd Memory device
US9023684B2 (en) * 2011-03-04 2015-05-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP6022880B2 (en) 2011-10-07 2016-11-09 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method of semiconductor device
US8796683B2 (en) * 2011-12-23 2014-08-05 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
TW201338173A (en) * 2012-02-28 2013-09-16 Sony Corp Transistor, method of manufacturing the transistor, display, and electronic apparatus
JP6111458B2 (en) 2013-03-28 2017-04-12 株式会社Joled Semiconductor device, display device and electronic apparatus
JP6570817B2 (en) * 2013-09-23 2019-09-04 株式会社半導体エネルギー研究所 Semiconductor device
JP6744108B2 (en) 2015-03-02 2020-08-19 株式会社半導体エネルギー研究所 Transistor, method for manufacturing transistor, semiconductor device, and electronic device
US10147823B2 (en) 2015-03-19 2018-12-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US9589611B2 (en) * 2015-04-01 2017-03-07 Semiconductor Energy Laboratory Co., Ltd. Memory device, semiconductor device, and electronic device
KR102440302B1 (en) * 2015-04-13 2022-09-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 Semiconductor device and method for manufacturing the same
CN113990756A (en) 2015-05-22 2022-01-28 株式会社半导体能源研究所 Semiconductor device and display device including the same
JP6710504B2 (en) 2015-07-27 2020-06-17 パイオニア株式会社 Body sound auscultation device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI820732B (en) * 2022-03-08 2023-11-01 日商鎧俠股份有限公司 semiconductor memory device

Also Published As

Publication number Publication date
DE112018000776T5 (en) 2019-12-05
US20200006328A1 (en) 2020-01-02
KR20190116998A (en) 2019-10-15
WO2018146580A1 (en) 2018-08-16
JP2018133563A (en) 2018-08-23
JP7017428B2 (en) 2022-02-08
CN110709998A (en) 2020-01-17

Similar Documents

Publication Publication Date Title
JP7245371B2 (en) semiconductor equipment
TW201842627A (en) Semiconductor device and manufacturing method of semiconductor device
TW201901971A (en) Semiconductor device and method of manufacturing semiconductor device
TW201834249A (en) Semiconductor device and method for manufacturing the same
JP7351986B2 (en) semiconductor equipment
JP2018181890A (en) Semiconductor device
TW201841367A (en) Semiconductor device and method for manufacturing semiconductor device
JPWO2018197988A1 (en) Semiconductor device and method for manufacturing semiconductor device
JP2018201011A (en) Semiconductor device and manufacture method of semiconductor device
US11088286B2 (en) Semiconductor device and method for manufacturing semiconductor device
JP7017428B2 (en) Semiconductor device
CN110462803B (en) Semiconductor device and method for manufacturing semiconductor device
WO2018167601A1 (en) Semiconductor device and manufacturing method for semiconductor device
WO2018224912A1 (en) Semiconductor device and method for manufacturing semiconductor device
JP6894726B2 (en) Semiconductor devices and methods for manufacturing semiconductor devices
WO2018163013A1 (en) Semiconductor device and method for manufacturing semiconductor device
WO2018163012A1 (en) Semiconductor device and method for manufacturing semiconductor device
WO2018163020A1 (en) Conductor, method for manufacturing conductor, semiconductor device, and method for manufacturing semiconductor device
JP2018098437A (en) Semiconductor device and semiconductor device manufacturing method