TW201820631A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW201820631A
TW201820631A TW106116077A TW106116077A TW201820631A TW 201820631 A TW201820631 A TW 201820631A TW 106116077 A TW106116077 A TW 106116077A TW 106116077 A TW106116077 A TW 106116077A TW 201820631 A TW201820631 A TW 201820631A
Authority
TW
Taiwan
Prior art keywords
layer
source
drain
recess
doped
Prior art date
Application number
TW106116077A
Other languages
English (en)
Inventor
馬志宇
李承翰
潘正揚
張世杰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201820631A publication Critical patent/TW201820631A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/36Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the concentration or distribution of impurities in the bulk material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本發明實施例可增加半導體裝置如電晶體其源極/汲極區中的摻質。半導體裝置可包含第一材料之摻雜磊晶,其具有多個促進層埋置其中。促進層可為第二材料,且第一材料與第二材料不同。另一裝置可包含電晶體的源極/汲極結構。源極/汲極結構包含摻雜的源極/汲極材料與一或多個分開的埋置促進層。方法包含成長促進層於基板的凹陷中,其中促進層實質上不含摻質。方法亦包含成長摻雜的磊晶層於凹陷中的促進層上。

Description

半導體裝置
本發明實施例關於半導體裝置,更特別關於磊晶的源極/汲極結構中的促進層。
半導體積體電路產業已經歷快速成長。在積體電路的演進中,功能密度(如單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(如最小構件或線路)縮小而增加。製程尺寸縮小通常有利於增加產能並降低相關成本。上述製程尺寸縮小亦會增加積體電路的製程複雜性,積體電路製程亦需類似發展已實現上述進展。
舉例來說,當半導體裝置如金氧半場效電晶體的尺寸縮小至多種技術節點時,可實施應力的源極/汲極結構(如應力區)以增加載子移動率並改善裝置效能。雖然形成應力區以用於積體電路裝置的現有方法可符合其發展目的,但其無法完全適用於所有方面。
本發明一實施例提供之半導體裝置,包括:基板,具有凹陷形成其中;摻雜的磊晶形成於凹陷中,且摻雜的磊晶包括:多個第一材料層;以及一或多個促進層,包括第二材料,且每一促進層位於兩個第一材料層之間,其中摻雜的磊晶中的摻質濃度自一層狀物至另一層狀物逐漸改變。
d1‧‧‧深度
h1‧‧‧高度差
w1‧‧‧寬度
100‧‧‧方法
105、110、115、120、125、130‧‧‧步驟
200‧‧‧半導體裝置
202‧‧‧基板
204‧‧‧隔離結構
206‧‧‧閘極介電層
208‧‧‧閘極層
210‧‧‧硬遮罩層
212‧‧‧側壁間隔物
228‧‧‧凹陷
230‧‧‧源極/汲極材料
232‧‧‧促進層
234‧‧‧源極/汲極結構
236‧‧‧蓋層
238、288‧‧‧被覆蓋的源極/汲極結構
250、251‧‧‧閘極堆疊
710‧‧‧圖表
720、722‧‧‧線段
724‧‧‧波峰值
726‧‧‧波谷值
第1圖係本發明多種實施例中,形成半導體裝置的方法其流程圖。
第2至6圖係一或多個實施例中,依據第1圖之方法製作之半導體裝置於多種製作階段中的剖視圖。
第7圖係一些實施例中,自矽磷形成之源極/汲極區中的磷濃度對深度之圖表。
第8至10圖係其他實施例中,半導體裝置的剖視圖。
下述揭露內容提供許多不同實施例或實例以實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明的多個實例可採用重複標號及/或符號使說明簡化及明確,但這些重複不代表多種實施例中相同標號的元件之間具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
當形成摻雜的源極/汲極區時(比如摻雜磷的矽之 源極/汲極區),摻質可能未適當地鍵結至源極/汲極材料,且未鍵結的摻質將造成點缺陷。點缺陷可包含結晶結構中的空洞或間隙。點缺陷累積造成延伸缺陷。理想狀況下,所有的摻質將鍵結至矽並穿插於整個結晶晶格中。但實際上,摻質可能因未與源極/汲極材料鍵結,而形成團簇於晶格結構中。當摻質形成團簇,後續的回火步驟將無法活化摻質,且源極/汲極區中的摻質團簇將維持未活化的狀態。
在下述實施例中,未摻雜的源極/汲極材料之層狀成長,可能提供許多懸吊鍵或空洞於結晶結構中。未摻雜的源極/汲極材料可稱作促進層。在層狀成長未摻雜的源極/汲極材料後,接著可成長摻雜的源極/汲極材料。促進層中的懸吊鍵可提供空洞,使摻質明顯地鍵結至促進層。當摻質鍵結至促進層,可發現源極/汲極中的摻質增加。如此一來,促進層的層狀成長可抑制摻質團簇並增加懸吊鍵,以改善源極/汲極區的效能,進而增加促進層中的摻質鍵結。在一些實施例中,未摻雜的源極/汲極材料之源極/汲極材料,可與摻雜的源極/汲極材料之源極/汲極材料不同。
本發明實施例可增加半導體裝置如場效電晶體之摻雜的源極/汲極區其摻質濃度。在一些實施例中,n型的半導體裝置如場效電晶體,其摻雜磷的源極/汲極區之磷濃度可增加。在一些實施例中,p型的半導體裝置如場效電晶體,其摻雜硼的源極/汲極區之硼濃度可增加。在一些實施例中,可採用其他摻質。舉例來說,這些裝置可包含n型場效電晶體、p型場效電晶體、或互補式金氧半裝置。摻雜的磊晶層可成長於半 導體基板中的凹陷內,比如用以形成電晶體之源極/汲極區的凹陷。為了降低或避免摻質團簇,本發明實施例增加可得的鍵結位點(如懸吊),以提供用於摻質的鍵結機會,進而增加摻質的鍵結。這有助於降低並避免結晶中的延伸缺陷,並可增加區域中的活化摻質量。
下述將以n型或p型的場效電晶體為例,說明本發明的多種實施例。然而應理解的是,本發明不限於特定種類的裝置,除非申請專利範圍特別限制。當下述說明提及特定的摻質、源極/汲極材料、或促進層材料,應理解在不需過度實驗的情況下可採用或置換為其他摻質、源極/汲極材料、或促進層材料。
方法100與半導體裝置200將搭配第1與2至8圖說明如下。半導體裝置200指的是積體電路或其部份,其可包含主動裝置如金氧半場效電晶體、互補式金氧半電晶體、高壓電晶體、及/或高頻電晶體;其他合適的構件;及/或上述之組合。半導體裝置200可額外包含被動構件如電阻、電容、電感、及/或熔絲。應理解的是,半導體裝置200的形成方法可為互補式金氧半技術製程,因此一些製程並未詳述如下。在方法100之前、之中、或之後可進行額外步驟,且額外實施例可置換或省略方法100的一些下述步驟。應理解的是,可添加額外結構於半導體裝置200中,且額外實施例可置換或省略半導體裝置200的一些下述結構。
在一些實施例中,第1圖所示之流程圖可用以製作半導體裝置。下述內容先簡述流程圖,再搭配後續圖式詳述流 程圖。
在步驟105中,形成閘極堆疊於基板上。在n型裝置中,基板可為p型矽為主的基板。在一些實施例中,基板可為矽為主的基板,其具有摻雜p型雜質的井區。在p型裝置中,基板可為n型矽為主的基板。在一些實施例中,基板可為矽為主的基板,其具有摻雜n型雜質的井區。在步驟110中,形成凹陷於與閘極堆疊之邊緣相鄰的基板中。凹陷可形成於基板中,或形成於基板內的摻雜井區中。凹陷的形成方法可為蝕刻,比如非等向乾蝕刻製程、濕蝕刻製程、或上述之組合。
在步驟115中,形成裝置的源極/汲極結構於凹陷中。源極/汲極結構的形成方法可為磊晶成長製程。可用的磊晶成長製程包含選擇性磊晶成長、循環沉積與蝕刻、化學氣相沉積技術於氣相磊晶及/或超高真空化學氣相沉積、分子束磊晶、其他合適的磊晶製程、或上述之組合。在一些實施例中,源極/汲極的晶格常數不同於基板202的晶格常數,以將應力導入半導體裝置200的通道區上,進而增加裝置的載子移動率以提升裝置效能。可用於磊晶源極/汲極結構的材料包含矽、鍺、矽鍺、碳、碳化矽、與類似物。
摻質可存在於步驟115的磊晶成長製程,以成長摻雜的源極/汲極磊晶。舉例來說,磷可用以成長矽磷的組成。其他可用的摻質可包含砷、銻、鋰、硼、鋁、氮、鎵、銦、鍺、或類似物,端視裝置為n型或p型。摻質為主的蒸氣與源極/汲極材料為主的蒸氣可導入成長腔室中,其可提供摻雜的源極/汲極磊晶成長所用的材料。
在步驟120中,可在循環間隔成長促進層於源極/汲極凹陷中,因此促進層穿插於整個摻雜的源極/汲極磊晶中。用以成長促進層之磊晶成長製程,可與用以成長摻雜的源極/汲極磊晶之磊晶成長製程相同或不同。在一些實施例中,可自腔室扣除摻質為主的蒸氣,因此只有未摻雜的源極/汲極材料保留於成長腔室中。在這些實施例中,促進層包含未摻雜的源極/汲極材料,且實質上不含摻質。在一些實施例中,可自成長腔室一起扣除摻質為主的蒸氣與源極/汲極為主的蒸氣,並導入一或多個不同的促進層蒸氣如碳為主的蒸氣。在這些實施例中,促進層包含的材料不同於源極/汲極材料或摻質。此外,促進層的晶格常數可不同於摻雜的源極/汲極材料的晶格常數。步驟115至125的製程將詳述如下。
在步驟125中,若未填滿凹陷,流程將回到步驟115與120直到填滿凹陷。一旦填滿凹陷,將進行步驟130。
在步驟130中,可形成蓋層磊晶於層狀的源極/汲極結構上。在一些實施例中,蓋層磊晶之組成可為摻雜或未摻雜的源極/汲極材料。蓋層磊晶可形成以成長超出源極/汲極區的凹陷。蓋層磊晶的形成方法可與磊晶成長源極/汲極材料的磊晶成長方法相同或不同。這將詳述如下。
在步驟135中,可進行額外製程如閘極置換,或新增層間介電物、再佈線層、接點、矽化物、金屬結構、與類似物。舉例來說,方法100可形成主要間隔物。亦可形成接點結構如矽化物區。接點結構可包含矽化物材料如鎳矽化物、鎳鉑矽化物、鎳鉑鍺矽化物、鎳鍺矽化物、鐿矽化物、鉑矽化物、 銥矽化物、鉺矽化物、鈷矽化物、其他合適的導電材料、及/或上述之組合。接點結構的形成製程可包括沉積金屬層、回火金屬層使其可與矽反應形成矽化物、以及接著移除未反應的金屬層。接著可進一步形成層間介電物於基板上,並進一步施加化學機械研磨製程至基板以平坦化基板。此外,在形成層間介電層之前,可形成接點蝕刻停止層於閘極結構之頂部上。
在一些實施例中,進行閘極置換製程(或閘極後製製程),以將多晶矽的閘極堆疊250置換為金屬閘極。舉例來說,金屬閘極可置換閘極堆疊250的閘極堆疊(如多晶矽的閘極堆疊)。金屬閘極包含襯墊層、功函數層、導電層、金屬閘極層、填充層、其他合適的層狀物、及/或上述之組合。多種層狀物可包含任何合適材料,比如鋁、銅、鎢、鈦、鉭、鉭鋁、氮化鉭鋁、氮化鈦、氮化鉭、鎳矽化物、鈷矽化物、銀、碳化鉭、氮化鉭矽、氮化鉭碳、鈦鋁、氮化鈦鋁、氮化鎢、金屬合金、其他合適材料、及/或上述之組合。在一些實施例中,可採用閘極優先製程,且不需閘極置換製程。
後續製程可進一步形成多種接點/通孔/線路與多層的內連線結構(如金屬層與層間介電物)於基板上,其設置以連接半導體裝置的多種結構。額外結構可提供額外的電性內連線至裝置。舉例來說,多層內連線包含垂直內連線如習知的通孔或接點,以及水平內連線如金屬線路。多種內連線結構可採用多種導電材料,包含銅、鎢、及/或矽化物。在一例中,鑲嵌製程及/或雙鑲嵌製程係用以形成銅相關的多層內連線結構。
步驟135的額外製程亦可包含回火以活化源極/汲極區的摻質。
第2至6圖係一或多個實施例中,依據第1圖之方法100製作之半導體裝置200於多種製作階段中的剖視圖。如第1與2圖所示,方法100之步驟105形成閘極堆疊250於基板202上,且閘極堆疊250定義其下之基板202的通道區。在一些實施例中,基板為半導體基板,其包含矽。在一些實施例中,基板202包含半導體元素,其包含結晶的矽及/或鍺;半導體化合物,其包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦;半導體合金,其包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP;或上述之組合。在另一實施例中,半導體基板可為絕緣層上半導體。
基板202包含多種摻雜區(如p型井或n型井),端視本技術領域已知的設計需求。摻雜區可摻有p型摻質如硼或BF2,及/或n型摻質如磷或砷。摻雜區可直接形成於基板202上、形成於p型井結構中、形成於n型井結構中、形成於雙型井結構中、或採用隆起結構。
基板202可包含隔離結構204,以定義並隔離基板202的多種主動區。隔離結構204可採用隔離技術如淺溝槽隔離或局部氧化矽,以定義並電性隔離多種區域。隔離結構204可包含氧化矽、氮化矽、氮氧化矽、其他合適材料、或上述之組合。
同樣如第2圖所示的一些實施例中,閘極堆疊250的形成方法可為依序沉積並圖案化閘極介電層206、閘極層 208、與硬遮罩層210於基板202上。在一例中,閘極介電層206為薄膜,其可包含氧化矽、氮化矽、氮氧化矽、高介電常數之介電物、其他合適的介電材料、或上述之組合。高介電常數之介電物可包含金屬氧化物。用於高介電常數之介電物的金屬氧化物包含鋰、鈹、鎂、鈣、鍶、鈧、釔、鋯、鉿、鋁、鑭、鈰、鐠、釹、釤、銪、釓、鋱、鏑、鈥、鉺、銩、鐿、鎦、或上述之組合的氧化物。在一實施例中,閘極介電層206為高介電常數之介電層。閘極介電層206的形成方法可採用合適製程,比如原子層沉積、化學氣相沉積、物理氣相沉積、熱氧化、紫外線-臭氧氧化、或上述之組合。閘極介電層206亦可包含界面層(未圖示)於閘極介電層206與基板202之間。界面層可包含氧化矽。
閘極層208形成於閘極介電層206上。在一些實施例中,閘極層208包含單層。在一些實施例中,閘極層208為多層。閘極層208之組成可為多晶矽。此外,閘極層208可為摻雜的多晶矽,其具有相同或不同的摻雜種類。閘極層208的形成製程可為低壓化學氣相沉積、電漿增強化學氣相沉積、其他合適的製程、或上述之組合。硬遮罩層210接著形成於閘極層208上,且圖案化的光敏層(未圖示)形成於硬遮罩層210上。光敏層的圖案轉移至硬遮罩層210,且接著轉移至閘極層208與閘極介電層206,以形成閘極堆疊250。在一些實施例中,硬遮罩層210包含氧化矽。在其他實施例中,硬遮罩層210包含氮化矽、氮氧化矽、及/或其他合適介電材料,且其形成方法可為化學氣相沉積或物理氣相沉積。硬遮罩層210可形成為分開的兩層, 一層為氮化矽墊層,且另一層為位於氮化矽墊層之頂部上的氧化矽層。之後可進行乾式及/或濕式的剝除製程以移除光敏層。
一般而言,光微影技術沉積、曝光、與顯影光阻材料,以移除部份的光阻材料。保留的光阻材料可保護其下方的材料(如此例的基板202)免於後續製程步驟(如蝕刻)影響。在此例中,可圖案化光阻材料以定義硬遮罩層210之後被蝕刻的區域,以及硬遮罩層210(及閘極層208與閘極介電層206)應被保護以免於蝕刻品影響的其他區域。
如第2圖所示,側壁間隔物212(或閘極間隔物)形成於閘極堆疊250之相反兩側上。在一些實施例中,側壁間隔物212包含單層或多層結構。在一些實施例中,毯覆性地形成間隔物材料於閘極堆疊250及基板202上,且上述方法可為沉積製程如化學氣相沉積、物理氣相沉積、原子層沉積、或其他合適技術。在一些實施例中,間隔物材料包含氧化矽、氮化矽、氮氧化矽、其他合適材料、或上述之組合。接著可在間隔物材料上進行非等向蝕刻製程,以形成側壁間隔物212。側壁間隔物212可保護閘極堆疊250的側壁。側壁間隔物212亦可用以使後續形成的摻雜區如重摻雜的源極/汲極區偏離。
如第1與3圖所示,方法100之步驟110形成凹陷228於基板202中。在一些實施例中,凹陷228為用於閘極堆疊250的源極/汲極凹陷。形成凹陷228的製程可包含蝕刻製程,比如等向乾蝕刻製程、非等向濕蝕刻製程、非等向乾蝕刻製程、或上述之組合。在一些實施例中,可提供保護材(未圖示)於基板202的其他構件(未圖示)上,以避免蝕刻製程移除或損傷基板 202與其他構件。舉例來說,保護材可為光阻圖案或其他合適遮罩。
進行蝕刻製程以移除基板202的材料,以形成與閘極堆疊250之邊緣相鄰的初始凹陷(未圖示)。在一些實施例中,蝕刻製程的組合可包含等向乾蝕刻製程與濕蝕刻製程。舉例來說,乾蝕刻製程可用以形成初始凹陷,而濕蝕刻製程可用以擴大初始凹陷以形成凹陷228。在一些實施例中,濕蝕刻製程可採用的化學品包括氫氧化四甲基銨或類似物。
依據蝕刻技術與基板的結晶方向,凹陷228可具有多種形狀。舉例來說,一些結晶方向可讓蝕刻的凹陷具有反刻面的側壁,其尖端凸起至虛置閘極堆疊或閘極間隔物下方的通道區中。在一些實施例中,凹陷228為楔形,其尖端延伸至基板202中並朝向閘極堆疊250下的通道區(未圖示)。在一些實施例中,凹陷228為碗形。在一些實施例中,凹陷為錐狀的楔形,其朝實質上平坦之下表面的側壁為錐形,如第8圖所示。在一些實施例中,凹陷具有實質上垂直的側壁(未圖示)。
本發明實施例形成源極/汲極區的製程,獨立於凹陷228的形狀之外。下述裝置與製程並不取決於凹陷的形狀。凹陷228具有深度d1,係自基板的頂部表面至凹陷228的底部之間的距離。凹陷228可具有寬度w1,係於基板202之頂部表面量測的開口寬度。位於閘極堆疊250兩側上的源極/汲極區的凹陷228,可具有相同或不同的深度d1與寬度w1。在一些實施例中,深度d1可介於約30nm至約150nm之間(比如約100nm)。在一些實施例中,寬度w1可介於約10nm至約70nm之間(比如約 30nm)。在一些實施例中,深度d1可小於約30nm或大於約150nm,端視技術與裝置設計而定。在一些實施例中,寬度w1可小於約10nm或大於約70nm,端視技術與裝置設計而定。
如第1與4圖所示,方法100之步驟115、120、與125形成源極/汲極結構234於基板202的凹陷228中。
源極/汲極結構234包含交錯的源極/汲極材料230與促進層232成長於凹陷228中。
在形成源極/汲極結構234之前,一些實施例可進行預清潔製程,其採用化學品如氫氟酸或其他合適溶液以清潔凹陷228。
在視情況進行的預清潔步驟後,可提供氣態及/或液態的前驅物以與基板202的組成產生作用力,進而開始形成填入凹陷的應力材料(如矽磷)。在一些實施例中,形成源極/汲極材料步驟可採用合適的反應氣體,溫度可於約600℃至750℃之間,且壓力可介於約10Torr至約600Torr之間。
源極/汲極結構234的形成製程可包含選擇性磊晶成長、循環沉積與蝕刻、化學氣相沉積技術(如氣相磊晶及/或超高真空化學氣相沉積)、分子束磊晶、其他合適的磊晶製程、或上述之組合。在一些實施例中,源極/汲極材料230之晶格常數與基板202的晶格常數不同,以引發應力於半導體裝置200的通道區上。可用於磊晶源極/汲極結構的材料包含矽、鍺、矽鍺、碳、碳化矽、或類似物。
在一些實施例中,可在摻質存在下成長源極/汲極材料230,以形成摻雜的源極/汲極磊晶。可行的摻質可包含 磷、砷、銻、鋰、硼、鋁、氮、鎵、銦、鍺、或類似物,端視裝置屬於n型或p型的裝置。
摻雜的源極/汲極材料230其成長速率,取決於多種因子如溫度和壓力。此外,採用摻質可大幅改變成長速率。舉例來說,未摻雜的矽在特定環境中的成長速率介於1nm/秒至10nm/秒之間,但矽磷在相同環境中的成長速率介於0.1nm/秒至1nm/秒之間(幾乎比只成長矽的速率慢約十倍)。
源極/汲極材料230可形成為厚度介於約2nm至約10nm的層狀物。此外亦可採用其他厚度,比如小於約2nm或大於約10nm。舉例來說,源極/汲極材料230的層狀物厚度,可取決於凹陷228的尺寸。用以成長源極/汲極材料230其每一層或其部份所需的時間,必需取決於其所需的厚度以及摻雜的源極/汲極材料230之成長速率。
如第1與4圖所示,在成長源極/汲極材料230的層狀物後,步驟120提供促進層232中的促進材料之層狀成長。在一些實施例中,促進層之成長環境可與源極/汲極材料230之層狀物的成長環境相同,比如相同壓力與溫度。促進層的成長方法可為改變存在於成長腔室中的蒸氣組成,而非使腔室抽真空。舉例來說,若源極/汲極材料230為矽磷且促進層為矽,則在形成矽磷層後自成長腔室扣除磷,即可成長薄層的矽於矽磷層上。同樣地,若源極/汲極材料為矽鍺硼且駔進層為矽鍺,則在形成矽鍺硼層後自成長腔室扣除硼,即可成長薄層的矽鍺於矽鍺硼層上。促進層實質上不含摻質以保留空洞。將摻質再導入成長腔室時,上述空洞可用於摻質鍵結。
在一些實施例中,當源極/汲極材料為摻雜的矽、矽鍺、或鍺時,促進層232可包含其他材料(比如包含碳或碳化矽)。任何合適材料均可作為促進層232,只要能提供摻雜的源極/汲極材料230之摻質鍵結所用的空洞。在這些實施例中,促進層在其他環境條件下成長於相同或不同的成長腔室中,以形成合適的促進層組成。舉例來說,對碳的促進層而言,可自腔室扣除用於源極/汲極材料的蒸氣與用於摻質的蒸氣,並將蒸氣態的碳導入腔室中以形成磊晶於源極/汲極材料230上。
在一些實施例中,促進層232與源極/汲極材料230可具有晶格不匹配。舉例來說,當促進層232為碳為主的材料,且源極/汲極材料230為矽為主的材料時,兩者的結晶結構可彼此不同。
在一些實施例中,促進層232的厚度可介於約1nm至約5nm之間。此外亦可採用其他厚度如小於約1nm或大於約5nm。用以成長每一促進層232的時間,必需取決於成長速率與促進層所需的厚度。舉例來說,厚度介於約1nm與5nm之間的矽之促進層,其成長時間可介於約0.1秒至約5秒之間,端視成長腔室的壓力與溫度而定。
在一些實施例中,比如第4圖中左側的凹陷228,每次形成的促進層232均可形成於整個源極/汲極結構上,接著可形成源極/汲極材料230的層狀物於所有的促進層232上。在其他實施例中,比如第4圖中右側的凹陷228,可抑制促進層232成長於已形成的源極/汲極材料230之頂部上。舉例來說,存在於成長腔室中的蝕刻氣體可造成上述抑制現象。在成長促進層 232後,自已形成的源極/汲極材料230之頂部上蝕刻移除大部份或所有的促進層232,並保留促進層232於凹陷228中,亦可造成上述抑制現象。
如第1與4圖所示,若源極/汲極結構234未填滿凹陷228,則方法的步驟125持續交錯成長源極/汲極材料230與促進層232,直到完全形成源極/汲極結構234。第4圖顯示源極/汲極結構234其部份形成於製程中。在一些實施例中,促進層232可持續地週期性成長於源極/汲極結構234的整個形成製程中。在一些實施例中,促進層232僅週期性地成長於源極/汲極結構234的部份形成製程中。舉例來說,一定數目的促進層可用以部份地形成源極/汲極結構234,且部份地填入凹陷228中。接著,其餘的源極/汲極結構234可為摻雜的源極/汲極材料230,其填滿剩餘的凹陷229。在另一例中,一開始可磊晶成長摻雜的源極/汲極材料230以部份地形成源極/汲極結構234,其部份地填入凹陷238。接著可在源極/汲極結構234之形成製程末端,週期性地形成一定數目的促進層232,且含有促進層232的源極/汲極結構234填入剩餘的凹陷228。上述實施例可成長一定數目的促進層232,比如約1至20層或更多的促進層232。
如第5圖所示之製程,填滿凹陷228時即形成源極/汲極結構234。應注意的是,源極/汲極結構234的上表面可自凹陷凸起,如第5圖所示。在前述內容中,在進行磊晶成長時,腔室中的蝕刻氣體可抑制或控制源極/汲極材料230及/或促進層232的成長。源極/汲極材料230與促進層232的形狀與輪廓,取決於蝕刻氣體對源極/汲極材料230與促進層232的影響。舉 例來說,左側的源極/汲極結構234顯示在已形成的源極/汲極材料230上的促進層232其成長受到較少抑制,而右側的源極/汲極結構顯示在已形成的源極/汲極材料230上的促進層232其成長受到較多抑制。
如第6圖所示的一些實施例中,蓋層236可形成於源極/汲極結構234上,以形成被覆蓋的源極/汲極結構238。蓋層236可作為保護層,以避免後續製程過蝕刻其下方的源極/汲極結構234。在一些實施例中,蓋層236的厚度介於約1nm至約20nm之間。蓋層236可自與源極/汲極材料230相同的材料形成,以成長超出源極/汲極結構234的凹陷228。蓋層236的形成方法可與源極/汲極材料230或促進層232的磊晶成長相同或不同。其他合適的磊晶成長方法如前述,在此不重複。被覆蓋的源極/汲極結構238之上表面可高於基板202的上表面。在一些實施例中,被覆蓋的源極/汲極結構238的上表面比基板202的表面高,且高度差h1介於約0nm至約20nm之間。在一些實施例中,蓋層236可延伸至隔離結構204上。在一些實施例中,蓋層可造成凹陷228上的刻面形狀。
在一些實施例中,蓋層236可沿著每一源極/汲極材料230的層狀物遞增地形成。舉例來說,可形成具有被覆蓋部份之源極/汲極材料230的層狀物,接著形成促進層232,接著形成另一具有被覆蓋部份之源極/汲極材料230的層狀物等等,比如第5圖中左側的源極/汲極結構234。由於促進層232相對較薄,在活化時可擴散其餘未鍵結的磷。如此一來,活化後的促進層232具有整合至源極/汲極材料230中的部份,以及仍 與源極/汲極材料230分開的其他部份。活化後的部份促進層232其結晶結構,不同於活化後的源極/汲極材料其結晶結構。促進層232之其他部份在活化時可再結晶,因此這些其他部份在活化後可整合至源極/汲極材料230中。
如第7圖所示,圖表710顯示鍵結的磷濃度(每一立方公分中的原子數目)對應源極/汲極區中的深度之線段。線段720指的是習知方法形成的源極/汲極區,其未採用促進層232。如圖表710所示,線段720之鍵結的磷濃度相對穩定,但深度增加後之鍵結的磷濃度停止增加。線段722指的是上述實施例形成的源極/汲極區中,鍵結的磷濃度(每一立方公分中的原子數目)對應源極/汲極區中的深度之線段。在此例中,源極/汲極材料選用摻雜磷的矽,而促進層為矽。波峰值724顯示在促進層232處,源極/汲極區中鍵結的磷濃度增加。由於此例中的促進層提供用於磷的許多鍵結機會,促進層中鍵結的磷濃度提升。如波谷值726所示,隨著摻雜的源極/汲極材料230之深度增加,鍵結的磷濃度將降低,直到另一促進層。波谷值726與線段720於一定深度後的濃度相同。如此一來,在形成源極/汲極區時採用促進層,可整體地提升鍵結的摻質濃度。
摻質濃度其交錯的波峰值724與波谷值726,指的是摻質濃度隨著源極/汲極區的深度增加而逐漸改變。
第8至10圖係其他實施例中,採用成層方法以形成符合這些實施例的結構。
如第8圖所示,半導體裝置200具有凹陷228,其形狀不同於前述圖式中的形狀。這表示源極/汲極結構234可形成 於任何形狀的凹陷中。
同樣如第8圖所示,可採用不同於前述的方法,交錯地成長源極/汲極材料230與促進層232。上述磊晶的材料自凹陷228的底部向上成長,而不依照凹陷的輪廓成長。在一些實施例中,在成長源極/汲極結構234時,蝕刻品氣體如氬氣可存在於成長腔室中,以清除成長於凹陷228之側壁上的源極/汲極結構。舉例來說,若蝕刻氣體的濃度較高,則或抑制或移除較多成長的源極/汲極結構。在一些實施例中,可增加或降低蝕刻氣體的濃度,即控制源極/汲極結構234的成長以達所需結構。
如第9圖所示,半導體裝置200具有三個被覆蓋的源極/汲極結構238,以及兩個閘極堆疊250/251。每一被覆蓋的源極/汲極結構238之形成方法,可採用任何上述技術,比如在在摻雜的源極/汲極材料230中形成促進層232。本技術領域中具有通常知識者應理解,可依據任何設計需求採用這些技術以新增額外的閘極結構與源極/汲極結構。
如第10圖所示,半導體裝置200具有四個源極/汲極區,比如兩個n型之被覆蓋的源極/汲極結構238與兩個p型之被覆蓋的源極/汲極結構288。閘極堆疊250/251可形成如上述。被覆蓋的源極/汲極結構238與288的形成方法可採用任何上述技術,比如在源極/汲極材料230的磊晶中形成促進層232。舉例來說,在被覆蓋的源極/汲極結構238中,促進層可包含矽,而源極/汲極材料可包含摻雜磷或另一n型摻質的矽。舉例來說,在被覆蓋的源極/汲極結構288中,促進層可包含矽鍺,而源極 /汲極材料可包含摻雜硼或另一p型摻質的矽鍺。其他材料亦可用於上述層狀物,如前所述。在一些實施例中,閘極堆疊251可與p型電晶體如p型金氧半裝置相關,而閘極堆疊250可與n型電晶體如n型金氧半裝置相關。半導體裝置200可用於互補式金氧半裝置。在這些實施例中,在形成被覆蓋的源極/汲極結構238之磊晶成長與成層步驟中,遮罩層(未圖示)可形成於被覆蓋的源極/汲極區288上以提供保護,並避免不需要的材料成長或形成其中。同樣地,在形成被覆蓋的源極/汲極結構288之磊晶成長與成層步驟中,遮罩層(未圖示)可形成於被覆蓋的源極/汲極區238上以提供保護,並避免不需要的材料成長或形成其中。
上述實施例可提供結構或裝置如n型或p型電晶體之源極/汲極區中的摻質增加。上述裝置可用於多種應用如數位電路、影像感測裝置、異質半導體裝置、動態隨機存取記憶體、單電子電晶體、及/或其他微電子裝置(此處統稱為微電子裝置)。當然,本發明實施例亦可用於及/或明顯適於其他種類的電晶體,比如單閘電晶體、雙閘電晶體、或其他多閘電晶體,且可用於多種不同應用如太陽能電池、記憶單元、邏輯單元、或其他應用。
在一實施例中,半導體裝置包括基板,其具有凹陷形成其中。摻雜的磊晶形成於凹陷中。摻雜的磊晶包括:多個第一材料層;以及一或多個促進層,包括第二材料,且每一促進層位於兩個第一材料層之間。摻雜的磊晶中的摻質濃度自一層狀物至另一層狀物逐漸改變。
在一些實施例中,上述裝置之第一材料為n型摻質與矽,且第二材料為矽、矽碳、或碳。
在一些實施例中,上述裝置之第一材料為p型摻質與矽鍺,且第二材料為矽鍺、矽鍺碳、或碳。
在一些實施例中,上述裝置更包括閘極堆疊形成於電晶體的通道區上;以及源極/汲極結構位於閘極堆疊的兩側上,其中源極/汲極結構包括摻雜的磊晶。
在一些實施例中,上述裝置更包括蓋層形成於摻雜的磊晶上,且蓋層包括第一材料。
在一些實施例中,上述裝置之蓋層的上表面比基板的上表面高出約0nm至約20nm之間。
在一些實施例中,上述裝置中的每一第一材料層具有第一結晶結構,其中部份的促進層具有第二結晶結構,且第一結晶結構與第二結晶結構不同。
在一些實施例中,上述裝置之促進層的厚度介於約1nm至約5nm之間,且促進層之間的第一材料的厚度介於約2nm至約10nm之間。
另一實施例之方法包括形成凹陷於基板中,且凹陷對應源極/汲極結構。成長第一摻雜的磊晶層於凹陷中,且第一摻雜的磊晶層包括第一材料。成長促進層於第一摻雜的磊晶層上。促進層包含第二材料,且第一材料與第二材料不同。成長第二摻雜的磊晶層於促進層上,且第二摻雜的磊晶層包括第一材料。
在一些實施例中,上述方法之第一摻雜的磊晶層 具有第一結晶結構,促進層具有第二結晶結構,且第一結晶結構與第二結晶結構不同。
在一些實施例中,上述方法之凹陷為第一凹陷,且上述方法更包括形成第二凹陷於基板中;以及形成閘極堆疊於第一凹陷與第二凹陷之間。
在一些實施例中,上述方法之第二摻雜的磊晶層自凹陷凸起約0nm至約20nm之間。
在一些實施例中,上述方法之促進層包括矽、矽鍺、碳、或上述之組合的磊晶。
在一些實施例中,上述方法成長的層狀物至另一層狀物具有逐漸改變的摻雜濃度。
另一實施例之方法包括蝕刻凹陷於半導體基板中。成長促進層於凹陷中,其中促進層實質上不含摻質。成長摻雜的源極/汲極材料的層狀物於凹陷中的促進層上,且摻雜的源極/汲極材料包括摻質。在成長摻雜的源極/汲極材料的層狀物時,摻質與促進層鍵結。
在一些實施例中,上述方法更包括重複成長促進層與成長摻雜的源極/汲極材料之步驟,直到填滿凹陷。
在一些實施例中,上述方法更包括成長蓋層磊晶於摻雜的源極/汲極材料上。
在一些實施例中,上述方法之蓋層磊晶成長的高度比基板高約0nm至約20nm之間。
在一些實施例中,上述方法之促進層包括矽、矽鍺、碳、或上述之組合。
在一些實施例中,上述方法之摻雜的源極/汲極材料的層狀物具有第一結晶結構,促進層具有第二結晶結構,且第一結晶結構與第二結晶結構不同。
本發明已以數個實施例揭露如上,以利本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者可採用本發明為基礎,設計或調整其他製程與結構,用以實施實施例的相同目的,及/或達到實施例的相同優點。本技術領域中具有通常知識者應理解上述等效置換並未偏離本發明之精神與範疇,並可在未偏離本發明之精神與範疇下進行這些不同的改變、置換、與調整。

Claims (1)

  1. 一種半導體裝置,包括:一基板,具有一凹陷形成其中;以及一摻雜的磊晶形成於該凹陷中,且該摻雜的磊晶包括:多個第一材料層;以及一或多個促進層,包括一第二材料,且每一該或該些促進層位於兩個該些第一材料層之間;其中該摻雜的磊晶中的摻質濃度自一層狀物至另一層狀物逐漸改變。
TW106116077A 2016-11-29 2017-05-16 半導體裝置 TW201820631A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427752P 2016-11-29 2016-11-29
US62/427,752 2016-11-29
US15/418,023 US10490661B2 (en) 2016-11-29 2017-01-27 Dopant concentration boost in epitaxially formed material
US15/418,023 2017-01-27

Publications (1)

Publication Number Publication Date
TW201820631A true TW201820631A (zh) 2018-06-01

Family

ID=62190990

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106116077A TW201820631A (zh) 2016-11-29 2017-05-16 半導體裝置

Country Status (3)

Country Link
US (3) US10490661B2 (zh)
CN (1) CN108122978A (zh)
TW (1) TW201820631A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI749697B (zh) * 2019-11-05 2021-12-11 南亞科技股份有限公司 半導體元件及其製造方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10490661B2 (en) 2016-11-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dopant concentration boost in epitaxially formed material
KR102385567B1 (ko) * 2017-08-29 2022-04-12 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조 방법
CN109698130A (zh) * 2018-12-24 2019-04-30 上海华力集成电路制造有限公司 锗硅源漏极的制备方法
CN112447593B (zh) * 2019-08-30 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR20210026825A (ko) 2019-09-02 2021-03-10 삼성전자주식회사 안티몬 도핑층을 가진 소스/드레인 영역을 포함하는 반도체 소자
CN113611736B (zh) * 2020-05-29 2022-11-22 联芯集成电路制造(厦门)有限公司 半导体元件及其制作方法
US11508621B2 (en) * 2020-08-21 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11688804B2 (en) * 2020-08-28 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with ring-shaped doped region and manufacturing method thereof
KR20220030374A (ko) 2020-08-28 2022-03-11 삼성전자주식회사 반도체 장치
CN113130323B (zh) * 2021-03-29 2024-01-19 上海华力集成电路制造有限公司 嵌入式SiP外延层的制造方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8017487B2 (en) * 2006-04-05 2011-09-13 Globalfoundries Singapore Pte. Ltd. Method to control source/drain stressor profiles for stress engineering
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8362575B2 (en) 2009-09-29 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Controlling the shape of source/drain regions in FinFETs
US8610240B2 (en) 2009-10-16 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit with multi recessed shallow trench isolation
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8796759B2 (en) 2010-07-15 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US20130010914A1 (en) * 2011-07-08 2013-01-10 Battelle Energy Alliance, Llc Composite materials, bodies and nuclear fuels including metal oxide and silicon carbide and methods of forming same
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9064892B2 (en) * 2011-08-30 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices utilizing partially doped stressor film portions and methods for forming the same
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
US8723236B2 (en) 2011-10-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
KR20130045716A (ko) * 2011-10-26 2013-05-06 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8680576B2 (en) 2012-05-16 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device and method of forming the same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8809139B2 (en) 2012-11-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-last FinFET and methods of forming same
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9276113B2 (en) * 2014-03-10 2016-03-01 International Business Corporation Structure and method to make strained FinFET with improved junction capacitance and low leakage
KR102216511B1 (ko) * 2014-07-22 2021-02-18 삼성전자주식회사 반도체 소자
US10490661B2 (en) 2016-11-29 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Dopant concentration boost in epitaxially formed material

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI749697B (zh) * 2019-11-05 2021-12-11 南亞科技股份有限公司 半導體元件及其製造方法
US11309387B2 (en) 2019-11-05 2022-04-19 Nanya Technology Corporation Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
US11721760B2 (en) 2023-08-08
US20180151730A1 (en) 2018-05-31
US20240014321A1 (en) 2024-01-11
US10490661B2 (en) 2019-11-26
US20200091343A1 (en) 2020-03-19
CN108122978A (zh) 2018-06-05

Similar Documents

Publication Publication Date Title
TW201820631A (zh) 半導體裝置
US11227956B2 (en) Nanosheet field-effect transistor device and method of forming
TWI828806B (zh) 半導體裝置與其形成方法
CN108122775B (zh) Fet和形成fet的方法
KR102030724B1 (ko) 반도체 디바이스 및 방법
US12027625B2 (en) Semiconductor device having fins and method of fabricating the same
US20230253483A1 (en) Semiconductor device structure with metal gate stack
US11309424B2 (en) Semiconductor device and manufacturing method thereof
US10388754B2 (en) Unmerged epitaxial process for FinFET devices with aggressive fin pitch scaling
US11923440B2 (en) Semiconductor devices and methods of manufacturing thereof
US20220359695A1 (en) Semiconductor device structure with metal gate stack
US20230155035A1 (en) Structure and formation method of semiconductor device with epitaxial structures
US11201225B2 (en) Structure and formation method of semiconductor device with stressor
US11631770B2 (en) Structure and formation method of semiconductor device with stressor
US20170222049A1 (en) Vertical transistor and the fabrication method
US11855167B2 (en) Structure and formation method of semiconductor device with nanosheet structure
US20230307523A1 (en) Structure and formation method of semiconductor device with gate stack
US11948843B2 (en) Method for forming hardmask formation by hybrid materials in semiconductor device
US20230016605A1 (en) Semiconductor devices and methods of manufacturing thereof
US11757018B2 (en) Formation method of semiconductor device with gate all around structure
US20220359763A1 (en) Structure and formation method of semiconductor device with embedded epitaxial structure
US20230268409A1 (en) Structure and formation method of semiconductor device with metal gate
US20240128364A1 (en) Semiconductor device and formation method thereof