TW201717253A - 用於大體積原子層沉積反應器中之邊緣均勻性調變的組成物匹配簾幕氣體混合物 - Google Patents

用於大體積原子層沉積反應器中之邊緣均勻性調變的組成物匹配簾幕氣體混合物 Download PDF

Info

Publication number
TW201717253A
TW201717253A TW105126033A TW105126033A TW201717253A TW 201717253 A TW201717253 A TW 201717253A TW 105126033 A TW105126033 A TW 105126033A TW 105126033 A TW105126033 A TW 105126033A TW 201717253 A TW201717253 A TW 201717253A
Authority
TW
Taiwan
Prior art keywords
plasma
station
processing
gas
processing chamber
Prior art date
Application number
TW105126033A
Other languages
English (en)
Other versions
TWI714619B (zh
Inventor
法蘭克 L 帕斯果
克洛伊 巴爾達塞羅尼
愛德華 奧古斯丁尼亞克
崎山幸紀
珊卡 史旺明內森
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201717253A publication Critical patent/TW201717253A/zh
Application granted granted Critical
Publication of TWI714619B publication Critical patent/TWI714619B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本揭露內容關於實施膜沉積之方法。方法可包含:藉由使簾幕氣體流動於第一處理站與第二處理站之間,容積地隔離它們;及點燃由第一及第二電漿進料氣體所維持之第一電漿及第二電漿,同時使簾幕氣體流動,以造成在第一及第二處理站之膜沉積。簾幕氣體及第一及第二電漿進料氣體每一者可包含高崩潰電壓物種,高崩潰電壓物種可為氧分子。高崩潰電壓物種在3.4 Torr-cm之壓力–距離(pd)值下可具有至少約250 V之崩潰電壓。簾幕氣體之高崩潰電壓物種之濃度可高於第一及第二電漿進料氣體。高崩潰電壓物種可構成約5-50%莫耳分率之簾幕氣體。高崩潰電壓物種可為氧分子。

Description

用於大體積原子層沉積反應器中之邊緣均勻性調變的組成物匹配簾幕氣體混合物
本發明係關於用於大體積原子層沉積反應器中之邊緣均勻性調變之組成物匹配簾幕氣體混合物。
隨著半導體產業中之積體電路(IC)元件及基板特徵部尺寸持續微縮,以及亦隨著3D元件結構(例如,Intel之三閘電晶體架構)在IC設計中變得更盛行,沉積薄的保形膜(相對下方結構之形狀而具有均勻厚度之材料膜,即使下方結構並非平面)之能力將持續地增加重要性。原子層沉積(ALD)為一種適合沉積保形膜之膜形成技術,因為ALD之單一循環僅沉積單一材料薄層–因為ALD處理涉及,在前驅物之膜形成表面反應之前之一或更多膜前驅物反應物之吸附受限層之形成。之後可使用多個「ALD循環」以建立所期望厚度之膜,且因為每一層為薄且保形的,所以產生的膜實質上順應下方基板特徵部及∕或元件結構之形狀。
然而,在半導體製造中使用ALD處理具有許多挑戰,往往是因為需要許多的ALD循環以建立足夠厚度之膜。藉由特製化半導體處理硬體,可促進快速的循環時間,然而,若沒有小心地設計這些沉積設備以及在其中實施之膜形成操作,則可能影響產生的ALD膜之均勻性。因此,吾人尋求方法及設備,以在藉由ALD及相關處理而沉積膜時,改善膜之均勻性。
本揭露內容關於在多站半導體基板處理腔室中實施電漿活化(plasma-activated)膜沉積之方法。方法可包含:藉由使簾幕氣體流動於第一處理站與第二處理站之間,容積地隔離它們;點燃由第一電漿進料氣體所維持之第一電漿,同時使簾幕氣體流動,以造成在第一處理站之膜沉積;及點燃由第二電漿進料氣體所維持之第二電漿,同時使簾幕氣體流動,以造成在第二處理站之膜沉積。簾幕氣體及第一電漿進料氣體及第二電漿進料氣體每一者可包含高崩潰電壓物種。高崩潰電壓物種在3.4 Torr-cm之壓力–距離(pd)值下可具有至少約250 V之崩潰電壓。簾幕氣體之高崩潰電壓物種之濃度可高於電漿進料氣體。第一電漿進料氣體及第二電漿進料氣體可具有實質上相同的化學組成。高崩潰電壓物種可構成約5-50%莫耳分率之簾幕氣體。高崩潰電壓物種可為氧分子。
本揭露內容亦關於用於實施電漿活化膜沉積之設備。設備可包含處理腔室、在處理腔室內之第一處理站、在處理腔室內之第二處理站、第一枝形吊燈型噴淋頭、圍繞著第一枝形吊燈型噴淋頭之柄部之第一噴淋頭套管、第二枝形吊燈型噴淋頭、圍繞著第二枝形吊燈型噴淋頭之柄部之第二噴淋頭套管、及控制器。
第一及第二枝形吊燈型噴淋頭每一者可包含頭部、並且亦可包含柄部,在頭部之底表面中具有用於使電漿進料氣體流至處理腔室中之複數孔洞。第一及第二噴淋頭套管可具有用於使簾幕氣體流至處理腔室中之複數孔洞。簾幕氣體可實質上平行於基板之平面而流至處理腔室中。
膜沉積設備亦可包含一或更多電漿進料氣體流動閥、一或更多簾幕氣體流動閥、一或更多膜前驅物流動閥、用於從處理腔室移除電漿進料氣體、簾幕氣體及膜前驅物之閥動真空來源、及用於在處理腔室中之第一處理站產生第一電漿及用於在處理腔室中之第二處理站產生第二電漿之電漿產生器。
膜沉積設備之上述控制器可包含機器可讀指令,機器可讀指令係用於:操作簾幕氣體流動閥,以使簾幕氣體流動於第一與第二處理站之間,以便容積地隔離第一處理站與第二處理站;操作電漿進料氣體流動閥,以使第一電漿進料氣體流動在第一處理站之附近;操作電漿進料氣體流動閥,以使第二電漿進料氣體流動在第二處理站之附近;操作膜前驅物流動閥,以使第一膜前驅物流動在第一處理站之附近;操作膜前驅物流動閥,以使第二膜前驅物流動在第二處理站之附近;操作電漿產生器,以點燃由第一電漿進料氣體所維持之第一電漿,同時使簾幕氣體流動,以造成第一膜前驅物之反應以及在第一處理站之沉積;及操作電漿產生器,以點燃由第二電漿進料氣體所維持之第二電漿,同時使簾幕氣體流動,以造成第二膜前驅物之反應以及在第二處理站之沉積。
在以下敘述中,提出數個具體細節以提供對本發明之徹底了解。然而,本發明可在缺少這些具體細節之一部份或所有之情況下實施。在其它情況下,已為人所熟知的處理操作將不再詳述,以免不必要地使本發明失焦。雖然本發明將結合具體實施例進行說明,但應當了解,這些具體實施例並非意圖限制本文中所揭露之發明概念之範圍。
本文中之揭露內容是關於用於在多站半導體基板處理腔室中實施電漿活化膜沉積之方法與設備。在某些實施例中,電漿活化膜沉積處理可構成實施多次以沉積具有期望厚度之材料膜之循環ALD處理。如上所述,每一「ALD循環」僅沉積材料薄層(通常厚度僅為一分子層)。
如下文所詳述,用於在處理腔室中將單一材料層沉積在基板上之基本ALD循環可包含:(i) 使膜前驅物吸附在基板上,俾使其形成一吸附受限層,(ii) 從支托著基板之處理站附近,移除(至少若干,當存在時)未吸附的膜前驅物,以及 (iii) 在移除未吸附的膜前驅物之後,使已吸附的膜前驅物進行反應–例如,藉由點燃電漿於該處理站附近–以在基板上形成一膜層。(當使用在本文中時,「未吸附的」膜前驅物係定義為包含脫附的膜前驅物。)通常,ALD循環更涉及操作 (iv),在已吸附的膜前驅物之反應之後,從支托著已受沉積之基板之處理站附近,移除脫附的膜前驅物及∕或膜前驅物反應副產物。藉由將基板之附近吹淨(purging)、藉由泵抽至基礎壓力(pump-to-base)而排空等,可達成在操作 (ii) 及 (iv) 中之移除。
用於在操作 (iii) 中活化表面反應之電漿通常由電漿進料氣體所維持,而電漿進料氣體,例如,可經由一或更多噴淋頭(其進一步詳述於下)而流至反應腔室中。在一些實施例中,電漿進料氣體可用於吹淨腔室,以實行在操作 (ii) 及 (iv) 中之移除。膜沉積設備之概要
在半導體基板上沉積膜之操作大致上可在圖1所示之基板處理設備中實施。圖1之設備100(其將進一步詳述於下)具有單一處理腔室102,處理腔室102之內部容積中具有單一基板支座108,內部容積可藉由真空泵118而維持於真空下。亦流體連接至腔室以輸送(例如)膜前驅物、載氣及∕或吹淨氣體及∕或處理氣體、輔助反應物等的是氣體輸送系統101及噴淋頭106。用於在處理腔室內產生電漿之裝置亦顯示在圖1中,並且將進一步詳述於下。總而言之,如下文所詳述,概要地繪示於圖1中之設備提供用於在半導體基板上實施膜沉積操作(例如ALD)之基本裝置。
雖然在一些情況下,如圖1之基板處理設備可能是足夠的,但當涉及耗時的膜沉積操作時,藉由同時在複數半導體基板上平行地實施多個沉積操作而提高基板處理產出量是有優勢的。為此目的,可使用多站基板處理設備,像是概要地繪示於圖2中者。圖2之基板處理設備200仍採用單一基板處理腔室214,然而,多個基板處理站位於由處理腔室壁所界定之單一內部容積中,每一基板處理站可用於在支托於該處理站之晶圓支座上之基板上實施處理操作。在此具體實施例中,多站基板處理設備200係顯示為具有四個處理站201、202、203、及204。該設備亦採用基板裝載裝置(在此例中為基板搬運機械臂226)、以及基板運送裝置(在此例中為基板旋轉料架290),基板裝載裝置用以將基板裝載於處理站201及202,基板運送裝置用以在不同的處理站201、202、203、及204之間運送基板。其它類似的多站基板處理設備可具有更多或更少的處理站(視實施例而定)、以及,例如,平行晶圓處理之期望等級、尺寸∕空間的限制、成本的限制等。亦顯示在圖2(其將進一步詳述如下)中的是控制器250,其亦幫助達成實施有效的基板沉積操作,例如,在原子層沉積(ALD)操作中。
應當注意,就裝置成本及操作花費兩者而言,透過使用如圖2中所示之多站處理設備,可達成不同的效果。例如,單一真空泵(未顯示於圖2中,但例如圖1中之118)可用於為全部4個處理站建立單一高真空環境,並且可用於將用過的處理氣體排空等,在全部4個處理站中。視實施例而定,每一處理站通常具有其本身專屬的噴淋頭(參見,例如,圖1中之106) 以用於氣體輸送,但是共用相同的氣體輸送系統(例如,在圖1中之101)。同樣地,電漿產生器裝置之某些元件(例如功率供應器)可在複數處理站中共用,但視實施例而定,某些態樣可能為處理站專用的(例如,若將噴淋頭使用於施加電漿產生電位–參見以下關於圖1之討論)。然而,應當再次了解,藉由在每一處理腔室中使用更多或更少數量之處理站(例如每一處理腔室具有2、3、5、6、7、8、9、10、11、12、13、14、15、或16、或更多的處理站),亦可更大或更小程度地達成這樣的效果。
關於具有多個處理站之基板處理腔室之另一優點為,使用較高功率電漿(相較於通常用於單一處理站之腔室)。此係由於多站腔室通常在容積上大於單站腔室,較大的腔室容積容許較大的電壓用於電漿產生而不對腔室壁造成電弧;此意味著,可安全地使用較大的電漿功率,通常導致相應的較高密度沉積介電質膜,並且具有可能較廣的應用範圍。用於容積地隔離處理站及降低衍生腔室壁膜沉積之簾幕氣體之實行例及應用
雖然使用具有多個處理站之較大處理腔室可提供上述優勢,但採用較小的單站處理腔室通常具有某些優點。優點之一為腔室容積之快速循環–能夠快速地引入及移除反應物、副產物等。此在ALD處理中可能是特別重要的,因為在ALD處理中需要許多沉積循環以便沉積足夠厚度之膜,因此耗時的循環腔室容積可能相當重要。
因此,期望能將和較大容積多處理站腔室有關之高電漿功率(及其它優勢)與和較小單一處理站腔室有關之快速容積–循環時間結合。達成此之一方式為,在大的多處理腔室內「模擬」較小腔室容積,其係藉由使簾幕氣體(curtain gas)流動於不同的處理站之間及因而在膜沉積操作期間內容積地(volumetrically)隔離不同的處理站而達成。
例如,在一系列的ALD循環期間內,這樣的「簾幕氣體」可流動於該等處理站之間,以防止反應物、電漿進料氣體等之混合,同時不妨礙(至少不到無法實行之程度)反應性膜沉積處理在每一處理站發生。雖然此可為了反應物流動及副產物吹淨之目的而「模擬」較小容積,但是較大腔室容積有關於高電漿功率及某些構件成本之優點仍然不變。而且,在上述優勢之外,藉由簾幕氣體流動之處理站之容積隔離,可使得構成ALD循環之一連串操作能夠在複數處理站之間錯開。與這樣錯開的ALD循環有關的各種優勢係詳細地描述於美國專利申請案第14/133,246號(申請日為2013年12月18日,發明名稱為SEQUENTIAL PRECURSOR DOSING IN AN ALD MULTI-STATION/BATCH REACTOR),其全部內容係藉由參照而納入本文中。
然而,應當注意,為了達成上述優勢,藉由簾幕氣體流動而將不同的處理站彼此完全地容積隔離並非必要的。通常,吾人會認為此並非事實。因此,在本揭露內容之上下文中,藉由簾幕氣體流動而將一處理站與另一者「容積地隔離」應解釋為,在處理站之間之簾幕氣體流動之作用為減少在處理站之間之氣體混合,若不使用這樣的簾幕氣體,則在處理站之間之氣體混合會發生。相較之下,若每一處理站位於其自己單獨的處理腔室,則存在「完整的」或「完全的」容積隔離;利用簾幕氣體之容積隔離並未暗示或需要這樣的完全的∕完整的分離∕隔離。
在基於電漿之ALD操作中,簾幕氣體可視為與電漿進料氣體有所不同,後者係用於維持在ALD操作 (iii) (參見上文)中點燃之電漿,以活化造成膜沉積之反應。應當注意,在一些實施例中,電漿進料氣體亦使用做為吹淨氣體以,若適合的話,從不同處理站之附近移除未吸附的膜前驅物(反應物)。因此,雖然簾幕氣體可(且通常是)在所有ALD循環操作期間(例如,上述之操作 (i) – (iv))內連續地流至處理站中,但電漿進料氣體通常僅在電漿活化(及吹淨操作,若其亦使用做為吹淨氣體)期間內流至處理腔室–及,更具體而言,流至處理站–當實施於特定處理站時。
應當注意,視實施例而定,在處理腔室中之簾幕氣體之流率可能不同於在處理腔室中之電漿進料氣體之流率。在一些實施例中,電漿進料氣體流至處理腔室中之每一站之速率可為每站約5至50標準公升∕分鐘(SLM)、或更具體而言每站約10至35 SLM、或又更具體而言每站約15至20 SLM。在一些實施例中,簾幕氣體流至處理腔室中之速率可為每站約3至60標準公升∕分鐘(SLM)、或更具體而言每站約10至50 SLM、或又更具體而言每站約15至40 SLM、或再更具體而言每站約20至30 SLM。這樣的簾幕氣體流率減少(及∕或防止)反應物及電漿進料氣體從處理站附近逆擴散至處理腔室之遠端區域(例如,噴淋頭背側)。
在一些實施例中,多站膜沉積設備可採用枝形吊燈型(chandelier-type)噴淋頭,每一處理站結合著一者。這樣的枝形吊燈型噴淋頭通常可包含頭部及柄部,頭部之底表面具有孔洞以用於使膜前驅物(例如,用於在上述ALD操作 (i) 中之基板表面吸附)、電漿進料氣體(例如,用於在上述ALD操作 (iii) 中之電漿活化)、及也許不同的吹淨氣體流至處理腔室中之每一處理站附近。噴淋頭之柄部係存在以將頭部支撐∕吊掛於在處理腔室內之每一處理站之上,並且亦提供流體路徑∕連接以用於使膜前驅物(及∕或其它反應物)、電漿進料氣體等流至在頭部中之孔洞。一般而言,枝形吊燈型噴淋頭之設計使膜前驅物流動能夠具有良好的空間均勻分佈(相對於基板表面),並且僅僅利用幾個噴嘴做為流動之點來源就有改善(相較於其它方法所能達成)。
此外,這樣的噴淋頭亦可在每一處理站之用於活化膜形成沉積反應(例如,在上述ALD操作 (iii) 中)之電漿之產生(及維持)中扮演角色。具體而言,在施加合適的電位後,每一枝形吊燈型噴淋頭可做為用於電漿產生之兩電極其中一者,另一電極為基板支座(例如,基座),電位施加於其間。枝形吊燈型設計容許將噴淋頭放置為接近基板表面,因此容許有效電漿產生於非常接近基板並且在接近基板處提供膜前驅物(反應物)之空間上均勻的分佈。亦應當注意,以此方法(藉由枝形吊燈型噴淋頭)之電漿產生可在電漿與接地腔室壁之間提供較大的空間隔離,其容許使用較高功率電漿(相對於使用安裝為與腔室頂壁齊平之噴淋頭,例如)。此外,如上所述,若電漿進料氣體亦使用做為吹淨氣體,則其引入在基板附近容許有效且有效率地吹淨未吸附的膜前驅物及∕或反應物副產物(例如,在上述ALD操作 (ii) 及 (iv) 中)。
因為使用於不同目的,所以電漿進料氣體及簾幕氣體一般具有不同的進入點以進入處理腔室中。雖然電漿進料氣體經由在噴淋頭之頭部之底表面中之孔洞而進入腔室(如剛才所述),但簾幕氣體之角色在於提供不同處理站之容積隔離(並且可能提供其它優勢),可將簾幕氣體從適合其角色之進入點引入處理腔室中。例如,對於採用處理站專用的枝形吊燈型噴淋頭之實施例而言,簾幕氣體可由枝形吊燈型噴淋頭其中每一者之頭部後方而釋放至處理腔室中;具體而言,在一些實施例中,噴淋頭套管(showerhead collar)圍繞著噴淋頭之柄部,而簾幕氣體係經由在噴淋頭套管中之孔洞而釋放至處理腔室中。再者,在某些這樣的實施例中,簾幕氣體流出這些孔洞時可在實質平行於基板之平面及∕或頭部之底表面之方向,且因此,通常最初在垂直於從噴淋頭之頭部之底表面所散發之流動之方向。簾幕氣體之此流動可橫向地持續,直到簾幕氣體到達噴淋頭之背側末端(噴淋頭之頭部之頂表面),簾幕氣體流動可能在噴淋頭之背側末端轉向朝下,此時平行於來自於噴淋頭之頭部之電漿進料及∕或吹淨氣體之流動。
如所述,在多站處理腔室中,簾幕氣體之流動型態可用於提供在處理站之間之容積分離;然而,甚至在單一處理站實施例之情況中,在建立來自噴淋頭之頭部後方之簾幕氣體流動時,可能亦具有伴隨的優點。為了說明這樣的流動型態–首先在單一處理站實施例之較簡單情況中–圖3A呈現單站基板處理設備300之橫剖面概要圖,單站基板處理設備300具有處理腔室102、噴淋頭106及噴淋頭套管330,且圖3A繪出簾幕氣體流動路徑320、及電漿進料氣體(及反應物前驅物)流動路徑310。在圖3A所示之結構中,與上述說明一致,來自電漿進料氣體來源312之電漿進料氣體經由噴淋頭106之頭部之底表面而流至腔室102中,而來自簾幕氣體來源322之簾幕氣體經由在噴淋頭套管330中之孔洞而流至腔室102中,噴淋頭套管330圍繞著噴淋頭106之柄部。因此,此處之簾幕氣體(應當注意,甚至在單站情況中,亦保留描述性用語「簾幕氣體」)在噴淋頭106之背側之中心軸附近被引入處理腔室102中,且引入的簾幕氣體之流動實質上平行於支托於基座108上之基板112之平面(並且實質上平行於噴淋頭106之頭部之底表面)。如此引入的簾幕氣體接著開始流動於噴淋頭周圍並且沿著腔室側壁往下,最後在十字板103附近離開腔室(如圖3A中之箭頭所示)。
甚至在單一處理站之情況中,簾幕氣體直接流至在噴淋頭後方∕上方之空間∕腔體可能是相當有利的,因為其可最小化或防止非期望地沉積於在噴淋頭後方∕上方等之噴淋頭背側及腔室壁上。同樣地,沿著側壁往下流動之簾幕氣體可用於減少及∕或防止沉積於腔室102之內壁上。在一些範例中,流至在枝形吊燈型噴淋頭後方之腔體中之簾幕氣體可滿足貝克勒條件(通常貝克勒數(Peclet number)大於一),因而減少及∕或防止膜前驅物從噴淋頭底表面至該腔體中之逆擴散(或逆流)。進一步的優勢為–再次,甚至在單一處理站情況中–在引入膜前驅物之前建立簾幕氣體之流動可(除了減少或防止在噴淋頭背側上及在腔室壁上之可能的衍生沉積之外)建立用於反應性膜沉積處理之期望腔室壓力,避免浪費地利用過量的昂貴膜前驅物來建立適當的腔室壓力。亦即,較高的腔室壓力係做為膜前驅物之氣簾,且因而增加前驅物在基板區域中之分壓,同時減少其它地方之前驅物分壓。因此,甚至在單一處理站實施例中,來自噴淋頭背側之簾幕氣體流動減少有效腔室容積,同時減少在腔室側壁上及在噴淋頭背側上之不想要的沉積。
如所述,在多站基板處理腔室中,簾幕氣體可額外地提供在處理站之間之容積隔離。圖3B概要地繪示在處理設備350之多站處理腔室303內之一對處理站311及313(參見圖3B中之虛線)。如圖中標示氣體流動方向之箭頭所示,除了在圖3A中(在單站之情況中)所示之簾幕氣體流動型態之外,此處之簾幕氣體320同時流動於處理站311與313之間,使其彼此為容積隔離。應當注意,此圖顯示在橫剖面中之一對處理站,所以該圖可能表示二站處理腔室實施例,或其可能表示四站處理腔室實施例之橫剖面圖,例如圖2中所示之處理腔室。在任一情況中,所示之該對處理站每一者與圖3A中所示之單一處理站類似,因此,伴隨著圖3A之說明(以及元件符號)適用於圖3B以及合適之處,最主要的差異在於,在圖3B中具有一對處理站311及313,該對處理站係藉由簾幕氣體320之流動而彼此容積地隔離∕分離。
與簾幕氣體之使用有關之某些優勢在ALD處理操作中是特別明顯的。例如,在整個ALD循環之階段∕操作中,簾幕氣體可連續、橫向地釋放於噴淋頭背側。因此,雖然電漿進料氣體僅在某些ALD操作期間內從噴淋頭流出–例如,在電漿活化步驟(上述之ALD操作 (iii))期間以及,若做為吹淨氣體的話,可能在前驅物劑量後移除步驟(上述之ALD操作 (ii))期間–但簾幕氣體可連續地流動,在整個ALD操作中將簾幕氣體導向腔室之遠端區域。簾幕氣體流動至腔室之遠端區域–亦即,並非緊臨在基板表面附近之區域–有助於從處理腔室移除過量的未吸附膜前驅物以及,再者,甚至有助於防止膜前驅物流至在第一例子中之腔室之這些遠端區域。此外,為了達成後者,在ALD前驅物劑量操作(上述之ALD操作 (i))期間內,當膜前驅物流至腔室(且吸附在基板上)時,簾幕氣體亦將有效地流動。同樣地,在電漿活化(操作 (iii))期間內,使用簾幕氣體會保護腔室之內表面,使其免受任何衍生沉積,衍生沉積可能由於在發生反應性處理期間內前驅物從基板表面脫附、接著在其它地方(例如,在腔室側壁上)再吸附並且進行反應而發生。
應當注意,可用於在多站處理腔室中提供電漿進料氣體及簾幕氣體之合適的枝形吊燈型噴淋頭–概要地類似於圖3及4所示–根據圖6-9而更詳細地描述於下。由枝形吊燈型噴淋頭之頭部後方將氣體導入處理腔室、以及相關設備之細節亦描述於美國專利申請案第13/659,231號(申請日為2012年10月24日,發明名稱為SUPPRESSION OF PARASITIC DEPOSITION IN A SUBSTRATE PROCESSING SYSTEM BY SUPPRESSING PRECURSOR FLOW AND PLASMA OUTSIDE OF SUBSTRATE REGION,公開號為2013/0344245),其全部內容係藉由參照而納入本文中以用於所有目的。在採用簾幕氣體以用於處理站隔離之方法及設備中降低寄生電漿產生
在電漿活化膜沉積之一些方法中,例如在電漿活化ALD中,可能期望在電漿活化步驟期間內保持簾幕氣體之流動。若在此步驟期間內維持簾幕氣體之流動,則一般會選擇惰性氣體以免妨礙膜形成反應。在以前的工作中,經常選擇N2 做為簾幕氣體。然而,在某些應用中,例如在雙重圖案化中,必須嚴格地控制沉積膜之氮含量,且因為使用N2 做為簾幕氣體經常造成氮併入沉積膜中,所以它往往是不好的選擇。
然而,使用氬(Ar)之實驗已顯示,氬氣做為簾幕氣體亦不甚理想,儘管其理由不同於N2 。例如,在電漿活化ALD處理中,若在膜形成電漿活化步驟(上述之ALD操作 (iii))期間內維持簾幕氣體之流動,則簾幕氣體有可能受到產生主要(表面反應活化)電漿之相同RF場而被離子化。離子化的簾幕氣體接著構成被稱為寄生電漿(parasitic plasma)之事物;寄生電漿是不想要的,一般被維持在腔室之遠端區域並且可能在遠端區域中造成不想要的沉積反應。例如,已經發現,在採用枝形吊燈型噴淋頭之設備中,從噴淋頭上方∕後方–例如從圍繞著噴淋頭柄部之噴淋頭套管–分配簾幕氣體會造成寄生電漿在噴淋頭後方∕上方之區域產生。此外,已經觀察到,如此產生的寄生電漿實際上是非常亮的,因此想必是非常密集且強大的。再者,因為在噴淋頭頂部與處理腔室之頂壁∕板之間之區域之容積是相當大的,所以此寄生電漿在容積上可能相當大;再加上其具有高電漿密度,此寄生電漿可能因而從主要電漿(其用於活化基板上之膜形成反應)吸取大量的功率。
因此,寄生電漿之形成是不受歡迎的,由於下列的各種理由:寄生電漿是「不受控制的」功率耗散器(power sink),可能從主要電漿吸取功率並且降低其密度。再者,因為寄生電漿之密度及功率吸取可能根據各種因素而變化,所以其對於主要電漿(其活化膜形成反應)之影響亦可能改變且無法預測,所以可能是造成晶圓與晶圓之間變異性之重大因素。此外,如所述,寄生電漿可能造成在腔室壁表面上之衍生沉積,且該沉積有可能成為微粒之來源而污染基板上之沉積膜。因此,具有強大∕密集的寄生電漿之操作很可能導致不想要的長期問題(包含晶圓與晶圓間之再現性、工具漂移(tool drift)、製程微粒表現、噴淋頭構件及∕或其它腔室構件之損耗增加)、及∕或其它產量問題,因此,為了避免∕最小化這些不想要的結果,部分或完全抑制∕消除在ALD處理系統中之寄生電漿產生是一重要的目標。
解決此問題之一方法為,謹慎地選擇不易形成強電漿(或根本不形成電漿)、卻仍然不妨礙膜形成反應(例如,在上述之ALD操作 (iii) 中)或不利地改變膜含量之簾幕氣體。一種這樣的選擇為氧分子(O2 )。當使用O2 做為簾幕氣體時–維持使用Ar做為電漿進料氣體–吾人已經發現,在腔室之遠端區域–例如在每一處理站之枝形吊燈型噴淋頭上方及後方–所產生之任何寄生電漿為弱得多(相較於使用Ar做為電漿進料及簾幕氣體兩者時所產生之寄生電漿)。
不受限於特定理論,吾人認為,當使用O2 時寄生電漿比較弱(相較於Ar)之原因為:O2 具有高崩潰電壓。圖4呈現一帕邢曲線(Paschen curve)(以雙對數座標圖之形式),顯示氧分子、氮分子及氬之崩潰電壓與pd 之函數,pd 為腔室壓力–間隙乘積,間隙為在用於產生電漿之電板(在此例子中,噴淋頭)與基座之間之距離。該圖說明,在圖中所示之pd 之整個範圍中,氧分子(及氮分子)具有高於氬之崩潰電壓。例如,在pd = 3.4 Torr-cm(對應至2 Torr之腔室壓力及1.7 cm之基座∕噴淋頭分隔距離)時,氧分子之崩潰電壓為約350V(如圖中所示),而在相同的pd 下,氬之崩潰電壓為小於200V。因此,已經發現,對於既定的維持RF功率,基於O2 的電漿具有低電子密度(相對於其它類型的電漿,例如基於Ar的電漿),所以大致上可將其稱為「弱電漿」。如此一來,在ALD循環之電漿活化步驟中,用於在噴淋頭與基板之間產生∕點燃Ar電漿之RF功率及噴淋頭電壓,不足以在噴淋頭上方之腔體中產生∕點燃O2 電漿,或者,若有某些點燃,O2 電漿將是非常弱的(且明顯地暗淡)。關於較佳的簾幕氣體之上述其它特色,應當注意,與N2 不同,在基板處理腔室中Ar及O2 氣體之存在係相容於一般的電漿活化ALD氧化物表面反應;事實上,已經實際上發現,在沉積期間內某些O2 之存在改善了沉積膜之品質(至少在一些實施例中)。在美國專利申請案第14/447,203(申請日為2014年7月30日,發明名稱為METHODS AND APPARATUSES FOR SHOWERHEAD BACKSIDE PARASITIC PLASMA SUPPRESSION IN A SECONDARY PURGE ENABLED ALD SYSTEM)中,提供關於使用O2 做為簾幕氣體及∕或輔助吹淨氣體(以該參考資料之表達方式)之優點之進一步細節;該申請案之全部內容係藉由參照而納入本文中以用於所有目的。
綜觀上述的分析可推論出,具有相對於Ar–或相對於用於維持主要反應活化電漿(例如,在上述之ALD操作 (iii) 中)之其它物種–之高崩潰電壓之化學物種(例如,O2 )可能是做為簾幕氣體之良好選擇。因此,對於基於Ar的電漿,合適的簾幕氣體包含崩潰電壓為至少約200 V之高崩潰電壓物種(對於3.4 Torr-cm之壓力–距離值(pd )而言)、或具體而言至少約250 V、或至少約300 V、或至少約350 V、或甚至至少約400 V(每一所載電壓係對應至3.4 Torr-cm之pd 值)。電漿進料氣體亦可包含高崩潰電壓物種,但,視實施例而定,簾幕氣體可具有比電漿進料氣體更高濃度之高崩潰電壓物種。
然而,在簾幕氣體及電漿進料氣體其中任一者或兩者中之高崩潰電壓物種亦必須相容於膜形成反應以及沉積膜之期望特性。因此,在氧化物膜沉積之情況中,高崩潰電壓物種之良好選擇為O2 。同樣地,對於氮化物膜沉積,氮分子(N2 )是良好的選擇,如圖4中之帕邢曲線所說明。在採用簾幕氣體以用於處理站隔離之方法及設備中降低主要電漿非均勻性
雖然使用氧分子(O2 )做為用於處理站隔離之簾幕氣體可應付寄生電漿產生之問題,而寄生電漿產生一般與使用低崩潰電壓之惰性氣體有關–氬(Ar)為一範例(如上所述)–但不幸地,已經發現,此選擇造成了其它問題。
一問題為維持主要電漿之均勻性之能力,主要電漿係用於在O2 簾幕氣體之連續流動之存在下活化膜沉積反應。如圖5A所示,在使用枝形吊燈型噴淋頭之一些實施例中,簾幕氣體流出噴淋頭之背側並且沿著處理站(與該噴淋頭結合)之周邊往下,以容積地隔離它。然而,如圖5A所示,雖然大部分的簾幕氣體流真的在處理站周圍流動,但一般將有一些簾幕氣體逆擴散至與將形成主要反應活化電漿(例如,在上述之ALD操作 (iii) 中)之處理站相關之容積區域中。此外,若簾幕氣體之化學組成與主要電漿之化學組成差異太大,則簾幕氣體之存在(以一些不可忽略的濃度)將以空間上非均勻之方式改變主要電漿之強度(例如,它可能抑制主要電漿在基板之邊緣區域中之強度,如圖5A所示)。
例如,若使用氬(Ar)及氧分子(O2 )之混合物做為電漿進料氣體(以維持主要電漿),並且使用純氧分子(O2 )做為簾幕氣體,則相較於朝向處理站之中央容積區域之電漿進料氣體組成物,在處理站之容積區域中在基板邊緣附近之氣體組成物可能具有較高濃度之O2 。在一些例子中,O2 濃度可能具有從處理站之容積邊緣至容積中央之梯度。在任何情況下,此徑向變化的濃度可能造成電漿不均勻(inhomogeneity),電漿不均勻接著導致沉積膜厚度之變化及劣化的沉積膜均勻性。
在圖5B中顯示一範例,其說明若使用純O2 做為簾幕氣體時,沉積膜厚度之橫跨晶圓變化。圖5B所示之橫剖面顯示厚度之大致中心至邊緣(徑向)變化、以及在徑向厚度變化中非常明顯的「邊翼」(edge wing)正好在1.8 mm晶圓邊緣環區處(及因此,在此範例中,總沉積膜厚度從中心約350 Å變化至邊緣355 Å)。邊緣變化是由如圖5A中所示之簾幕氣體侵入所造成,且應當注意,通常使用於簾幕氣體之較高流率(一般設定於每個處理站約15 SLM或更高,如貝克勒數計算所判定)會加重這樣的邊緣變化–其實際上用於減低前驅物離開處理站之回流。
圖5C及5D進一步說明由簾幕氣體侵入所造成之沉積膜非均勻性之各種其它態樣。具體而言,圖5C及5D顯示,除了徑向厚度非均勻性(如圖5B中所示)之外,由簾幕氣體侵入所造成之電漿不均勻亦可能導致方位角厚度非均勻性。不受限於特定理論,吾人認為,來自於組成物不均勻之方位角變化係以顯著的程度出現,因為電漿氣體之組成變化傾向於放大任何電漿密度之變化,電漿密度之變化會由於電漿鞘彎曲(sheath bending)及腔室靜電∕幾何效應而以任何方式呈現。例如,靜電∕幾何效應可能由處理腔室相對於特定處理站之不對稱所造成。例如,在一大致方形的4站處理腔室中(例如,如圖2中所示),在任一站之兩側上具有(導電的)腔室壁,然而在另外兩側上,處理站圍住處理腔室之內部(及存在於其中之任何輔助硬體)。甚至在不存在組成物不均勻時,這類的空間不對稱會造成電漿非均勻性,但如所述,吾人認為,組成物不均勻會將其放大。
例如,圖5C顯示在實質上圓形的基板表面上之沉積膜厚度之熱區圖,並且清楚地呈現實質的方位角非均勻性。其在圖之底部處具有直條形非均勻性,來自於腔室壁對於電漿密度之影響;並且在基板表面之頂部及左側也具有兩個圓形厚度非均勻性,來自於與軸輪轂(spindle hub,其為在腔室之幾何中心處之特徵)之電漿耦合、以及與在腔室之下之一些陶瓷件∕間隙之耦合。
同樣地,圖5D藉由在晶圓表面上之膜厚度之49點測量而說明方位角非均勻性。在圖中之點1-25是取自於晶圓表面之內部區域,而點26-49取自於晶圓周邊附近之邊緣區域。應當注意,在內部點1-25之沉積膜一般比在邊緣點26-49之沉積膜更薄,與圖5B中所示之膜厚度橫剖面相符。該圖說明在較高功率處理(通常大於1500 W)時晶圓切口或邊緣環對於「薄點」(thin spot)之敏感性,如在49點掃描之點38處之沉積膜厚度之嚴重下降所示。
整體而言,圖5A-5D說明在沉積膜厚度中之各種非均勻性,其來自於簾幕氣體侵入所造成之電漿密度不均勻。處理這些問題之一策略為,試著匹配電漿進料氣體與簾幕氣體之電漿強度,俾使它們大致上彼此相等。此可藉由選擇電漿及簾幕氣體之氣體組成物成為更緊密地彼此匹配而達成。然而,亦重要的是,選擇這些組成物而不危及上述之其它考量:例如,電漿進料氣體相容於膜形成沉積反應,以及在用於產生主要電漿之RF能量存在時,簾幕氣體不被離子化成為強的寄生電漿。
關於此,吾人已經發現,在介電質氧化物沉積之情況下,在電漿進料氣體中之相當顯著的O2 濃度不會實質上危及氧化物沉積處理之效能,只要具有足夠的氬(Ar)存在以使沉積膜緻密化(藉由離子轟擊),同時在簾幕氣體中之相當顯著的Ar濃度,若伴隨著顯著的O2 分量,不會導致顯著的寄生電漿產生。換言之,可能僅需要足夠的O2 存在以對低崩塌電壓及敏感的Ar氣體帶來抑制效應,且此O2 濃度可能僅僅約5%莫耳分率(或約15%、或約25%,視實施例而定)。應當注意,低於約5%之O2 組成常常導致有時稱為條紋現象(striation)或電漿團(plasmoid)之電漿放電擾動,且此擾動可能對於膜均勻性及製程再現性有不良的影響。然而,如剛才所述,在O2 :Ar混合物中使用較高濃度之O2 可能實現快速的單層氧化(O2 成分)且具有促進良好膜緻密度之強烈的離子轟擊(Ar成分)。
因此,一解決方式為,試著匹配在電漿進料氣體及簾幕氣體中之氬及氧分子分率以平衡各種考量,實際上,吾人已經發現,此可藉由選擇在簾幕氣體中之O2 濃度為略高於在電漿進料氣體中之O2 濃度而達成。一般而言,一策略為,使用之電漿進料氣體及簾幕氣體每一者具有高崩潰電壓化學物種之實質濃度,俾使簾幕氣體抵抗寄生電漿之形成(在腔室遠離反應站之遠端區域中),但在電漿進料氣體中具有足夠的高崩潰電壓物種,俾使其組成物與簾幕氣體並非如此不同而造成在沉積膜厚度上之實質非均勻性。
據此,依照上述原理,在使用簾幕氣體(用於將不同的處理站彼此容積地隔離)之多站處理腔室中實施電漿活化膜沉積之方法可能,具體而言,採用包含高崩潰電壓物種(用於防止寄生電漿產生)之簾幕氣體,簾幕氣體之高崩潰電壓物種之濃度高於用於維持主要電漿(用於活化沉積反應)之電漿進料氣體中之相同物種之濃度。因此,在基板處理設備之處理腔室具有(至少)第一及第二處理站之情況中,這些沉積方法可能包含,藉由使簾幕氣體流動於第一處理站與第二處理站之間而將它們容積地隔離開,且當此完成時,在第一及第二處理站分別點燃由第一及第二電漿進料氣體所維持之第一及第二電漿,以在第一及第二處理站造成膜沉積反應之活化。(應當注意,第一及第二電漿進料氣體(以及第一及第二電漿)可能是組成相同的,差別在於第一電漿進料氣體對應至第一處理站,而第二電漿進料氣體對應至第二處理站。)
如所述,在簾幕氣體中之高崩潰電壓物種之濃度可能高於在第一及第二電漿進料氣體中。在一些實施例中,高崩潰電壓物種可能構成約5%至50%莫耳分率之簾幕氣體,或具體而言,約5%至25%莫耳分率之簾幕氣體、或更具體而言,約5%至15%。同樣地,在一些實施例中,高崩潰電壓物種可能構成約5%至50%莫耳分率之第一及第二電漿進料氣體,或具體而言,約5%至25%莫耳分率之第一及第二電漿進料氣體、或更具體而言,約5%至15%,但在一些實施例中,仍然比簾幕氣體中之濃度更低。
在某些這樣的實施例中,如所述,電漿進料氣體及簾幕氣體之其它成分可能是惰性氣體,例如氬(Ar)。在這樣的實施例中,使用O2 做為高崩潰電壓物種,在簾幕氣體與第一及第二電漿進料氣體中之Ar對O2 之莫耳比可為約3:1至19:1,但在一些實施例中,在簾幕氣體中之比例(Ar對O2 )低於在電漿進料氣體中之比例。
以此方式,簾幕氣體抵抗寄生電漿形成,同時電漿進料及簾幕氣體具有類似的化學組成以在RF能量存在時離子化為類似的強度,因此,主要電漿(其活化沉積處理)之電漿強度將具有良好的均勻性,甚至是在簾幕及主要電漿進料氣體可能發生混合之基板邊緣附近。應當注意,類似的方法亦可使用於具有3、或4、或5、或6、或7、或8、或9、或10、或11、或12、或13、或14、或15、或甚至16個處理站之處理腔室中。原子層沉積技術及沉積膜之詳細說明
如前文所述,隨著元件尺寸持續微縮,且IC進展至使用3-D電晶體及其它3-D結構,沉積精確量(厚度)之保形膜材料–尤其是介電質,但亦可為各種含摻雜物的材料–之能力變得更加重要。原子層沉積是用於達成保形膜沉積之技術,其通常涉及多個沉積循環,以達成期望的膜厚度。
在化學氣相沉積(CVD)處理中使用活化的氣態反應來沉積膜,相較於CVD,ALD處理使用表面媒介的(surface-mediated)沉積反應而一層一層地沉積膜。例如,在一類的ALD處理中,將第一膜前驅物(P1)以氣態引入處理腔室中、使其暴露至基板、然後使其吸附至基板表面上(一般在一群表面活性位置處)。一些P1分子可能在基板表面之頂上形成凝態,包含P1之化學吸附物種及物理吸附分子。接著將圍繞著基板表面之容積排空,以移除氣態及物理吸附的P1,因而僅僅留下化學吸附物種。接著可將第二膜前驅物(P2)引入處理腔室中,使得一些P2分子吸附至基板表面。可再次將在處理腔室中、圍繞著基板之容積排空,而這次是為了移除未結合的P2。隨後,提供至基板之能量(例如,熱或電漿能量)將P1及P2之吸附分子之間之表面反應活化,而形成一膜層。最後,再次將圍繞著基板之容積排空,以移除未反應的P1及∕或P2及∕或反應副產物(若存在的話),而結束ALD之單一循環。
用於沉積具有各種化學性質之保形膜之ALD技術–以及根據基本ALD處理順序之許多變化–係詳細地說明於美國專利申請案第13/084,399號(申請日為2011年4月11日,發明名稱為PLASMA ACTIVATED CONFORMAL FILM DEPOSITION)、美國專利申請案第13/242,084號(申請日為2011年9月23日,發明名稱為PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION,現為美國專利第8,637,411號)、美國專利申請案第13/224,240號(申請日為2011年9月1日,發明名稱為PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION)、以及美國專利申請案第13/607,386號(申請日為2012年9月7日,發明名稱為CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION),其中每一者之全部內容係藉由參照而納入本文中以用於所有目的。如該等先前申請案中所述,用於沉積單一材料層於基板上之基本ALD循環包含:(i) 使膜前驅物吸附至在處理站之基板上,俾使其形成一吸附受限層,(ii) 將未吸附的前驅物(若存在的話,「未吸附的前驅物」係定義為包含脫附的前驅物)從處理站之附近移除,(iii) 使已吸附的前驅物進行反應,以在基板上形成一膜層,及可選的 (iv) 將脫附的膜前驅物及∕或反應副產物從處理站之附近移除。可藉由將圍繞著基板之容積吹淨、排空、泵抽至基礎壓力等,可達成操作 (ii) 及 (iv) 中之移除。在一些實施例中,吹淨氣體可能與主要電漿進料氣體相同。操作 (i) 至 (iv) 之上述順序代表單一ALD循環,其導致單一膜層之形成。然而,因為藉由ALD所形成之單一膜層通常是非常薄的–常常僅為單一分子之厚度–所以依序地重複多個ALD循環以建立足夠厚度之膜。因此,若希望沉積N層之膜(或,均等地,可說N層膜),則多個ALD循環(操作 (i) 至 (iv))可依序地被重複N次。
應當注意,操作 (i) 至 (iv) 之基本ALD順序不必然包含二化學吸附反應物種P1及P2(如上述之範例中),其甚至不必然包含第二反應物種, 但可採用這些可能∕選擇,取決於所涉及之期望沉積化學品。
然而,由於ALD之吸附受限本質,ALD之單一循環僅沉積一材料薄膜,且經常僅為單一的材料單層。例如,取決於膜前驅物劑量操作之暴露時間以及膜前驅物之黏附係數(對於基板表面),每一ALD循環可沉積厚度僅僅約0.5至3埃之膜層。因此,通常將典型的ALD循環之操作順序–前述之操作 (i) 至 (iv)–重複多次,以形成具有期望厚度之保形膜。因此,在一些實施例中,連續地重複操作 (i) 至 (iv) 至少1次、或接連地至少2次、或至少3次、或至少5次、或至少7次、或至少10次。沉積ALD膜之速率可為每一ALD循環大約或介於0.1 Å 與2.5 Å、或每一ALD循環大約或介於0.2 Å與2.0 Å、或每一ALD循環大約或介於0.3 Å與1.8 Å、或每一ALD循環大約或介於0.5 Å與1.5 Å、或每一ALD循環大約或介於0.1 Å 與1.5 Å、或每一ALD循環大約或介於 0.2 Å與1.0 Å、或每一ALD循環大約或介於0.3 Å與1.0 Å、或每一ALD循環大約或介於0.5 Å與1.0 Å。
在一些膜形成化學品中,除了被稱為「膜前驅物」者之外,亦可使用輔助反應物或共反應物。在某些這樣的實施例中,可在步驟 (i) 至 (iv)之子集期間內、或在步驟 (i) 至 (iv) 其中每一者之整個期間內(當重複該者時)連續地流動輔助反應物或共反應物。在一些實施例中,在此另外的反應性化學物種(輔助反應物、共反應物等)與膜前驅物反應之前,其可吸附至具有膜前驅物之基板表面上(如上述之關於前驅物P1及P2之範例);然而,在其它實施例中,本質上,此另外的反應性化學物種並未先吸附至基板表面上,而當其與已吸附的膜前驅物接觸時,兩者便進行反應。此外,在一些實施例中,使已吸附的膜前驅物起反應之操作 (iii) 可涉及,使已吸附的膜前驅物與電漿接觸。電漿可提供能量以驅動在基板表面上之膜形成反應。在某些這樣的實施例中,該電漿可為氧化電漿,其係在反應腔室中施加合適的RF功率而產生(但在一些實施例中,其可能在遠端產生)。在其它實施例中,可使用惰性電漿,而不是氧化電漿。氧化電漿可由一或更多氧化劑(例如O2 、N2 O、或CO2 )所形成,且可能可選地包含一或更多稀釋劑(例如Ar、N2 、或He)。在一實施例中,氧化電漿由O2 及Ar所形成。合適的惰性電漿可由一或更多惰性氣體(例如He或Ar)所形成 。ALD 處理之進一步變化係詳細地說明於剛剛引用之先前專利申請案(及藉由參照而納入者)。
在一些實施例中,多層沉積膜可包含交替組成之區域∕部分,交替組成係藉由,例如,下列操作而形成:保形地沉積多層(該等多層連續地具有一組成),接著保形地沉積多層(該等多層連續地具有另一組成),接著可能重複及交替此兩個順序。沉積ALD膜之這些態樣其中一些係說明於,例如,美國專利申請案第13/607,386號(申請日為2012年9月7日,發明名稱為CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION),其全部內容係藉由參照而納入本文中以用於所有目的。 具有交替組成之部分之保形膜–包含用於摻雜下方的目標IC結構或基板區域之膜–以及形成這些膜之方法之進一步範例係詳細地說明於:美國專利申請案第13/084,399號(申請日為2011年4月11日,發明名稱為PLASMA ACTIVATED CONFORMAL FILM DEPOSITION); 美國專利申請案第13/242,084(申請日為2011年9月23日,發明名稱為PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION,現為美國專利案第 8,637,411號); 美國專利申請案第13/224,240號(申請日為 2011年9月1日,發明名稱為PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION);  美國專利申請案第13/607,386號,申請日為2012年9月7日,發明名稱為CONFORMAL DOPING VIA PLASMA ACTIVATED ATOMIC LAYER DEPOSITION AND CONFORMAL FILM DEPOSITION); 以及美國專利申請案第14/194,549號(申請日為2014年2月28日,發明名稱為CAPPED ALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS; 每一者之全部內容係藉由參照而納入本文中以用於所有目的。
如以上所引用的說明書中所詳述,ALD處理往往用於沉積保形矽氧化物(SiOx)膜,然而ALD處理亦可用於沉積其它化學品之保形介電質膜,如以上所納入的說明書中所揭露。 在一些實施例中,由ALD所形成之介電質膜可包含矽碳化物 (SiC) 材料、矽氮化物 (SiN) 材料、碳氮化矽(SiCN) 材料、 或其組合。在一些實施例中,在由ALD所形成之膜中,亦可形成矽-碳-氧化物、及矽-碳-氮氧化物、及矽-碳-氮化物。用於沉積這些類型的膜之方法、技術、及操作係詳細地說明於美國專利申請案第13/494,836號(申請日為2012年6月12日,發明名稱為REMOTE PLASMA BASED DEPOSITION OF SiOC CLASS OF FILMS); 美國專利申請案第13/907,699號(申請日為2013年5月31日,發明名稱為METHOD TO OBTAIN SiC CLASS OF FILMS OF DESIRED COMPOSITION AND FILM PROPERTIES); 美國專利申請案第14/062,648號,發明名稱為GROUND STATE HYDROGEN RADICAL SOURCES FOR CHEMICAL VAPOR DEPOSITION OF SILICON-CARBON-CONTAINING FILMS); 以及美國專利申請案第14/194,549(申請日為2014年2月28日,發明名稱為CAPPED ALD FILMS FOR DOPING FIN-SHAPED CHANNEL REGIONS OF 3-D IC TRANSISTORS); 每一者之全部內容係藉由參照而納入本文中以用於所有目的。
藉由ALD之膜沉積之其它範例包含用於沉積含摻雜物膜之化學品,如以上列出及藉由參照而納入本文中之專利申請案(美國專利申請案第13/084,399號、 第13/242,084號、第13/224,240號、及第 14/194,549號)所述。如其中所述,可使用各種含摻雜物的膜前驅物,以形成含摻雜物的膜,例如摻雜硼的矽酸鹽玻璃 (BSG)膜、摻雜磷的矽酸鹽玻璃 (PSG)膜、摻雜硼磷的矽酸鹽玻璃 (BPSG)膜、摻雜砷(As)的矽酸鹽玻璃(ASG)膜、及類似物。 含摻雜物的膜可包含B2 O3 、B2 O、P2 O5 、P2 O3 、As2 O3 、As2 O5 、及類似物。因此,具有硼以外之其它摻雜物之含摻雜物膜亦為可能的。範例包含鎵、磷、或砷摻雜物、或適合摻雜半導體基板之其它元素,例如其它的III及V價元素。
至於ALD之處理條件, ALD處理可在各種溫度下實施。在一些實施例中,在ALD反應腔室內之適當溫度範圍可介於約25 °C與450 °C之間、或介於約50 °C與300 °C之間、或介於約20 °C 與400 °C之間、或介於約200 °C 與400 °C之間、或介於約100 °C 與 350 °C之間。
同樣地, ALD處理可在各種ALD反應腔室壓力下實施。在一些實施例中,在反應腔室內之適當壓力範圍可介於約10 mTorr與10 Torr之間、或介於約20 mTorr與8 Torr之間、或介於約50 mTorr與5 Tor之間、或介於約100 mTorr與2 Torr之間。
若在操作 (iii) 中使用電漿,可採用各種RF功率位準以產生電漿。在一些實施例中,適當的RF功率範圍可介於約100 W與10 kW之間、或介於約200 W與6 kW之間、或介於約500 W與3 kW之間、或介於約1 Kw與2 kW之間。
在操作 (i) 中,可採用各種膜前驅物流率。在一些實施例中,適當的流率範圍可大約或介於0.1 mL/min與10 mL/min之間、或大約或介於0.5 mL/min與5 mL/min之間、或大約或介於1 mL/min與3 mL/min之間。
在各種操作中,可使用各種氣體流率。在一些實施例中,總氣體流率範圍可大約或介於1 L/min與20 L/min之間、或大約或介於2 L/min與10 L/min之間。對於在操作 (ii) 及 (iv) 內之可選的惰性吹淨步驟而言,所採用的突發流率範圍可大約或介於20 L/min與100 L/min之間、或大約或介於40 L/min與60 L/min之間。
再一次,在一些實施例中,泵抽至基礎壓力之步驟指出,藉由使反應腔室直接暴露至一或更多真空泵,以將其泵抽至基礎壓力。在一些實施例中,基礎壓力一般僅為若干毫托(例如,介於約1與20 mTorr之間)。  再者,如上文所述, 泵抽至基礎壓力之步驟可或可不伴隨著惰性吹淨,因此,當一或更多閥打開通往真空泵之傳導路徑時,可或可不使載氣流動。
又再一次,可重複多個ALD循環,以建立保形層之堆疊。 在一些實施例中,每一層可具有實質相同的組成,然而在其它實施例中,由ALD依序沉積之層可能具有不同的組成,或在某些這樣的實施例中,組成可一層一層地交替、或可能有不同組成之層之重複順序,如上所述。因此,取決於實施例,可使用某些堆疊工程概念,以調變在這些膜中之硼、磷、或砷濃度,如以上列出及藉由參照而納入本文中之專利申請案(美國專利申請案第13/084,399號、 第13/242,084號、及第13/224,240號)所揭露。基板處理設備之詳細說明
本文中所述之方法可藉由任何適當的半導體基板處理設備來實施。適當的設備包含用於完成處理操作之硬體、以及具有指令之系統控制器,指令係用於根據本文中所揭露之各種通道摻雜方法而控制處理操作。在一些實施例中,硬體可包含一或更多處理站及控制器,處理站係包含在多站基板處理工具中,控制器具有(或可存取)機器可讀指令,機器可讀指令係用於根據本文中所揭露之處理技術而控制處理操作。
因此,在一些實施例中,適合用於在複數半導體基板上沉積材料膜之設備可包含:第一組之一或更多處理站,每一處理站具有容納在處理腔室中之基板支架;第二組之一或更多處理站,每一處理站具有容納在處理腔室中之基板支架;一或更多閥,用以控制膜前驅物至處理站之流動;以及一或更多閥動真空來源,用以將膜前驅物從圍繞著處理站之容積中移除,其中處理站係容納在一或更多處理腔室中。這樣的設備亦可包含具有(或可存取)機器可讀指令之控制器,機器可讀指令係用於操作基板裝載裝置、基板傳送裝置、一或更多閥、以及真空來源,以將材料膜沉積至基板上。
因此,在一些實施例中,由控制器所執行之指令可包含用於在複數處理站(容納在一處理腔室中)中之複數基板上形成膜之指令,其中複數膜層係藉由一連串的ALD循環而形成於每一基板上。因此,在某些這樣的實施例中,由控制器所執行之指令可包含用於實施如上述之ALD操作 (i) 至 (iv)之指令、以及用於重複ALD操作 (i) 至 (iv) 多次之指令,以在位於基板處理設備之複數處理站之複數基板上形成複數膜層。
因此,圖1概要地顯示基板處理設備100之實施例。為了簡潔起見,將處理設備100描繪為單獨的處理站,具有用以維持低壓環境之處理腔室本體102。然而,應當了解,在一共同處理工具環境中–例如在一共同反應腔室內–可包含複數處理站,如本文中所述。例如,圖2描繪多站處理工具之實施例。此外,應當了解,在一些實施例中,藉由一或更多系統控制器,可程式化地調整處理設備100之一或更多硬體參數,包含以上所詳述者。
處理站100與反應物輸送系統101流體連接,反應物輸送系統101用於將處理氣體輸送至分配噴淋頭106。反應物輸送系統101包含混合容器104,用於混合及∕或調節用於輸送至噴淋頭106之處理氣體。一或更多混合容器入口閥120可控制處理氣體至混合容器104之引入。
在汽化及隨後輸送至處理腔室102之前,一些反應物可以液體型式加以儲存。圖1之實施例包含汽化點103,用於將待供應至混合容器104之液態反應物汽化。在一些實施例中,汽化點103可為加熱液體注入模組。在一些實施例中,汽化點103可為加熱汽化器。若缺乏適當的控制(例如,在將液態反應物汽化∕霧化時未使用氦),由這樣的模組∕汽化器所產生之飽和反應物蒸氣可能在下游的輸送管路中凝結。不相容的氣體暴露至已凝結反應物可能產生小微粒。這些小微粒可能阻塞管路、妨礙閥操作、汙染基板等。處理這些問題之一些方法涉及,將輸送管路淨化及∕或排空,以移除殘留的反應物。然而,輸送管路之淨化可能增加處理站之循環時間,造成處理站產出量之下降。因此,在一些實施例中,在汽化點103下游之輸送管路可進行熱處理。在一些範例中,混合容器104亦可進行熱處理。在一非限制性範例中,在汽化點103下游之管路具有漸增的溫度輪廓,從大約100 °C增加至在混合容器104之大約150 °C。
如所述,在一些實施例中,汽化點103可為加熱液體注入模組(簡稱為「液體注入器」)。這樣的液體注入器可在混合容器上游將液態反應物之脈衝注入載氣氣流中。在一方案中,液體注入器可藉由將液體從高壓急降至低壓而汽化反應物。在另一方案中,液體注入器可將液體霧化為分散的微液滴,接著微液滴在加熱輸送管路中被汽化。應當了解,較小的液滴之汽化比較大的液滴更快,因而將液體注入與完成汽化之間之延遲時間縮短。較快的汽化可縮短在汽化點103下游之管路之長度。在一方案中,液體注入器可直接安裝至混合容器104。在另一方案中,液體注入器可直接安裝至噴淋頭106。
在一些實施例中,在汽化點103上游可提供液體流量控制器(LFC),用於控制用以汽化及輸送至處理腔室102之液體之質量流量。例如, LFC可包含位於LFC下游之熱質量流量計(MFM)。接著可調整LFC之柱塞閥以回應回饋控制訊號,回饋控制訊號係由與MFM電性連接之比例-積分-微分 (PID) 控制器所提供。然而,使用回饋控制來穩定液體流需要1秒鐘或更長的時間。這可能會拉長注入液體反應物之時間。因此,在一些實施例中,LFC可在回饋控制模式與直接控制模式之間動態地轉換。在一些實施例中,藉由使LFC之感測管及PID控制器停止作用,LFC可從回饋控制模式動態地轉換至直接控制模式 。
噴淋頭106將處理氣體及∕或反應物(例如,膜前驅物)分配至在處理站之基板112,而處理氣體及∕或反應物之流動係由在噴淋頭上游之一或更多閥 (例如閥120、120A、105)加以控制。在圖1所示之實施例中,基板112位於噴淋頭106之下方並且放置在基座108上。應當了解,噴淋頭106 可能具有任何適當的形狀,並且可能具有任何適當數量及配置之通口,以將處理氣體分配至基板112。
在一些實施例中,微容積107位於噴淋頭106之下方。在處理站中靠近基板之微容積中(而不是在處理腔室之整個容積中)實施ALD處理,可縮短反應物暴露及淨化時間、可縮短用於改變處理條件 (例如壓力、溫度等)之時間、可限制處理站機器暴露至處理氣體等。示例微容積尺寸包含,但不限於,介於0.1L與2L之容積。
在一些實施例中,基座108可升高或降低,以使基板112暴露至微容積107中、及∕或改變微容積107之容積。例如,在基板傳送階段中,基座108可降低,以容許將基板112裝載至基座108上。在基板處理階段之沉積期間,基座108可升高,以將基板112放置在微容積107內。在一些實施例中,微容積107可完全包圍基板112以及基座108之一部份,以在沉積處理期間建立高流動阻抗之區域。
可選地,在部分的沉積處理期間,基座108可降低及∕或升高,以調變在微容積107內之處理壓力、反應物濃度等。在處理腔室本體102於處理期間內維持在基礎壓力之一方案中,降低基座108可容許將微容積107排空。微容積與處理腔室容積之示例比例包含,但不限於,介於1:500及1:10之容積比。應當了解,在一些實施例中,可藉由適當的系統控制器以程式化地調整基座高度。
在另一方案中,調整基座108之高度可容許在電漿活化及∕或處理循環(包含在,例如,ALD或CVD處理中)期間內改變電漿密度。在沉積處理階段結束時,可在另一基板傳送階段期間內將基座108降低,以容許將基板112從基座108上移開。
雖然本文中所述之示例微容積改變提及高度可調整的基座,但應當了解,在一些實施例中,可相對於基座108而調整噴淋頭106之位置,以改變微容積107之容積。再者,應當了解,藉由本揭露內容之範圍內之任何合適的機構,可改變基座108及∕或噴淋頭106之垂直位置。在一些實施例中,基座108可包含旋轉軸,用以旋轉基板112之位向。應當了解,在一些實施例中,藉由一或更多合適的系統控制器,可程式化地實施這些示例調整其中一或更多者,其中系統控制器具有用於實施上述操作之全部或子集之機器可讀指令。
回到圖1所示之實施例,噴淋頭106及基座108係電性連接至RF功率供應器114及匹配網路116,以對電漿施加功率。在一些實施例中,藉由控制處理站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序其中一或更多者,可控制電漿之能量(例如,藉由具有合適的機器可讀指令之系統控制器)。例如,RF功率供應器114及匹配網路116可在任何合適的功率下操作,以形成具有期望的自由基物種組成之電漿。合適功率之範例係說明在上文中。同樣地,RF功率供應器114可提供任何合適頻率之RF功率。在一些實施例中,RF功率供應器114可用以控制彼此獨立的高頻及低頻RF功率來源。示例低頻RF頻率可包含,但不限於,介於50 kHz與500 kHz之頻率。示例高頻RF頻率可包含,但不限於,介於1.8 MHz與 2.45 GHz之頻率。應當了解,可連續或不連續地調變任何合適的參數,以提供電漿能量於表面反應。在一非限制性範例中,可間歇地以脈衝式提供電漿功率(相對於連續地對電漿施加功率),以減少基板表面之離子轟擊。
在一些實施例中,藉由一或更多電漿監測器,可原位地(in-situ)監控電漿。在一方案中,藉由一或更多電壓、電流感測器(例如,VI探針),可監控電漿功率。在另一方案中,藉由一或更多光放射光譜(OES)感測器,可測量電漿密度及∕或處理氣體濃度。在一些實施例中,可根據這樣的原位電漿監控之測量結果而程式化地調整一或更多電漿參數。例如, OES感測器可使用在用於提供電漿功率之程式化控制之回饋迴路中。應當了解,在一些實施例中,可使用其它監測器以監控電漿及其它處理特性。這樣的監測器可包含,但不限於,紅外線(IR)監測器、聲波監測器、及壓力轉換器。
在一些實施例中,可藉由輸入∕輸出控制(IOC)連續指令而控制電漿。在一範例中,用於設定電漿活化階段之電漿狀態之指令可包含在處理配方之對應的電漿活化配方階段中。在一些例子中,可依序地安排處理配方階段,俾使對於一處理階段之所有指令與該處理階段係同時地進行。在一些實施例中,用於設定一或更多電漿參數之指令可包含在電漿處理階段之前之一配方階段中。例如,第一配方階段可包含用以設定惰性(例如氦氣)及∕或反應物氣體之流率之指令、用以將電漿產生器設定至功率設定值之指令、以及用於第一配方階段之時間延遲指令。隨後的第二配方階段可包含用以使電漿產生器運作之指令、以及用於第二配方階段之時間延遲指令。第三配方階段可包含用以使電漿產生器停止運作之指令、以及用於第三配方階段之時間延遲指令。應當了解,藉由在本揭露內容之範圍內之任何合適方式,這些配方階段可再進一步細分及∕或重複。
在一些沉積處理中,電漿衝擊(plasma strikes)維持大約幾秒鐘或更長之持續時間。在本文所述之某些實行例中,在一處理循環期間內可施加更為短暫的電漿衝擊。此可大約為50毫秒至1秒,具體的範例為0.25秒。如此短暫的RF電漿衝擊需要電漿之快速穩定。為了達成電漿之快速穩定,電漿產生器可配置為俾使阻抗匹配被預先設定至特定電壓,同時容許頻率浮動。習慣上,高頻電漿是在約13.56 MHz之RF頻率下產生。在本文中所揭露之各種實施例中,頻率被容許浮動至與此標準值不同之數值。藉由允許頻率浮動、同時將阻抗匹配固定至一預定電壓,可更快地使電漿穩定,此結果對於在使用與ALD循環相關之非常短暫的電漿衝擊時是重要的。
在一些實施例中,基座108可藉由加熱器110而控制溫度。再者,在一些實施例中,可藉由一或更多閥動真空來源(例如蝶形閥118)而提供處理設備100之壓力控制。如圖1之實施例所示,蝶形閥118對於由下游真空泵(未顯示)所提供之真空進行調節。然而,在一些實施例中,亦可藉由改變被引入處理腔室102中之一或更多氣體之流率,而調節處理設備100之壓力控制。在一些實施例中,可使用一或更多閥動真空來源–例如蝶形閥118–以在合適的ALD操作階段期間內將膜前驅物從圍繞著處理站之容積中移除。
如上所述,在多站基板處理工具中可包含一或更多處理站。圖2概要地描繪多站處理工具200之一範例,多站處理工具200包含複數處理站201、202、203、204在一共同低壓處理腔室214中。藉由將每一站維持在低壓環境下,可避免因為在膜沉積處理之間之破真空所產生之缺陷。
如圖2所示,多站處理工具200具有基板裝載埠220以及基板搬運機械臂226,基板搬運機械臂226用以移動基板從由容器228所裝載之晶圓匣、通過基板裝載埠220、至處理腔室214中、且最終至一處理站上。具體而言,在此例子中,基板搬運機械臂226將基板裝載於處理站201及202,基板傳送裝置(在此例子中為基板旋轉料架290)在不同處理站201、202、203、及204之間傳送基板。在圖2所示之實施例中,基板裝載裝置係描繪為具有二手臂(用於基板操控)之基板搬運機械臂226,故如圖中所示,其可於站201及202兩者處裝載基板(可能同時地、或可能依序地)。接著,在於站201及202處之裝載之後,基板傳送裝置(圖2中所示之旋轉料架290)可180度旋轉(在其中心軸附近,中心軸實質上垂直於基板平面(離開紙面)且實質上與該等基板為等距離),以將兩個基板從站201及202傳送到站203及204中。此時,搬運機械臂226可將兩個新的基板裝載於站201及202處,因而完成裝載處理。可顛倒這些步驟以進行卸載,除此之外,若要處理複數組之四個晶圓,則每次藉由搬運機械臂226而卸載兩個基板時,會在將傳送旋轉料架290旋轉180度之前伴隨著裝載兩個新的基板。類似地,在四步驟之裝載處理中,可使用單臂之搬運機械臂(其配置為僅放置基板於一站,例如站201),伴隨著旋轉料架290之四次90度旋轉,以將基板裝載於全部四站。
圖2中所示之處理腔室214提供四處理站201、202、203、及204。每一站具有加熱基座(如處理站901之218)以及氣體管線入口。應當了解,在一些實施例中,每一處理站可具有不同或多個功能。例如,在一些實施例中,處理站可在ALD處理模式與CVD處理模式之間轉換。另外地或替代地,在一些實施例中,處理腔室214可包含ALD∕CVD處理站之一或更多配對。雖然所繪示的處理腔室214包含四處理站,應當了解,根據本揭露內容之處理腔室可具有任何適當數量之站。例如在一些實施例中,處理腔室可具有1、或2、或3、或4、或5、或6、或7、或8、或9、或10、或11、或12、或13、或14、或15、或16、或更多之處理站(或者,一組實施例可描繪為每一反應腔室具有一範圍內之若干處理站,該範圍由上述數值之任何配對所界定,例如每一反應腔室具有2至6個處理站、或每一反應腔室具有4至8個處理站、或每一反應腔室具有8至16個處理站等)。
如上文所述,圖2描繪基板傳送裝置290之實施例,基板傳送裝置290用於在位於處理腔室214內之處理站201、202、203、及204之間傳送基板。應當了解,可採用任何合適的基板傳送裝置。非限制性範例包含晶圓旋轉料架以及基板搬運機械臂。枝形吊燈型噴淋頭及噴淋頭套管之詳細說明
在ALD處理中,膜前驅物通常必須交替地存在於反應腔室中並接著排空。為了避免衍生沉積,在引入下一前驅物之前,將處理腔室中之過量前驅物從處理腔室以及共用的前驅物路徑(例如噴淋頭之柄部)中移除。通常以惰性氣體吹淨輸送路徑及腔室,以達成過量前驅物之移除。然而,當使用枝形吊燈型噴淋頭時,從噴淋頭之頭部之底表面流至腔室之吹淨氣體(其可能與電漿進料氣體相同)可能無法將存在於噴淋頭之背側及∕或後方(例如,在柄部周圍)之過量前驅物有效地移除。因此,若干量之衍生沉積可能發生於該噴淋頭後方、頂部板上、及∕或處理腔室壁上。以固體介電質填充在噴淋頭背側及∕或後方之死角通常是不可行及∕或非期望的,因為其經常∕可能造成RF耦合至接地。因此,如上文所述,可將簾幕氣體–其主要目的為流動於處理站之間且因而在處理站之間提供某種程度之容積隔離–引入至處理腔室之噴淋頭後方,俾使除了提供容積隔離之外,簾幕氣體之流動亦可防止在噴淋頭後方之衍生沉積。以下將詳細地描述用於實施這樣的簾幕氣體之硬體。
現在參考圖6,其顯示基板處理系統650之範例,基板處理系統650包含具有噴淋頭670之處理腔室660。噴淋頭包含柄部672及頭部674。頭部674界定內部空腔675。例如前驅物或電漿進料氣體或吹淨氣體(後兩者可為一者且相同)之流體流經柄部672、至分配板676上、並且進入內部空腔675中。接著,流體通過位在噴淋頭之頭部674之底表面中之孔洞∕間隔孔678,並且進入處理腔室中。
噴淋頭670之柄部672經由噴淋頭套管680而連接至處理腔室660之頂壁。噴淋頭套管680具有大致「T」形的橫剖面,並且包含頭部681及柄部683。噴淋頭套管680界定圓柱形的內部空腔684,內部空腔684容納噴淋頭670之柄部672。複數槽形孔洞686形成於柄部683中,以容許簾幕氣體從內部空腔684流動至噴淋頭套管之柄部683之外側表面。由圖6中之槽形孔洞686之位向、以及圖3A及圖3B中所示之流動路徑320可以明白,簾幕氣體可在實質上平行於基板之平面、且實質上平行於噴淋頭之頭部之底表面之平面之方向,流經噴淋頭套管中之孔洞並且流至處理腔室中。
流體連接器690可連接至噴淋頭套管680之頭部681之邊緣、且用於供應流體,例如簾幕氣體。流體連接器690包含大致地標示在692之一或更多導管及∕或接頭。噴淋頭套管680之頭部681同樣包含大致地標示在693之導管及∕或接頭,以將流體(例如簾幕氣體)之流動引導至噴淋頭套管680之內部空腔684。
板體700係配置於噴淋頭670之頭部674與噴淋頭套管680之間。板體700包含上表面704、中央開口或孔710、及底表面714。在一些範例中,板體700由陶瓷所製成。可選擇板體700之厚度,以最小化材料及電容耦合至接地或寄生電漿。板體700之上表面704與噴淋頭套管680之底部邊緣間隔開,以容許流體通過其間。中央孔710亦與柄部672間隔開,以容許流體通過其間。板體700之底表面714與噴淋頭670之上表面間隔開,以容許流體通過其間。在一些範例中,可省略板體700,且處理腔室可在沒有板體700之情況下操作。
經由與各種處理站之噴淋頭相連接之噴淋頭套管之柄部中之孔洞而使簾幕氣體流至處理腔室中,實質地減少及∕或抑制在處理腔室之遠端區域(例如,噴淋頭之背側)中之不想要的衍生沉積。可選擇槽口及其它孔隙之尺寸,以避免其中之電漿引燃,並滿足貝克勒條件以避免逆擴散,而得到所期望的氣體流率。
現在參考圖7,其顯示噴淋頭套管680之一範例。噴淋頭套管680包含頭部681及柄部683。槽口686可具有弧形的形狀並且可配置在柄部683周圍。槽口686容許流體從內部空腔684流過槽口686。頭部681可包含接合部718,接合部718與流體連接器690上之對應接合部囓合。當連接時,噴淋頭套管680之導管693與流體連接器690之導管692對準。
現在參考圖8,其顯示用於噴淋頭套管680之流體連接器690之一範例。雖然流體連接器690係顯示為包含第二接合部720、導管730、接頭732、導管734、以及接頭736,但可考慮流體連接器之其它構造。
現在參考圖9A及圖9B,其顯示板體700之範例。在圖9A中,板體700之上表面704係顯示為具有大致上圓形的橫剖面、以及配置在板體700之中心之中央孔710。中央孔710包含一或更多凸出部740,凸出部740從中央孔710徑向地向內延伸。凸出部740在板體700與柄部672之間提供一致的間距。在圖9B中,板體700之底表面714係顯示為包含凸出部744,凸出部744係相對於處理腔室之頂部而向下延伸。凸出部744在板體700之底表面714與噴淋頭670之頭部674之上表面之間提供一致的間距。亦應注意,RF隔離∕抑制裝置可降低噴淋頭後方之空腔中之電場,此亦有助於進一步降低在噴淋頭後方之區域中產生寄生電漿之機會或程度。例如,凸出部740及744所提供之間距係夠靠近而足以減少寄生電漿產生–例如若採用大約3 mm或更小之間距。對於一般的處理條件而言,這樣的間距造成不足以讓電漿與電漿鞘一起形成之間距(小於2個電漿鞘之長度)。電漿之形成受到電漿密度、電漿電子溫度、及橫跨電漿鞘之電壓之影響。當然,如上文所詳述,使用Ar及O2 混合物做為簾幕氣體亦為避免∕最小化寄生電漿產生(同時改善沉積膜均勻性)之一種有效的技術。系統控制器
圖2亦描繪系統控制器250之一實施例,系統控制器250用於控制處理工具200及其處理站之製程條件及硬體狀態。系統控制器250可包含一或更多記憶體裝置256、一或更多大容量儲存裝置254、以及一或更多處理器252。處理器252可包含一或更多CPU、ASIC、一般用途電腦、及∕或特殊用途電腦、一或更多類比及∕或數位之輸入∕輸出連接件、一或更多步進馬達控制板等。
在一些實施例中,系統控制器250控制處理工具200之一些或所有操作,包含其個別處理站之操作。系統控制器250可執行在處理器252上之機器可讀系統控制指令258–在一些實施例中,系統控制指令258係從大容量儲存裝置254而載入至記憶體裝置256中。系統控制指令258可包含用以控制以下者之指令:時序、氣體及液體反應物之混合、腔室及∕或站壓力、腔室及∕或站溫度、晶圓溫度、目標功率位準、RF功率位準、RF暴露時間、基板基座、夾盤及∕或托座位置、及藉由處理工具200而實施之特定處理之其它參數。這些處理可包含各種類型的處理,包含,但不限於,與在基板上沉積膜有關之處理。系統控制指令258可以任何適當的方式加以配置。例如,可撰寫各種處理工具構件子程序或控制物件,以控制用於實行各種處理工具處理所需之處理工具構件之操作。系統控制指令258可以任何適當的電腦可讀程式語言加以編碼。在一些實施例中,系統控制指令258係實行於軟體中,在其它實施例中,指令可實行於硬體中–例如,硬編碼為在ASIC(特殊應用積體電路)中之邏輯,或者,在其它實施例中,實行為軟體及硬體之結合。
在一些實施例中,系統控制軟體258可包含輸入∕輸出控制(IOC)序列指令,用以控制上述之各種參數。例如,沉積處理之每一階段可包含由系統控制器250所執行之一或更多指令。用於設定膜沉積處理階段之處理條件之指令,例如,可包含在對應的沉積配方階段中,並且同樣地用於覆蓋膜沉積階段。在一些實施例中,配方階段可依序排列,俾使用於處理階段之所有指令與該處理階段同時執行。
在一些實施例中,可採用儲存於與系統控制器250相聯繫之大容量儲存裝置254及∕或記憶體裝置256上之其它電腦可讀指令及∕或程式。程式或程式片段之範例包含基板定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含用於處理工具構件之指令,處理工具構件係用以將基板裝載至基座218上,並且用以控制在基板與處理工具200之其它零件之間之間距。定位程式可包含用於當需要沉積膜在基板上時適當地將基板移入及移出反應腔室之指令。
處理氣體控制程式可包含用以控制氣體組成及流率之指令、以及可選地用以在沉積之前使氣體流動至圍繞著一或更多處理站之容積中以穩定容積中之壓力之指令。在一些實施例中,處理氣體控制程式可包含用於在膜沉積於基板上之期間內將某些氣體引入圍繞著在處理腔室中之一或更多處理站之容積中之指令。處理氣體控制程式亦可包含用於以相同速率、相同持續時間、或以不同速率及∕或不同持續時間(取決於待沉積膜之組成)而輸送氣體之指令。處理氣體控制程式亦可包含用於在加熱注入模組中、在氦或一些其它載氣之存在下使液態反應物霧化∕汽化之指令。
壓力控制程式可包含藉由調節,例如,在處理站之排氣系統中之節流閥、進入處理站之氣體流動等而控制處理站內壓力之指令。壓力控制程式可包含,在基板上之各種膜類型之沉積期間內,用以維持相同或不同壓力之指令。
加熱器控制程式可包含用以控制至加熱單元之電流之指令,加熱單元係用以加熱基板。替代地或另外地,加熱器控制程式可控制熱轉移氣體(例如,氦)至基板之傳送。加熱器控制程式可包含,在基板上之各種膜類型之沉積期間內,用以在反應腔室及∕或圍繞著處理站之容積中維持相同或不同溫度之指令。
根據本文中之實施例,電漿控制程式可包含用以設定在一或更多處理站中之RF功率位準、頻率及暴露時間之指令。在一些實施例中,電漿控制程式可包含,在基板上之膜沉積期間內,用以使用相同或不同RF功率位準及∕或頻率及∕或暴露時間之指令。
在一些實施例中,可能具有與系統控制器250相聯繫之使用者介面。使用者介面可包含顯示螢幕、設備及∕或處理條件之圖形軟體顯示、以及使用者輸入裝置,例如指向裝置、鍵盤、觸控螢幕、麥克風、等。
在一些實施例中,由系統控制器250所調整之參數可能與處理條件有關。非限制性範例包含處理氣體組成及流率、溫度、壓力、電漿條件(例如,RF偏壓功率位準及暴露時間)、等。這些參數可以配方之形式而提供給使用者,配方可利用使用者介面加以輸入。
藉由系統控制器250之類比及∕或數位輸入連接,可自各種處理工具感測器而提供用以監控處理之訊號。用以控制處理之訊號可在處理工具200之類比及數位輸出連接上進行輸出。可受監控之處理工具感測器之非限制性範例包含質量流量控制器(MFC)、壓力感測器(例如,壓力計)、熱偶等。適當編程之反饋及控制演算法可與來自這些感測器之資料一起用來維持處理條件。
系統控制器250可提供用以實施上述沉積處理之機器可讀指令。指令可控制各種處理參數,例如DC功率位準、RF偏壓功率位準、壓力、溫度、等。根據本文中所述之各種實施例,指令可控制參數,以執行膜堆疊之原位沉積。
上述之各種設備及方法實施例可結合微影圖案化工具或處理而加以使用,例如,用於半導體裝置、顯示器、LED、太陽光電板等之製造或生產。通常,但不一定,這樣的工具∕處理將在共同製造設施內一起使用或執行。
膜之微影圖案化通常包含下述操作之一些或全部,每個操作以幾個可能的工具而提供:(1) 在基板(例如,具有矽氮化物膜形成於其上之基板)上光阻之塗佈,使用旋塗式或噴塗式工具;(2) 光阻之固化,使用加熱板或加熱爐或其它合適的固化工具;(3) 以工具(例如,晶圓步進機)使光阻暴露於可見光或UV光或x射線光;(4) 使光阻顯影,以便使用工具(例如濕式清洗台或噴塗式顯影器)選擇性地移除光阻及因而使其圖案化;(5) 使用乾式或電漿輔助蝕刻工具,將光阻圖案轉移至下方膜或基板中;及 (6) 使用工具(例如RF或微波電漿光阻剝除器)移除光阻。在一些實施例中,在塗佈光阻之前,可沉積可灰化硬遮罩層(例如非晶碳層)及另一合適的硬遮罩(例如抗反射層)。其它實施例
雖然在特定實施例中詳細地描述上述的技術、操作、處理、方法、系統、設備、工具、膜、化學品、以及組成,以增進明確性及理解,但對於熟悉此項技藝者而言,顯然有許多實施上述實施例之替代方式落在本揭露內容之精神及範圍內。因此,本文中所述之實施例應被視為所揭露發明概念之示例(而非限制性),且不應用來做為不當地限制任何申請專利範圍(最終指向本發明標的)之依據。
100‧‧‧處理設備
101‧‧‧氣體輸送系統
102‧‧‧處理腔室
103‧‧‧汽化點
104‧‧‧混合容器
105‧‧‧閥
106‧‧‧噴淋頭
107‧‧‧微容積
108‧‧‧基板支座
110‧‧‧加熱器
112‧‧‧基板
114‧‧‧RF功率供應器
116‧‧‧匹配網路
118‧‧‧真空泵
120‧‧‧閥
120A‧‧‧閥
200‧‧‧基板處理設備
201‧‧‧處理站
202‧‧‧處理站
203‧‧‧處理站
204‧‧‧處理站
214‧‧‧處理腔室
220‧‧‧基板裝載埠
226‧‧‧基板搬運機械臂
228‧‧‧容器
250‧‧‧控制器
252‧‧‧處理器
254‧‧‧大容量儲存裝置
256‧‧‧記憶體裝置
258‧‧‧系統控制指令
290‧‧‧基板旋轉料架
300‧‧‧基板處理設備
303‧‧‧多站處理腔室
310‧‧‧電漿進料氣體流動路徑
311‧‧‧處理站
312‧‧‧電漿進料氣體來源
313‧‧‧處理站
320‧‧‧簾幕氣體流動路徑
322‧‧‧簾幕氣體來源
330‧‧‧噴淋頭套管
350‧‧‧處理設備
650‧‧‧基板處理系統
660‧‧‧處理腔室
670‧‧‧噴淋頭
672‧‧‧柄部
674‧‧‧頭部
675‧‧‧內部空腔
676‧‧‧分配板
678‧‧‧孔洞∕間隔孔
680‧‧‧噴淋頭套管
681‧‧‧頭部
683‧‧‧柄部
684‧‧‧內部空腔
686‧‧‧槽形孔洞∕槽口
690‧‧‧流體連接器
692‧‧‧導管∕接頭
693‧‧‧導管∕接頭
700‧‧‧板體
704‧‧‧上表面
710‧‧‧中央孔
714‧‧‧底表面
718‧‧‧接合部
720‧‧‧第二接合部
730‧‧‧導管
732‧‧‧接頭
734‧‧‧導管
736‧‧‧接頭
740‧‧‧凸出部
744‧‧‧凸出部
圖1為基板處理設備之橫剖面概要圖,該基板處理設備具有一處理腔室,該處理腔室具有單一處理站。
圖2為四站基板處理設備之概要圖,該基板處理設備具有基板搬運機械臂以及控制器,其中該基板搬運機械臂用於從二處理站裝載及卸載基板,該控制器用於操作該設備。
圖3A為基板處理設備之單站處理腔室之橫剖面概要圖,該基板處理設備使用枝形吊燈型噴淋頭以及相關的噴淋頭套管,該概要圖繪出電漿進料氣體及簾幕氣體流動路徑。
圖3B為基板處理設備之雙站處理腔室之橫剖面概要圖,每一站具有基板支座、枝形吊燈型噴淋頭、及相關的噴淋頭套管。
圖4為帕邢曲線,顯示氧分子、氮分子及氬之崩潰電壓與pd (腔室壓力–間隙乘積)之函數。
圖5A為橫剖面概要圖,說明在單一處理站附近之電漿進料氣體及簾幕氣體之流動。
圖5B為當使用O2 做為簾幕氣體時之橫跨晶圓基板之沉積膜厚度之圖式。
圖5C顯示當使用O2 做為簾幕氣體時在實質圓形基板表面上之沉積膜厚度之「熱區圖」(heat map)。
圖5D繪示當使用O2 做為簾幕氣體時在沉積膜厚度中之方位角非均勻性之49點掃描,其中點1-25取自於晶圓表面之內部區域,點26-49取自於晶圓周邊附近之邊緣區域。
圖6為在基板處理腔室中之噴淋頭及噴淋頭套管之更詳細的橫剖面圖,且該圖亦描繪主要與輔助吹淨流動路徑。
圖7為噴淋頭套管之範例之立體圖。
圖8為用於圖7之噴淋頭套管之示例流體連接器之立體圖。
圖9A與9B為圖6之噴淋頭之示例板體之俯視及仰視平面圖。
103‧‧‧汽化點
106‧‧‧噴淋頭
108‧‧‧基板支座
112‧‧‧基板
303‧‧‧多站處理腔室
310‧‧‧電漿進料氣體流動路徑
311‧‧‧處理站
312‧‧‧電漿進料氣體來源
313‧‧‧處理站
320‧‧‧簾幕氣體流動路徑
322‧‧‧簾幕氣體來源
330‧‧‧噴淋頭套管
350‧‧‧處理設備

Claims (20)

  1. 一種在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,該方法包含: 藉由使一簾幕氣體流動於一第一處理站與一第二處理站之間,容積地隔離該第一處理站與該第二處理站; 點燃由一第一電漿進料氣體所維持之一第一電漿,同時使該簾幕氣體流動,以造成在該第一處理站之膜沉積;及 點燃由一第二電漿進料氣體所維持之一第二電漿,同時使該簾幕氣體流動,以造成在該第二處理站之膜沉積; 其中,該簾幕氣體及該第一電漿進料氣體及該第二電漿進料氣體每一者包含一高崩潰電壓物種,該高崩潰電壓物種在3.4 Torr-cm之壓力–距離(pd )值下具有至少約250 V之崩潰電壓,該簾幕氣體之該高崩潰電壓物種之濃度高於該等電漿進料氣體。
  2. 如申請專利範圍第1項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中該第一電漿進料氣體及該第二電漿進料氣體具有實質上相同的化學組成。
  3. 如申請專利範圍第1項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中該高崩潰電壓物種構成約5-50%莫耳分率之該簾幕氣體。
  4. 如申請專利範圍第1項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中該高崩潰電壓物種係氧分子。
  5. 如申請專利範圍第4項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中該簾幕氣體及該第一電漿進料氣體及該第二電漿進料氣體每一者更包含氬。
  6. 如申請專利範圍第5項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中在該簾幕氣體及該第一電漿進料氣體及該第二電漿進料氣體每一者中,氧分子之莫耳分率係約5-50%。
  7. 如申請專利範圍第6項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中在該簾幕氣體及該第一電漿進料氣體及該第二電漿進料氣體每一者中,氬對氧分子之莫耳比係約1:1至19:1。
  8. 如申請專利範圍第5項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中在該簾幕氣體及該第一電漿進料氣體及該第二電漿進料氣體每一者中,氧分子之莫耳分率係約5-25%。
  9. 如申請專利範圍第8項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中在該簾幕氣體及該第一電漿進料氣體及該第二電漿進料氣體每一者中,氬對氧分子之莫耳比係約3:1至19:1。
  10. 如申請專利範圍第5項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中在該簾幕氣體及該第一電漿進料氣體及該第二電漿進料氣體每一者中,氧分子之莫耳分率係約5-15%。
  11. 如申請專利範圍第1項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中 該第一電漿進料氣體係經由在一第一枝形吊燈型噴淋頭之頭部之底表面中之複數孔洞而流至該第一處理站;及 該第二電漿進料氣體係經由在一第二枝形吊燈型噴淋頭之頭部之底表面中之複數孔洞而流至該第二處理站。
  12. 如申請專利範圍第11項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中該簾幕氣體係從該第一枝形吊燈型噴淋頭及該第二枝形吊燈型噴淋頭每一者之頭部後方而釋放至該處理腔室中。
  13. 如申請專利範圍第12項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中該簾幕氣體係經由在一第一噴淋頭套管中之複數孔洞且亦經由在一第二噴淋頭套管中之複數孔洞而流至該處理腔室中,實質上平行於該基板之平面,該第一噴淋頭套管圍繞著該第一枝形吊燈型噴淋頭之柄部,該第二噴淋頭套管圍繞著該第二枝形吊燈型噴淋頭之柄部。
  14. 如申請專利範圍第1項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,更包含: 使一第一膜前驅物吸附在位於該第一處理站之一第一基板上,俾使該第一膜前驅物形成一吸附受限層,然後在點燃該第一電漿以引起該已吸附的第一膜前驅物之反應之前,從該第一處理站之附近移除,若存在的話,未吸附的第一膜前驅物;及 使一第二膜前驅物吸附在位於該第二處理站之一第二基板上,俾使該第二膜前驅物形成一吸附受限層,然後在點燃該第二電漿以引起該已吸附的第二膜前驅物之反應之前,從該第二處理站之附近移除,若存在的話,未吸附的第二膜前驅物。
  15. 如申請專利範圍第14項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中: 該移除未吸附的第一膜前驅物之步驟包含:以該第一電漿進料氣體吹淨該第一處理站之附近;及 該移除未吸附的第二膜前驅物之步驟包含:以該第二電漿進料氣體吹淨該第二處理站之附近。
  16. 如申請專利範圍第15項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,更包含: 在已吸附的第一膜前驅物之反應之後,從該第一處理站之附近移除第一膜前驅物反應副產物;及 在已吸附的第二膜前驅物之反應之後,從該第二處理站之附近移除第二膜前驅物反應副產物。
  17. 如申請專利範圍第16項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中: 該移除第一膜前驅物反應副產物之步驟包含:以該第一電漿進料氣體吹淨該第一處理站之附近;及 該移除第二膜前驅物反應副產物之步驟包含:以該第二電漿進料氣體吹淨該第二處理站之附近。
  18. 如申請專利範圍第14項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,更包含:在該第一處理站及該第二處理站重複該吸附、移除及點燃操作一或更多次,以沉積額外的膜層在位於該第一處理站及該第二處理站之該等基板上。
  19. 如申請專利範圍第1項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中該電漿進料氣體係以每站約10至35 SLM之速率而流至該處理腔室中。
  20. 如申請專利範圍第1項之在多站半導體基板處理腔室中實施電漿活化膜沉積之方法,其中該簾幕氣體係以每站約3至60 SLM之速率而流至該處理腔室中。
TW105126033A 2015-08-17 2016-08-16 在多站半導體基板處理腔室中實施電漿活化膜沉積之方法 TWI714619B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/828,291 2015-08-17
US14/828,291 US9508547B1 (en) 2015-08-17 2015-08-17 Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors

Publications (2)

Publication Number Publication Date
TW201717253A true TW201717253A (zh) 2017-05-16
TWI714619B TWI714619B (zh) 2021-01-01

Family

ID=57351987

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105126033A TWI714619B (zh) 2015-08-17 2016-08-16 在多站半導體基板處理腔室中實施電漿活化膜沉積之方法

Country Status (3)

Country Link
US (1) US9508547B1 (zh)
KR (1) KR102635018B1 (zh)
TW (1) TWI714619B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10347547B2 (en) 2016-08-09 2019-07-09 Lam Research Corporation Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US10981193B2 (en) * 2017-04-05 2021-04-20 Nova Engineering Films, Inc. Depositing of material by spraying precursor using supercritical fluid
US11117161B2 (en) 2017-04-05 2021-09-14 Nova Engineering Films, Inc. Producing thin films of nanoscale thickness by spraying precursor and supercritical fluid
KR102108966B1 (ko) 2017-10-31 2020-05-12 (주)울텍 원자층 증착 시스템
KR20190096540A (ko) 2018-02-09 2019-08-20 (주)울텍 원자층 증착 시스템
KR102518372B1 (ko) 2018-03-23 2023-04-06 삼성전자주식회사 가스 분배 장치, 이를 포함하는 기판 처리 장치 및 이를 이용하는 반도체 공정 방법
US11913113B2 (en) * 2018-08-22 2024-02-27 Lam Research Corporation Method and apparatus for modulating film uniformity
WO2020185557A1 (en) * 2019-03-11 2020-09-17 Lam Research Corporation Apparatus for cleaning plasma chambers
KR20210128017A (ko) * 2019-03-12 2021-10-25 램 리써치 코포레이션 독립적으로 조정 가능한 페데스탈들을 사용한 멀티-스테이션 반도체 프로세싱
SG11202111201WA (en) * 2019-04-11 2021-11-29 Applied Materials Inc Plasma densification within a processing chamber
CN112216586B (zh) * 2019-07-12 2023-03-10 中微半导体设备(上海)股份有限公司 实现均匀排气的双工位处理器及等离子体处理设备
KR20220161819A (ko) 2021-05-31 2022-12-07 (주)울텍 원자층 증착 시스템

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2075455B (en) * 1980-04-30 1984-08-22 Nippon Steel Corp Apparatus and method for supporting a metal strip under a static gas pressure
US5871811A (en) * 1986-12-19 1999-02-16 Applied Materials, Inc. Method for protecting against deposition on a selected region of a substrate
US6002109A (en) * 1995-07-10 1999-12-14 Mattson Technology, Inc. System and method for thermal processing of a semiconductor substrate
US5892235A (en) * 1996-05-15 1999-04-06 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for doping
US6143081A (en) * 1996-07-12 2000-11-07 Tokyo Electron Limited Film forming apparatus and method, and film modifying apparatus and method
US6217715B1 (en) * 1997-02-06 2001-04-17 Applied Materials, Inc. Coating of vacuum chambers to reduce pump down time and base pressure
DE19852552C2 (de) * 1998-11-13 2000-10-05 Daimler Chrysler Ag Verfahren zum Betrieb eines im Viertakt arbeitenden Verbrennungsmotors
US20020104556A1 (en) * 2001-02-05 2002-08-08 Suraj Puri Controlled fluid flow and fluid mix system for treating objects
GB0112781D0 (en) * 2001-05-25 2001-07-18 Global Continuity Plc Method for rapid recovery from a network file server failure
US6866255B2 (en) * 2002-04-12 2005-03-15 Xerox Corporation Sputtered spring films with low stress anisotropy
KR100673979B1 (ko) * 2005-03-17 2007-01-24 안강호 초미립자 제조장치 및 그 방법
KR101218114B1 (ko) * 2005-08-04 2013-01-18 주성엔지니어링(주) 플라즈마 식각 장치
US8409351B2 (en) * 2007-08-08 2013-04-02 Sic Systems, Inc. Production of bulk silicon carbide with hot-filament chemical vapor deposition
US20090109595A1 (en) * 2007-10-31 2009-04-30 Sokudo Co., Ltd. Method and system for performing electrostatic chuck clamping in track lithography tools
KR101417728B1 (ko) 2008-03-12 2014-07-11 삼성전자주식회사 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법
US20090270849A1 (en) * 2008-03-17 2009-10-29 Arqos Surgical Inc. Electrosurgical Device and Method
DE102008049494A1 (de) * 2008-09-27 2010-04-08 Xtreme Technologies Gmbh Verfahren und Anordnung zum Betreiben von plasmabasierten kurzwelligen Strahlungsquellen
JP5107285B2 (ja) * 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
US9028924B2 (en) * 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
TWI590335B (zh) * 2010-08-18 2017-07-01 半導體能源研究所股份有限公司 膜形成設備及膜形成方法
JP5578276B2 (ja) * 2012-02-07 2014-08-27 三菱レイヨン株式会社 横型熱処理装置
JP2013225571A (ja) * 2012-04-20 2013-10-31 Taiyo Nippon Sanso Corp 気相成長装置
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
JP5953994B2 (ja) * 2012-07-06 2016-07-20 東京エレクトロン株式会社 成膜装置及び成膜方法
US20140044889A1 (en) * 2012-08-10 2014-02-13 Globalfoundries Inc. Methods of making stressed material layers and a system for forming such layers
KR20140033911A (ko) 2012-09-11 2014-03-19 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 증착 방법
US9399228B2 (en) 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
TWI624560B (zh) * 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
US8940646B1 (en) * 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US20150030766A1 (en) * 2013-07-25 2015-01-29 Novellus Systems, Inc. Pedestal bottom clean for improved fluorine utilization and integrated symmetric foreline
TWI629918B (zh) * 2013-08-16 2018-07-11 美商應用材料股份有限公司 用於高溫低壓環境中的延長的電容性耦合的電漿源
US9464353B2 (en) * 2013-11-21 2016-10-11 Wonik Ips Co., Ltd. Substrate processing apparatus
WO2015080900A1 (en) * 2013-11-26 2015-06-04 Applied Materials, Inc. Tilted plate for batch processing and methods of use
JP6616070B2 (ja) * 2013-12-01 2019-12-04 ユージェヌス インコーポレイテッド 誘電性複合体構造の作製方法及び装置
JP2017503079A (ja) * 2014-01-05 2017-01-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 空間的原子層堆積又はパルス化学気相堆積を使用する膜堆積
KR102486400B1 (ko) * 2014-01-13 2023-01-09 어플라이드 머티어리얼스, 인코포레이티드 공간적인 원자 층 증착에 의한 자기-정렬 이중 패터닝
KR102135740B1 (ko) * 2014-02-27 2020-07-20 주식회사 원익아이피에스 기판 처리 장치 및 기판 처리 방법
US9336997B2 (en) * 2014-03-17 2016-05-10 Applied Materials, Inc. RF multi-feed structure to improve plasma uniformity
TW201610215A (zh) * 2014-03-27 2016-03-16 應用材料股份有限公司 用於低熱預算處理的循環尖峰退火化學曝露
KR102371535B1 (ko) * 2014-04-18 2022-03-04 어플라이드 머티어리얼스, 인코포레이티드 서셉터 온도 확인을 위한 장치 및 사용 방법들
US20150380221A1 (en) * 2014-06-30 2015-12-31 Applied Materials, Inc. Hole Pattern For Uniform Illumination Of Workpiece Below A Capacitively Coupled Plasma Source
US9617638B2 (en) * 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
TWI670394B (zh) * 2014-09-10 2019-09-01 美商應用材料股份有限公司 空間原子層沈積中的氣體分離控制
US10273578B2 (en) * 2014-10-03 2019-04-30 Applied Materials, Inc. Top lamp module for carousel deposition chamber
US20160138160A1 (en) * 2014-11-18 2016-05-19 Lam Research Corporation Reactive ultraviolet thermal processing of low dielectric constant materials

Also Published As

Publication number Publication date
KR102635018B1 (ko) 2024-02-07
KR20170021210A (ko) 2017-02-27
US9508547B1 (en) 2016-11-29
TWI714619B (zh) 2021-01-01

Similar Documents

Publication Publication Date Title
KR102563427B1 (ko) 2차 퍼지 가능한 ald 시스템에서 샤워헤드 후면 기생 플라즈마 억제를 위한 방법들 및 장치들
TWI714619B (zh) 在多站半導體基板處理腔室中實施電漿活化膜沉積之方法
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
KR102662595B1 (ko) 증착 내내 웨이퍼 온도를 가변함으로써 계면 반응들 억제
KR102385553B1 (ko) 멀티스테이션 기판 증착 시스템들에서 단일 ald 사이클 두께 제어
KR102446502B1 (ko) 암모니아 프리 및 염소 프리 컨포멀 실리콘 나이트라이드 막을 증착하는 방법
US20170133202A1 (en) Computer addressable plasma density modification for etch and deposition processes
TW201623682A (zh) 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TW201413044A (zh) 高氣壓、高電力電漿活化保形膜沉積