TW201624109A - 光微影方法 - Google Patents

光微影方法 Download PDF

Info

Publication number
TW201624109A
TW201624109A TW104142172A TW104142172A TW201624109A TW 201624109 A TW201624109 A TW 201624109A TW 104142172 A TW104142172 A TW 104142172A TW 104142172 A TW104142172 A TW 104142172A TW 201624109 A TW201624109 A TW 201624109A
Authority
TW
Taiwan
Prior art keywords
photoresist
group
acid
layer
composition
Prior art date
Application number
TW104142172A
Other languages
English (en)
Other versions
TWI603145B (zh
Inventor
飛利浦 D 胡斯塔德
鍾根 朴
Original Assignee
羅門哈斯電子材料有限公司
陶氏全球科技責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 羅門哈斯電子材料有限公司, 陶氏全球科技責任有限公司 filed Critical 羅門哈斯電子材料有限公司
Publication of TW201624109A publication Critical patent/TW201624109A/zh
Application granted granted Critical
Publication of TWI603145B publication Critical patent/TWI603145B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
    • H01L21/47Organic layers, e.g. photoresist

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

本發明提供光微影方法。所述方法包括:(a)提供包括待蝕刻的有機層的半導體基板;(b)將光阻組合物層直接塗覆在所述有機層上,其中所述光阻組合物包括:包括酸可裂解離去基的樹脂,其裂解形成酸基及/或醇基;光酸產生劑;及溶劑;(c)使所述光阻層經由圖案化光罩曝露於活化輻射;(d)加熱所述光阻層,其中由所述酸產生劑產生的酸引起所述酸可裂解離去基的裂解,從而形成所述酸基及/或所述醇基;(d)將所述曝露的光阻組合物層用有機溶劑顯影劑顯影以形成包括所述酸基及/或所述醇基的負型光阻圖案;(e)在所述光阻圖案上方塗覆含矽組合物,其中所述組合物包括含矽聚合物及溶劑且不含交聯劑;(f)自所述基板沖洗殘餘含矽組合物,將所述含矽聚合物的一部分留在所述光阻圖案的表面上;及(g)選擇性蝕刻所述有機層。所述方法尤其適用於製造提供高解析度圖案的半導體裝置。

Description

光微影方法
本發明大體上關於電子裝置的製造。更具體言之,本發明關於允許使用負型顯影及選擇性蝕刻製程形成精細圖案的光微影方法。
在半導體製造業中,使用光阻材料將影像轉移至安置在半導體基板上的一或多個底層,諸如金屬、半導體及介電層,以及所述基板本身。為了增大半導體裝置的積體密度且允許形成具有奈米範圍內的尺寸的結構,已開發且繼續開發具有高解析度能力的光阻及光微影處理工具。
用於先進微影圖案化的重要促成因素為引入抗反射塗層(ARC)。此等材料在光阻/基板界面處吸收光以防止反射,因此減少駐波及薄膜干擾以允許印刷具有垂直輪廓的圖案。BARC原先以光阻的雙層堆疊形式在有機ARC層上實施。此類ARC習知地經設計以比上覆光阻圖案快得蝕刻以允許圖案轉移。然而,隨著裝置幾何結構減小,採用更複雜的堆疊已經變成必需。舉例而言,光阻/矽ARC/碳的三層堆疊已經用於允許在較小尺寸下圖案轉移,其描述在例如韋默(Weimer)等人,多層微影流程的材料及效能(Materials for and performance of multilayer lithography schemes),國際光 學工程學會會刊(Proc.SPIE)6519,光阻材料及處理技術中的進展XXIV(Advances in Resist Materials and Processing Technology XXIV),國際光學工程學會會刊,第6519卷,65192S(2007)中。在典型製程中,薄矽ARC(Si-ARC)最初用氟碳蝕刻劑打開隨後轉變成含氧蝕刻劑以將圖案轉移至底層碳層。此製程具有缺點,包含例如需要許多製程步驟,引起產品生產量減少。此外,所述製程在目前SiARC調配物的情況下一般不穩定。就此而言,SiARC典型地為用溶膠-凝膠化學方法使用矽醇鹽前驅體的縮合製造的氧化矽前驅體。縮合反應隨時間繼續,其可引起聚合物的分子量增加及溶液的黏度增加。因此,此類材料典型地具有約一週的短存放期。此引起若不快速使用,則材料的浪費。
本領域中持續需要改良之光微影方法,其解決與目前先進技術相關的一或多個問題且其允許在電子裝置製造中形成精細圖案。
根據本發明之一個態樣,提供光微影方法。所述方法包括:(a)提供包括待蝕刻的有機層的半導體基板;(b)將光阻組合物層直接塗覆在所述有機層上,其中所述光阻組合物包括:包括酸可裂解離去基的樹脂,其裂解形成酸基及/或醇基;光酸產生劑;及溶劑;(c)使所述光阻層經由圖案化光罩曝露於活化輻射;(d)加熱所述光阻層,其中由所述酸產生劑產生的酸引起所述酸可裂解離去基的裂解,從而形成所述酸基及/或所述醇基;(d)將所述曝露的光阻組合物層用有機溶劑顯影劑顯影以形成包括所述酸基及/或所述醇基的 負型光阻圖案;(e)在所述光阻圖案上方塗覆含矽組合物,其中所述組合物包括含矽聚合物及溶劑且不含交聯劑;(f)自所述基板沖洗殘餘含矽組合物,將所述含矽聚合物的一部分留在所述光阻圖案的表面上;及(g)選擇性蝕刻所述有機層。所述方法尤其適用於製造提供高解析度圖案的半導體裝置。
本文中所使用的術語僅出於描述特定實施例的目的且並非意欲限制本發明。除非上下文另作明確指示,否則如本文所使用,單數形式「一(a/an)」及「所述(the)」打算包含單數及複數形式兩者。對特定玻璃轉化溫度(Tg)的參考為如藉由差示掃描熱量測定來量測。
100‧‧‧基板
102‧‧‧有機層/蝕刻層
104‧‧‧光阻層
104'‧‧‧負型光阻圖案
106‧‧‧活化輻射
108‧‧‧光罩
112‧‧‧圖案處理組合物層
112'‧‧‧層/含矽聚合物
將參看以下附圖描述本發明,其中相同的元件符號表示相同的特徵,且其中:
圖1A至F為根據本發明之光微影製程的方法流程。
光阻圖案處理組合物
適用於本發明之光阻圖案處理組合物包含含矽聚合物及溶劑,且可包含一或多種額外視情況存在之組分。組合物當塗佈在NTD形成的光阻圖案及有機層,例如有機BARC層上方時可提供對有機層蝕刻劑(如氧電漿)的高耐蝕刻性,從而允許直接使用經處理光阻圖案作為蝕刻遮罩選擇性蝕刻有機層。光阻圖案處理組合物可使用旋塗工具塗佈,從而允許在與光阻圖案化製程的整合中的簡化處理及簡易性。以此方式,可避免複雜圖案化流程,例如使用三層堆疊,諸如光阻/矽ARC/碳的彼等。如本文所描述的組合物當用於本 發明之方法時,可進一步提供以下各項中的一或多者:與光阻圖案的均勻附接;光阻圖案上的可調厚度,從而允許經由收縮製程產生較小蝕刻特徵;改良之光阻圖案線寬粗糙度(LWR);以及改良之圖案均勻性。
用於圖案處理組合物的適合含矽聚合物包含例如無規共聚物及嵌段共聚物(BCP)。無規共聚物可包含兩個、三個、四個或四個以上不同單元。嵌段共聚物可為多嵌段共聚物。多嵌段可包含例如二嵌段、三嵌段、四嵌段或更多嵌段。嵌段可為線性共聚物、其中分支接枝至主鏈上的分支共聚物(此等共聚物有時亦稱為「梳狀共聚物」)、星形共聚物以及其類似物的一部分。含矽聚合物能夠例如藉由用由於在光阻圖案化製程期間去保護而存在於光阻圖案上的酸及/或醇基黏結來黏附至NTD形成的光阻圖案。聚合物較佳具有將聚合物錨定至光阻圖案的去保護基團的「黏性」組分,及允許形成光滑表面的「光滑」組分。黏性及光滑組分典型地在嵌段共聚物的不同嵌段上或無規共聚物的不同單元中。黏性組分及光滑組分中的一者或兩者含矽。
聚合物的黏性組分較佳包含含有有效地與光阻圖案表面處的去保護酸基及/或醇基形成一鍵(較佳地離子鍵或氫鍵)的氫受體的基團。含有氫受體的基團可為例如含氮基團或含氧基團。適合的含氮基團可與光阻圖案表面處的酸基形成離子鍵。適用的含氮基團包含例如胺基,諸如一級胺、二級胺及三級胺;及醯胺基,諸如烷基醯胺基。含氮基團亦可為環,諸如吡啶、吲哚、咪唑、三嗪、吡咯啶、氮雜環丙烷、氮雜環丁烷、哌啶、吡咯、嘌呤、二氮雜環丁烷、二噻 嗪、氮雜環辛烷、氮雜環壬烷、喹啉、咔唑、吖啶、吲唑、苯并咪唑及其類似物的一部分。
適合的含氧基團可與光阻圖案表面處的去保護醇基形成氫鍵。適用的含氧基團包含例如醚及醇基。適合的醇包含例如一級羥基,諸如羥甲基、羥乙基等;二級羥基,諸如1-羥乙基、1-羥丙基等;及三級醇,諸如2-羥基丙-2-基、2-羥基-2-甲基丙基等;及酚衍生物,諸如2-羥基苯甲基、3-羥基苯甲基、4-羥基苯甲基、2-羥萘基等。適用的醚基包含例如甲氧基、乙氧基、2-甲氧基乙氧基及其類似物。其他適用的含氧基團包含二酮官能團,諸如戊烷-2,4-二酮,及酮,諸如乙酮、丁酮及其類似物。
黏性組分的適合的單體單元包含例如甲基丙烯酸2-(N,N-二甲胺基)乙酯、丙烯酸2-(N,N-二甲胺基)乙酯、甲基丙烯酸2-(第三丁胺基)乙酯、丙烯酸2-N-嗎啉基乙酯、甲基丙烯酸2-N-嗎啉基乙酯、丙烯酸3-二甲胺基新戊酯、N-(t-BOC-胺丙基)甲基丙烯醯胺、N-[2-(N,N-二甲胺基)乙基]甲基丙烯醯胺、N-[3-(N,N-二甲胺基)丙基]丙烯醯胺、N-[3-(N,N-二甲胺基)丙基]甲基丙烯醯胺、2-乙烯基吡啶、4-乙烯基吡啶、N-(3-胺丙基)甲基丙烯醯胺、甲基丙烯酸2-胺乙酯、2-(二甲胺基)苯乙烯、4-(二甲胺基)苯乙烯、2-乙烯基吡啶、4-乙烯基吡啶以及N-乙烯吡咯啶酮。當材料為基於聚矽氧烷化學時,黏性組分典型地包含胺官能性矽氧烷單體,諸如正(乙醯基甘胺醯基)-3-胺基丙基三甲氧基矽烷、3-(正烯丙基胺基)丙基三甲氧基矽烷、烯丙胺基三甲基矽烷、4-胺基丁基三乙氧基矽烷、4-胺基-3,3-二甲基丁基甲基二甲氧基矽 烷、4-胺基-3,3-二甲基丁基三甲氧基矽烷、正(2-胺乙基)-3-胺基異丁基二甲基甲氧基矽烷、正(2-胺乙基)-3-胺基異丁基甲基二甲氧基矽烷、(胺乙基胺甲基)苯乙基三甲氧基矽烷、正(2-胺乙基)-3-胺基丙基甲基二乙氧基矽烷、正(2-胺乙基)-3-胺基丙基甲基二甲氧基矽烷、正(2-胺乙基)-3-胺基丙基三乙氧基矽烷、正(2-胺乙基)-3-胺基丙基三甲氧基矽烷、正(2-胺乙基)-2,2,4-三甲基-1-氮雜-2-矽雜環戊烷、正(6-胺己基)胺基甲基三乙氧基矽烷、正(6-胺己基)胺基甲基三甲氧基矽烷、正(2-胺乙基)-11-胺基十一基三甲氧基矽烷、3-(間胺基苯氧基)丙基三甲氧基矽烷、間胺基苯基三甲氧基矽烷、對胺基苯基三甲氧基矽烷、正-3-[(胺基(聚丙烯氧基)]胺基丙基三甲氧基矽烷、3-胺基丙基甲基二乙氧基矽烷、3-胺基丙基三乙氧基矽烷、3-胺基丙基三甲氧基矽烷、11-胺基十一基三乙氧基矽烷、正(2-正苯甲基胺基乙基)-3-胺基丙基三甲氧基矽烷、正丁基胺丙基三甲氧基矽烷、第三丁基胺丙基三甲氧基矽烷、(正環己基胺甲基)三乙氧基矽烷、(正環己基胺甲基)三甲氧基矽烷、(n,n-二乙基-3-胺丙基)三甲氧基矽烷、n,n-二甲基-3-胺基丙基甲基二甲氧基矽烷、(n,n-二甲基-3-胺丙基)三甲氧基矽烷、(3-(正乙胺基)異丁基)三甲氧基矽烷、正甲基胺丙基甲基二甲氧基矽烷、正甲基胺丙基三甲氧基矽烷、(苯基胺甲基)甲基二甲氧基矽烷、正苯基胺丙基三甲氧基矽烷等。
以聚合物計,含表面組分的單體單元典型地以0.001莫耳%至100莫耳%,0.01莫耳%至50莫耳%,0.1莫耳%至20莫耳%,或0.1莫耳%至10莫耳%的量存在於聚合物中。
光滑組分較佳具有使其可在曝光後烘烤期間流動以藉由使其與空氣的表面積最小化形成光滑表面的Tg。Tg較佳比底層光阻圖案的回流溫度低。伴以此特性,圖案處理組合物可提供改良之線紋邊緣及圓度粗糙度,導致更光滑線紋及更圓形孔洞。儘管所需Tg將視底層光阻圖案而定,光滑組分典型地具有-140℃至200℃,例如-125℃至170℃的Tg。用於聚合物的光滑組分的適合的材料包含例如聚(甲基丙烯酸第三丁酯)、聚(甲基丙烯酸甲酯)、聚(甲基丙烯酸乙酯)、聚(甲基丙烯酸丙酯)、聚苯乙烯、聚乙烯、聚二甲基矽氧烷及其類似物。
用於聚合物的光滑組分的適合的材料包含乙烯基芳族單體、丙烯酸酯單體、(烷基)丙烯酸酯單體、聚矽氧烷、聚(二甲基矽雜丁烷)或其組合。用於光滑組分的適合的乙烯基芳族單體包含苯乙烯、鄰甲基苯乙烯、對甲基苯乙烯、間甲基苯乙烯、α-甲基苯乙烯、乙基苯乙烯、α-甲基-對甲基苯乙烯、2,4-二甲基苯乙烯、一氯苯乙烯、4-第三丁基苯乙烯或其類似物,或包括前述烷基苯乙烯單體中的至少一者的組合。乙烯基芳族單體亦可包含例如如由式1表示的側接矽原子:
其中R1為SiR2,其中R2為C1-C10烷基、OSiMe2SiMe3、O(SiMe2)2SiMe3、SiMe2SiMe3、(SiMe2)2SiMe3或其類似者。包含側接矽原子的例示性苯乙烯單體顯示於式2-4中:
用於光滑組分的適合的丙烯酸酯單體可具有衍生自例如由式5表示的單體的結構:
其中R1為氫或具有1至10個碳原子的烷基,且R2為C1-10烷基、C3-10環烷基或C7-10芳烷基。(烷基)丙烯酸酯的實例為甲基丙烯酸酯、乙基丙烯酸酯、丙基丙烯酸酯、甲基丙烯酸甲酯、乙基丙烯酸甲酯、丙基丙烯酸甲酯、乙基丙烯酸乙酯、芳基丙烯酸甲酯或其類似物、或包括前述丙烯酸酯中的至少一者的組合。除非另外說明,否則術語「(甲基)丙烯酸酯」意味著涵蓋丙烯酸酯或甲基丙烯酸酯。用於光滑組分的丙烯酸酯單體的例示性實例為聚(甲基丙烯酸第三丁酯)、聚(甲基丙烯酸甲酯)、聚(甲基丙烯酸乙酯)、聚(甲基丙烯酸丙酯)。
丙烯酸酯單體亦可包含例如如由式6表示的側接矽原子:
其中R2含有矽,例如R2=SiR3,其中R3為C1-C10烷基、OSiMe2SiMe3、O(SiMe2)2SiMe3、SiMe2SiMe3、(SiMe2)2SiMe3、CH2SiMe3、CH(SiMe3)2及其類似者。包含側接矽原子的例示性丙烯酸酯單體顯示於式7-14中:
光滑組分亦可包括衍生自矽氧烷單體且具有具 式15的結構的重複單元的聚矽氧烷:
其中每個R獨立地為C1-C10烷基、C3-C10環烷基、C6-C14芳基、C7-C13烷基芳基或C7-C13芳基烷基。可在同一單體中存在前述R基團的組合。例示性矽氧烷包含二甲基矽氧烷、二乙基矽氧烷、二苯基矽氧烷及其組合。
當用於聚合物時光滑組分可以按聚合物計,例如50莫耳%至99.999莫耳%,50莫耳%至99.99莫耳%,80莫耳%至99.9莫耳%,或90莫耳%至99.9莫耳%的量存在於聚合物中。
藉由選擇適合的聚合物,可精確控制聚合物在光阻圖案側壁上的生長量。舉例而言,若需要在收縮製程中產生較小蝕刻特徵,則較大生長可為所需的。此厚度可例如藉由選擇適合的分子量進行控制,其中較高分子量導致較大厚度,且反之亦然。聚合物的化學組成亦可影響生長量。舉例而言,具有較長無擾末端距(unperturbed end-to-end distance)或特徵比的聚合物關於給定分子量提供較大厚度。當塗佈在光阻圖案,例如接觸孔、溝槽或線紋及空間圖案上方時,不論特徵尺寸或密度,可獲得一致收縮值。即,組合物允許具有最少或無接近偏差的收縮圖案。
含矽聚合物應在用於光阻圖案處理組合物的有機溶劑及用於自基板沖洗及完全移除過多聚合物(即聚合物不附著於光阻圖案)的有機溶劑中具有良好溶解性。圖案處 理組合物中聚合物的含量將視例如組合物的所需塗佈厚度而定。按組合物的總固體計,含矽聚合物典型地以80wt%至100wt%,更典型地,90wt%至100wt%,例如100wt%的量存在於組合物中。含矽聚合物的重量平均分子量典型地小於400,000g/mol,較佳地5000g/mol至200,000g/mol,更佳地1000g/mol至125,000g/mol。
含矽聚合物較佳具有良好耐蝕刻性以促進圖案轉移。聚合物對氧電漿的耐蝕刻性隨著矽含量增加而增加。矽含量高的聚合物一般對氧電漿反應性離子蝕刻具有高耐受性。因此,矽含量一般用作預測蝕刻特性的方法。當需要高耐蝕刻性時,按聚合物計,聚合物的矽含量典型地大於10wt%,較佳大於15wt%,且更佳大於20wt%,大於25wt%或大於30wt%。
適用於光阻圖案處理組合物的聚合物包含具有多個不同重複單元,例如兩個、三個或四個不同重複單元的嵌段及無規共聚物。適用於組合物的適合的共聚物包含例如:聚[(甲基丙烯酸三甲基矽烷基甲酯)-無規-(甲基丙烯酸N,N-二甲胺基乙酯)]、聚[(4-三甲基矽烷基苯乙烯)-無規-(甲基丙烯酸N,N-二甲胺基乙酯)]、聚[(甲基丙烯酸三甲基矽烷基甲酯)-無規-(2-乙烯基吡啶)]、胺丙基甲基矽氧烷-二甲基矽氧烷共聚物、胺乙基胺丙基甲基矽氧烷-二甲基矽氧烷共聚物、胺乙基胺異丁基甲基矽氧烷-二甲基矽氧烷共聚物、聚(4-三甲基矽烷基苯乙烯)-嵌段-聚(2-乙烯基吡啶)、聚(甲基丙烯酸三甲基矽烷基甲酯)-嵌段-聚(甲基丙烯酸N,N-二甲胺基乙酯)、聚(4-三甲基矽烷基苯乙烯)-嵌段-聚(甲基丙烯酸N,N-二甲胺基 乙酯)、聚(甲基丙烯酸三甲基矽烷基甲酯)-嵌段-聚(2-乙烯基吡啶)、聚(二甲基矽氧烷)-嵌段-聚(2-乙烯基吡啶)以及聚(二甲基矽氧烷)-嵌段-聚(甲基丙烯酸N,N-二甲胺基乙酯)。
圖案處理組合物典型地包含單一聚合物,但可視情況包含一或多種如上文所述的額外聚合物或另一聚合物。用於組合物中的適合的聚合物為市售的及/或可由本領域的技術人員容易地製得。
圖案處理組合物進一步包含有機溶劑或有機溶劑的混合物。調配及澆鑄組合物的適合的溶劑材料展示相對於組合物的非溶劑組分的極好可溶性特徵,但不明顯地溶解底層光阻圖案。用於組合物的適合的有機溶劑包含例如:烷基酯,諸如丙酸烷基酯,諸如丙酸正丁酯、丙酸正戊酯、丙酸正己酯及丙酸正庚酯,及丁酸烷基酯,諸如丁酸正丁酯、丁酸異丁酯及異丁酸異丁酯;酮,諸如2,5-二甲基-4-己酮及2,6-二甲基-4-庚酮;脂族烴,諸如正庚烷、正壬烷、正辛烷、正癸烷、2-甲基庚烷、3-甲基庚烷、3,3-二甲基己烷及2,3,4-三甲基戊烷,及氟化脂族烴,諸如全氟庚烷;及醇,諸如直鏈、分支鏈或環狀C4-C9一元醇,如1-丁醇、2-丁醇、3-甲基-1-丁醇、異丁醇、第三丁醇、1-戊醇、2-戊醇、1-己醇、1-庚醇、1-辛醇、2-己醇、2-庚醇、2-辛醇、3-己醇、3-庚醇、3-辛醇及4-辛醇;2,2,3,3,4,4-六氟-1-丁醇、2,2,3,3,4,4,5,5-八氟-1-戊醇及2,2,3,3,4,4,5,5,6,6-十氟-1-己醇,及C5-C9氟化二醇,諸如2,2,3,3,4,4-六氟-1,5-戊二醇、2,2,3,3,4,4,5,5-八氟-1,6-己二醇及2,2,3,3,4,4,5,5,6,6,7,7-十二氟-1,8-辛二醇;及含有此等溶劑中的一或多者的混合物。在此等有機溶劑中, 丙酸烷基酯、丁酸烷基酯及酮,較佳分支酮為較佳的,且更佳為丙酸C8-C9烷基酯、丙酸C8-C9烷基酯、C8-C9酮及含有此等溶劑中的一或多者的混合物。適合的混合溶劑包含例如烷基酮與丙酸烷基酯,如上文所描述的烷基酮與丙酸烷基酯的混合物。圖案處理組合物的溶劑組分典型地以按組合物計,90wt%至99wt%的量存在。
圖案處理組合物可包含一或多種視情況存在之添加劑,包含例如界面活性劑及抗氧化劑。此類視情況存在之添加劑若使用,則各自典型地以微量,如按組合物的總固體計0.01wt%至10wt%的量存在於組合物中。
典型的界面活性劑包含展示兩親性的彼等界面活性劑,意味著其可同時具有親水性及疏水性。兩親性界面活性劑具有一或多個對水有較強親及力的親水性頭基,及親有機物質且排斥水的長疏水性尾。適合的界面活性劑可為離子性(即,陰離子性、陽離子性)或非離子性的。界面活性劑的其他實例包含聚矽氧界面活性劑、聚(環氧烷)界面活性劑及氟化物界面活性劑。適合的非離子性界面活性劑包含(但不限於)辛基苯酚及壬基苯酚乙氧基化物,諸如TRITON® X-114、X-100、X-45、X-15,及分支鏈二級醇乙氧基化物,諸如TERGITOLTM TMN-6(美國密歇根州米德蘭的陶氏化學公司(The Dow Chemical Company,Midland,Michigan USA))。其他例示性界面活性劑包含醇(一級醇及二級醇)乙氧基化物、胺乙氧基化物、葡糖苷、還原葡糖胺、聚乙二醇、聚(乙二醇-共-丙二醇),或由新澤西州格倫洛克(Glen Rock,N.J.)的製造商康費納出版公司(Confectioners Publishing Co.)於2000年出版的北美版《麥卡琴乳化劑及清潔劑》(McCutcheon's Emulsifiers and Detergents)中所揭示的其他界面活性劑。炔系二醇衍生物類非離子性界面活性劑亦為適合的。此類界面活性劑可自賓夕法尼亞州艾倫鎮(Allentown,PA)的空氣產品及化學品公司(Air Products and Chemicals,Inc.)購得且以商品名瑟非諾(SURFYNOL)及迪諾(DYNOL)出售。額外適合的界面活性劑包含其他聚合化合物,諸如三嵌段EO-PO-EO共聚物普朗尼克(PLURONIC)25R2、L121、L123、L31、L81、L101及P123(巴斯夫公司(BASF,Inc.))。
可添加抗氧化劑以使圖案處理組合物中有機材料的氧化減至最少。適合的抗氧化劑包含例如酚類抗氧化劑、由有機酸衍生物構成的抗氧化劑、含硫抗氧化劑、磷類抗氧化劑、胺類抗氧化劑、由胺-醛縮合物構成的抗氧化劑及由胺-酮縮合物構成的抗氧化劑。酚類抗氧化劑的實例包含經取代的酚,諸如1-氧基-3-甲基-4-異丙基苯、2,6-二-第三丁基苯酚、2,6-二第三丁基-4-乙基苯酚、2,6-二第三丁基-4-甲基苯酚、4-羥甲基-2,6-二第三丁基苯酚、丁基羥基苯甲醚、2-(1-甲基環己基)-4,6-二甲基苯酚、2,4-二甲基-6-第三丁基苯酚、2-甲基-4,6-二壬基苯酚、2,6-二第三丁基-α-二甲胺基-對甲酚、6-(4-羥基-3,5-二第三丁基苯胺基)2,4-雙辛基-硫基-1,3,5-三嗪、正十八基-3-(4'-羥基-3',5'-二第三丁基苯基)丙酸酯、辛基酚、芳烷基取代的苯酚、烷基化對甲酚及受阻酚;雙酚、三酚及多酚,諸如4,4'-二羥基聯苯、亞甲基雙(二甲基-4,6-苯酚)、2,2'-亞甲基-雙-(4-甲基-6-第三丁基苯酚)、2,2'-亞甲基- 雙-(4-甲基-6-環己基苯酚)、2,2'-亞甲基-雙-(4-乙基-6-第三丁基苯酚)、4,4'-亞甲基-雙-(2,6-二第三丁基苯酚)、2,2'-亞甲基-雙-(6-α-甲基-苯甲基-對甲酚)、亞甲基交聯的多價烷基酚、4,4'-亞丁基雙-(3-甲基-6-第三丁基苯酚)、1,1-雙-(4-羥苯基)-環己烷、2,2'-二羥基-3,3'-二-(α-甲基環己基)-5,5'-二甲基二苯基甲烷、烷基化雙酚、受阻雙酚、1,3,5-三甲基-2,4,6-三(3,5-二第三丁基-4-羥基苯甲基)苯、三-(2-甲基-4-羥基-5-第三丁基苯基)丁烷及四-[亞甲基-3-(3',5'-二第三丁基-4'-羥苯基)丙酸酯]甲烷。適合的抗氧化劑為市售的,例如IrganoxTM抗氧化劑(汽巴精化公司(Ciba Specialty Chemicals Corp.))。
圖案處理組合物不含交聯劑。相信交聯劑可不利地影響接近性及大小偏差特徵,其中在分離特徵上塗佈厚度將由於分離光阻圖案中酸的較高濃度而比在更密集封裝的特徵上大。組合物較佳不含酸、酸產生劑化合物(例如熱酸產生劑化合物及光酸產生劑化合物),因為此類化合物可由於光阻圖案酸及/或醇基的競爭而限制聚合物在光阻圖案上的塗佈程度。
圖案處理組合物可遵循已知程序製備。舉例而言,組合物可藉由在溶劑組分中溶解聚合物及組合物的其他視情況存在之固體組分製備。組合物的所需總固體含量將視如組合物中的特定聚合物及所需最終層厚度的因素而定。較佳地,組合物的固體含量為按組合物的總重量計,1wt%至10wt%,更佳地1wt%至5wt%。
NTD光阻組合物
適用於本發明之光阻組合物包含包括酸敏感的 基質樹脂的化學增幅光阻組合物,意味著作為光阻組合物的層的一部分,樹脂及組合物層發生有機顯影劑的溶解度變化,此為由與由光酸產生劑在軟烘烤、曝露於活化輻射及曝光後烘烤之後產生的酸的反應所致。溶解度的變化在基質聚合物中的酸可裂解離去基,諸如光酸不穩定酯或縮醛基在曝露於活化輻射及熱處理時發生光酸促進去保護反應以產生酸或醇基團時引起。適用於本發明之適合光阻組合物為市售的。
對於在低於200nm波長,如193nm下成像,基質聚合物典型地實質上不含(例如小於15莫耳%)或完全不含苯基、苯甲基或其他芳族基團,其中此類基團高度吸收輻射。較佳的酸不穩定基團包含例如含有共價連接至基質聚合物的酯的羧基氧的三級非環烷基碳(例如第三丁基)或三級脂環族碳(例如甲基金剛烷基)的縮醛基或酯基。
適合的基質聚合物進一步包含含有(烷基)丙烯酸酯單元,較佳地包含酸不穩定(烷基)丙烯酸酯單元的聚合物,所述(烷基)丙烯酸酯單元諸如丙烯酸第三丁酯、甲基丙烯酸第三丁酯、丙烯酸甲基金剛烷酯、甲基丙烯酸甲基金剛烷酯、丙烯酸乙基葑酯、甲基丙烯酸乙基葑酯及其類似者,及其他非環烷基及脂環族(烷基)丙烯酸酯。其他適合的基質聚合物包含例如含有非芳族環烯烴(內環雙鍵),諸如視情況存在之經取代的降冰片烯的聚合單元的基質聚合物。
其他適合的基質聚合物包含含有聚合酸酐單元,尤其聚合順丁烯二酸酐及/或衣康酸酐單元的聚合物,諸如歐洲公開申請案EP01008913A1及美國專利第6,048,662號中所揭示。
亦適用作基質聚合物的為含有具有雜原子,尤其氧及/或硫的重複單元(但酸酐除外,即所述單元不含酮環原子)的樹脂。雜脂環單元可稠合至聚合物主鏈,且可包括稠合碳脂環單元,諸如藉由降冰片烯基團的聚合提供,及/或酸酐單元,諸如藉由順丁烯二酸酐或衣康酸酐的聚合提供。此類聚合物揭示於PCT/US01/14914及美國專利第6,306,554號中。其他適合的含雜原子基團的基質聚合物包含含有經一或多個含雜原子(例如氧或硫)的基團(例如羥基萘基)取代的聚合碳環芳基單元的聚合物,諸如美國專利第7,244,542號中所揭示。
上文所描述的基質聚合物中的兩者或兩者以上的摻合物可適當地用於光阻組合物中。
用於光阻組合物中的適合的基質聚合物為市售的且可由本領域的技術人員容易地製得。基質聚合物以足以使得光阻的曝光塗層在適合的顯影劑溶液中可顯影的量存在於光阻組合物中。典型地,基質聚合物以按光阻組合物的總固體計,50wt%至95wt%的量存在於組合物中。基質聚合物的重量平均分子量Mw典型地為小於100,000,例如5000至100,000,更典型地5000至15,000。
光阻組合物進一步包括以足以在曝露於活化輻射後在組合物的塗層中產生潛影的量採用的光酸產生劑(PAG)。舉例而言,光酸產生劑將適當地以按光阻組合物的總固體計,約1wt%至20wt%的量存在。典型地,相比於非化學增幅材料,較少量的PAG將適合於化學增幅光阻。
適合的PAG為化學增幅光阻領域中已知的且包 含例如:鎓鹽,例如三氟甲烷磺酸三苯基鋶、三氟甲烷磺酸(對第三丁氧基苯基)二苯基鋶、三氟甲烷磺酸三(對第三丁氧基苯基)鋶、對甲苯磺酸三苯基鋶;硝基苯甲基衍生物,例如2-硝基苯甲基-對甲苯磺酸鹽、2,6-二硝基苯甲基-對甲苯磺酸鹽以及2,4-二硝基苯甲基-對甲苯磺酸鹽;磺酸酯,例如1,2,3-三(甲烷磺醯基氧基)苯、1,2,3-三(三氟甲烷磺醯基氧基)苯以及1,2,3-三(對甲苯磺醯基氧基)苯;重氮甲烷衍生物,例如雙(苯磺醯基)重氮甲烷、雙(對甲苯磺醯基)重氮甲烷;乙二肟衍生物,例如雙-O-(對甲苯磺醯基)-α-二甲基乙二肟及雙-O-(正丁烷磺醯基)-α-二甲基乙二肟;N-羥基醯亞胺化合物的磺酸酯衍生物,例如N-羥基丁二醯亞胺甲磺酸酯、N-羥基丁二醯亞胺三氟甲磺酸酯;以及含鹵素的三嗪化合物,例如2-(4-甲氧基苯基)-4,6-雙(三氯甲基)-1,3,5-三嗪以及2-(4-甲氧基萘基)-4,6-雙(三氯甲基)-1,3,5-三嗪。可使用此類PAG中的一或多者。
用於光阻組合物的適合的溶劑包含例如:二醇醚,諸如2-甲氧基乙基醚(二乙二醇二甲醚)、乙二醇單甲醚及丙二醇單甲醚;丙二醇單甲醚乙酸酯;乳酸酯,諸如乳酸甲酯及乳酸乙酯;丙酸酯,諸如丙酸甲酯、丙酸乙酯、乙氧基丙酸乙酯及甲基-2-羥基異丁酸酯;溶纖劑酯,諸如溶纖劑乙酸甲酯;芳族烴,諸如甲苯及二甲苯;及酮,諸如丙酮、甲基乙基酮、環己酮及2-庚酮。溶劑的摻合物,如上文所描述的溶劑中的兩者、三者或三者以上的摻合物亦為適合的。溶劑典型地以按光阻組合物的總重量計,90wt%至99wt%,更典型地95wt%至98wt%的量存在於組合物中。
光阻組合物亦可包含其他視情況存在之材料。舉例而言,組合物可包含光化染料及對比染料、抗條紋劑、塑化劑、增速劑、敏化劑及其類似物中的一或多者。此類視情況存在之添加劑若使用,則典型地以微量,諸如以按光阻組合物的總固體計,0.1wt%至10wt%的量存在於組合物中。
光阻組合物的較佳的視情況存在之添加劑為添加的鹼。適合的鹼包含例如:線性及環狀醯胺及其衍生物,諸如N,N-雙(2-羥乙基)棕櫚醯胺、N,N-二乙基乙醯胺、N1,N1,N3,N3-四丁基丙二醯胺、1-甲基氮雜環庚烷-2-酮、1-烯丙基氮雜環庚烷-2-酮及1,3-二羥基-2-(羥甲基)丙-2-基胺基甲酸第三丁酯;芳族胺,諸如吡啶及二第三丁基吡啶;脂族胺,諸如三異丙醇胺、正第三丁基二乙醇胺、三(2-乙醯氧基-乙基)胺、2,2',2",2'''-(乙烷-1,2-二基雙(氮烷三基))四乙醇及2-(二丁基胺基)乙醇、2,2',2"-氮基三乙醇;環狀脂族胺,諸如1-(第三丁氧基羰基)-4-羥基哌啶、1-吡咯啶甲酸第三丁酯、2-乙基-1H-咪唑-1-甲酸第三丁酯、哌嗪-1,4-二甲酸二第三丁酯及N(2-乙醯氧基-乙基)嗎啉。添加的鹼典型地以相對較小量,例如按光阻組合物的總固體計,0.01wt%至5wt%,較佳地0.1wt%至2wt%使用。
光阻可遵循已知程序製備。舉例而言,光阻可藉由將光阻的組分溶解於適合的溶劑,例如以下中的一或多者中而製備成塗層組合物:二醇醚,諸如2-甲氧基乙基醚(二乙二醇二甲醚)、乙二醇單甲醚、丙二醇單甲醚;丙二醇單甲醚乙酸酯;乳酸酯,諸如乳酸乙酯或乳酸甲酯,其中乳酸乙酯為較佳的;丙酸酯,尤其丙酸甲酯、丙酸乙酯及乙氧基丙 酸乙酯;溶纖劑酯,諸如溶纖劑乙酸甲酯;芳族烴,諸如甲苯或二甲苯;或酮,諸如甲基乙基酮、環己酮及2-庚酮。光阻的所需總固體含量將視如組合物中的特定聚合物、最終層厚度及曝光波長的因素而定。典型地,光阻的固體含量在按光阻組合物的總重量計,1wt%至10wt%,更典型地2wt%至5wt%範圍內變化。
適合的NTD光阻在本領域中已知且包含例如美國專利揭示US20130115559A1、US20110294069A1、US20120064456A1、US20120288794A1、US20120171617A1、US20120219902A1及US7998655B2中描述的彼等。
光微影方法
現在將參看圖1A至F描述根據本發明之方法,所述圖說明藉由負型顯影形成光微影圖案的例示性方法流程。
圖1A以橫截面描繪可包含各種層及特徵的基板100。基板可具有如半導體,如矽或化合物半導體(例如III-V或II-VI)、玻璃、石英、陶瓷、銅及其類似物的材料。典型地,基板為半導體晶圓,諸如單晶矽或化合物半導體晶圓,且可在其表面上具有一或多個待蝕刻的層。層可包含例如一或多種導電層,諸如鋁、銅、鉬、鉭、鈦、鎢、合金、此類金屬的氮化物或矽化物、摻雜非晶矽或摻雜多晶矽的層;一或多種介電層,諸如氧化矽、氮化矽、氮氧化矽或金屬氧化物的層;半導體層,諸如單晶矽,及其組合。層可藉由各種技術形成,例如化學氣相沈積(CVD),諸如電漿增強CVD、低壓CVD或磊晶生長,物理氣相沈積(PVD),諸如濺鍍或 蒸發,或電鍍。一或多個待蝕刻的層的特定厚度將視材料及形成的特定裝置而變化。
在基板100上方提供有機層102。有機層較佳地實質上不含(例如按層計小於5wt%)或完全不含矽。有機層可例如為直接在上面塗佈光阻層104的有機底部抗反射塗佈(BARC)層。當基板及/或底層將在光阻曝光期間另外反射大量入射輻射,使得形成的圖案的質量將受不利影響時,可使用有機BARC層。此類塗層可改良聚焦深度、曝光寬容度、線寬均勻性及CD控制。當光阻曝光於深紫外光(300nm或300nm以下),例如KrF準分子雷射(248nm)或ArF準分子雷射(193nm)時,典型地使用抗反射塗層。抗反射塗層可包括單個層或多個不同層。適合的有機抗反射材料及形成方法在本領域中已知。有機抗反射材料為市售的,例如由羅門哈斯電子材料有限責任公司(Rohm and Haas Electronic Materials LLC)(美國馬薩諸塞州馬波羅(Marlborough,MA USA))以ARTM商標出售的彼等,諸如ARTM40A及ARTM124抗反射材料。有機層102的典型厚度為500Å至2000Å。
光阻層104在有機層102上方安置於基板上。光阻組合物可藉由旋塗、浸漬、輥塗或其他習知塗佈技術塗覆至基板。在此等技術中,旋塗為典型的。對於旋塗,塗料溶液的固體含量可基於所採用的具體塗佈設備、溶液的黏度、塗佈工具的速度及允許旋轉的時間量進行調節來提供所需的膜厚度。光阻層104的典型厚度為500Å至3000Å。
光阻層可隨後經軟烘烤以使層中的溶劑含量降至最低,從而形成無黏性塗層且改良層與基板的黏合。軟烘 烤可在加熱板上或烘箱中進行,其中加熱板為典型的。軟烘烤溫度及時間將視例如光阻的特定材料及厚度而定。典型軟烘烤在約90℃至150℃的溫度下進行,且時間為約30秒至90秒。
光阻層104隨後經由圖案化光罩108曝露於活化輻射106以在曝露區與未曝露區之間產生溶解性差異。本文中提及將光阻組合物曝露於使組合物活化的輻射表明輻射能夠在光阻組合物中形成潛影。光罩具有對應於光阻層的區的光學透明區及光學不透明區以在後續顯影步驟中分別保留及移除。曝光波長通常低於400nm、低於300nm或低於200nm,其中248nm、193nm及EUV波長(例如13.5nm)為典型的。所述方法應用於浸沒或乾式(非浸沒)微影技術。曝光能量典型地為約10至80mJ/cm2,其視曝光工具及光阻組合物的組分而定。
在光阻層104曝光後,進行曝光後烘烤(PEB)。由酸產生劑產生的酸引起酸可裂解離去基的裂解以形成酸基,典型地羧酸基及/或醇基。PEB可例如在加熱板上或烘箱中進行。PEB的條件將視例如特定光阻組合物及層厚度而定。PEB典型地在約80℃至150℃的溫度下進行,且時間為約30秒至90秒。
曝露的光阻層隨後經顯影以移除未曝露區,使曝露區形成如圖1B中所示的負型光阻圖案104'。光阻圖案104'包含去阻斷羧酸基及/或醇基團。負型顯影劑為有機溶劑顯影劑,例如選自酮、酯、醚、烴及其混合物的溶劑。適合的酮溶劑包含例如丙酮、2-己酮、5-甲基-2-己酮、2-庚酮、4-庚酮、 1-辛酮、2-辛酮、1-壬酮、2-壬酮、二異丁基酮、環己酮、甲基環己酮、苯基丙酮、甲基乙基酮及甲基異丁基酮。適合的酯溶劑包含例如乙酸甲酯、乙酸丁酯、乙酸乙酯、乙酸異丙酯、乙酸戊酯、丙二醇單甲醚乙酸酯、乙二醇單乙醚乙酸酯、二乙二醇單丁醚乙酸酯、二乙二醇單乙醚乙酸酯、乙基-3-乙氧基丙酸酯、乙酸3-甲氧基丁酯、乙酸3-甲基-3-甲氧基丁酯、甲酸甲酯、甲酸乙酯、甲酸丁酯、甲酸丙酯、乳酸乙酯、乳酸丁酯及乳酸丙酯。適合的醚溶劑包含例如二噁烷、四氫呋喃及二醇醚溶劑,例如乙二醇單甲醚、丙二醇單甲醚、乙二醇單乙醚、丙二醇單乙醚、二乙二醇單甲醚、三乙二醇單乙醚及甲氧基甲基丁醇。適合的醯胺溶劑包含例如N-甲基-2-吡咯啶酮、N,N-二甲基乙醯胺及N,N-二甲基甲醯胺。適合的烴溶劑包含例如芳族烴溶劑,諸如甲苯及二甲苯。此外,可使用此等溶劑的混合物,或與除上文所描述的彼等以外的溶劑混合或與水混合的所列溶劑中的一或多個。其他適合的溶劑包含用於光阻組合物中的彼等。顯影劑較佳地為2-庚酮或乙酸丁酯,諸如乙酸正丁酯。有機溶劑典型地以按顯影劑的總重量計,90wt%至100wt%,更典型地大於95wt%、大於98wt%、大於99wt%或100wt%的組合量存在於顯影劑中。
顯影劑材料可包含視情況存在之添加劑,例如,諸如上文關於光阻所描述的界面活性劑。此類視情況存在之添加劑典型地將以較小濃度,例如以按顯影劑的總重量計,約0.01wt%至5wt%的量存在。
顯影劑可藉由已知技術,例如藉由旋塗或覆液塗佈(puddle-coating)而塗覆於基板。顯影時間為有效移除光 阻的未曝露區的時段,其中5至30秒的時間為典型的。顯影典型地在室溫下進行。
在顯影後,光阻圖案104'可視情況在硬烘烤製程中經熱處理以從光阻圖案進一步移除溶劑。視情況存在之硬烘烤典型地用加熱板或烘箱進行,且典型地在約90℃或更高,例如約100℃至150℃的溫度下進行,且時間為約30秒至120秒。
參看圖1C,如本文所描述的圖案處理組合物塗佈在光阻圖案104'上方以形成圖案處理組合物層112。應塗覆組合物以便完全覆蓋光阻圖案。
圖案處理組合物層112典型地隨後經軟烘烤以從組合物移除溶劑且使得含矽聚合物擴散且在聚合物的黏性部分與光阻圖案的去保護酸基及/或醇基之間誘導黏結。組合物的典型軟烘烤在約90℃至150℃的溫度下進行,且時間為約30秒至120秒。
包含未黏結至光阻圖案的含矽聚合物的殘餘圖案處理組合物接著藉由沖洗自基板移除,留下如圖1D中所示的黏結至光阻圖案的聚合物的層112'。適合的沖洗溶液包含有機溶劑顯影劑,其中聚合物為可溶的。適合的材料包含例如關於NTD顯影劑在本文中所描述的顯影劑。在此等顯影劑中,乙酸正丁酯及2-庚酮為典型的。所得影像典型地具有與光阻層顯影之後的光阻圖案相比改良之(即減少的)表面粗糙度。
視情況,沖洗後烘烤可在含矽聚合物的Tg以上但光阻圖案的Tg以下的溫度下進行。此烘烤可提供有利結 果,例如呈由聚合物的熱力學驅動所致的改良之圖案粗糙度或圓度以使其與空氣的界面面積最小化的形式。
有機層102可接著使用光阻圖案104'及黏結的含矽聚合物112'作為蝕刻遮罩選擇性蝕刻以曝露底層基板100,如圖1E中所示。用於蝕刻層102的適合的蝕刻技術及化學反應在本領域中已知,其中乾式蝕刻製程,諸如反應性離子蝕刻為典型的。有機層102可例如藉由氧電漿蝕刻移除。光阻圖案104'及含矽聚合物112'接著使用已知技術,例如氧電漿灰化自基板移除。
以下非限制性實例說明本發明。
實例 光阻組合物製備
將1.663g基質聚合物A[Mw=13,300,多分散指數(PDI)=1.49]溶解於29.023g PGMEA、20.316g甲基-2-羥基異丁酸酯及8.707g 2-庚酮中。向此混合物中添加0.136g PAG A、0.094g PAG B、0.019g(1,3-二羥基-2-(羥甲基)丙-2-基)胺基甲酸第三丁酯抑止劑及0.039g聚合物添加劑A。所得混合物在輥上軋製六小時且隨後經由具有0.2微米微孔尺寸的鐵氟龍(Teflon)濾紙過濾,得到光阻組合物PR-A。
光阻圖案處理(RPT)組合物製備
使用具有以下顯示的結構及表1中所示的特性的AMS-2202、AMS-152及AMS-1203含胺聚二甲基矽氧烷材料(美國賓夕法尼亞州莫里斯維爾蓋勒斯特公司(Gelest,Inc.,Morrisville,PA USA))製備光阻圖案處理組合物。
基於蓋勒斯特公司資料表的資訊。*資料未提供。
光阻圖案處理組合物(RPT)藉由使用表2中闡述的組分及量將聚合物溶解在有機溶劑中,且用0.2微米鐵氟龍過濾器過濾組合物來製備。
NTD浸沒式微影
300mm矽晶圓用ARTM40A抗反射劑(羅門哈斯電子材料公司)旋塗以在TEL CLEAN TRAC LITHIUS i+塗佈機/顯影機上形成第一有機BARC層。晶圓在205℃下烘烤60秒,產生厚度為80nm的第一BARC膜。使用ARTM104抗反射劑(羅門哈斯電子材料公司)在第一BARC層上方塗佈第二有機BARC層,且在175℃下烘烤60秒以產生40nm頂部BARC層。將光阻組合物PR-A旋塗在雙重BARC塗佈的晶圓上且在90℃下在TEL CLEAN TRACK LITHIUS i+塗佈機/顯影機上軟烘烤60秒以提供厚度為約80nm的光阻層。在ASML TWINSCAN XT:1900i浸沒掃描儀上使用CQUAD照明條件在1.35 NA,0.85外σ及0.72內σ下,一組晶圓經由具有接觸孔圖案的遮罩曝露且另一組晶圓經由具有線紋及空間圖案的遮罩曝露。曝露的晶圓在100℃下進行曝光後烘烤60秒且隨後在TEL CLEAN TRACKTM LITHIUSTM i+塗佈機/顯影機上使用OSDTM1000(羅門哈斯電子材料公司)顯影劑顯影25秒,得到具有接觸孔或線紋及空間圖案的負型圖案化晶圓。
光阻圖案處理(RPT)組合物處理及評估
藉由旋塗將RPT組合物塗佈在圖案化晶圓上。經塗佈的晶圓在加熱板上在90℃下烘烤60秒。藉由用有機溶劑的覆液沖洗且旋轉乾燥,接著額外烘烤以移除殘餘溶劑來 移除殘餘未接枝的RPT材料。製程條件概述在表3中。
用在0.2kV至2kV加速電壓及250K放大率下操作的日立(Hitachi)CG4000 SEM記錄自上向下的掃描電子顯微鏡(SEM)影像。用在15kV加速電壓及250K放大率下操作的日立S-4800 FE-SEM記錄橫截面SEM影像。基於在光阻圖案處理之前及之後的晶圓的SEM影像測定臨界尺寸(CD)。量測值包含接觸孔直徑或線紋及空間圖案的線寬。基於180個接觸孔的CD標準差確定CD均勻性(CDU)。結果概述在表4中。
對於接觸孔及線紋及空間圖案,光阻圖案處理引起光阻圖案尺寸的呈接觸孔直徑減少及線寬增加形式的生長。此外,基於視覺觀察及CD均勻性,接觸孔圓度得到改良。
BARC蝕刻及評估
實例10-接觸孔蝕刻:
使用Plasma-Therm LLC 790+RIE工具將進行及 不進行用RPT-1的圖案處理的接觸孔圖案化晶圓經O2電漿蝕刻(25sccm,100W,6mT)30秒及60秒。如上文所描述記錄蝕刻前及蝕刻後晶圓的橫截面SEM影像。基於SEM影像的視覺觀察,圖案處理組合物RPT-1賦予光阻圖案極好耐蝕刻性,允許圖案以良好選擇性直接轉移至厚有機BARC層中。相反,不進行圖案處理的光阻圖案展示顯著光阻圖案侵蝕,導致圖案以低選擇性不佳轉移至BARC層中。
實例11-線紋及空間蝕刻:
使用Plasma-Therm LLC 790+RIE工具將進行及不進行用RPT-2或RPT-3的圖案處理的線紋及空間圖案化晶圓經O2電漿蝕刻(25sccm,100W,6mT)40秒。如上文所描述記錄SEM影像,且基於蝕刻前及蝕刻後晶圓的SEM影像針對RPT-2測定CD。CD量測結果概述在表5中。
圖案處理組合物RPT-2(基於蝕刻前及蝕刻後圖案處理晶圓的CD量測值及SEM影像的視覺觀察)及組合物RPT-3(基於SEM影像的視覺觀察)賦予光阻圖案極好耐蝕刻性,允許圖案以良好選擇性直接轉移至厚有機BARC層中。相反,不進行圖案處理的光阻圖案展示顯著光阻圖案侵蝕,導致圖案以低選擇性不佳轉移至BARC層中。
100‧‧‧基板
102‧‧‧有機層/蝕刻層
104‧‧‧光阻層
106‧‧‧活化輻射
108‧‧‧圖案化光罩

Claims (10)

  1. 一種光微影方法,其包括:(a)提供包括待蝕刻的有機層的半導體基板;(b)將光阻組合物層直接塗覆在所述有機層上,其中所述光阻組合物包括:包括酸可裂解離去基的樹脂,其裂解形成酸基及/或醇基;光酸產生劑;以及溶劑;(c)使所述光阻層經由圖案化光罩曝露於活化輻射;(d)加熱所述光阻層,其中由所述酸產生劑產生的酸引起所述酸可裂解離去基的裂解,從而形成所述酸基及/或所述醇基;(d)將所述曝露的光阻組合物層用有機溶劑顯影劑顯影以形成包括所述酸基及/或所述醇基的負型光阻圖案;(e)在所述光阻圖案上方塗覆含矽組合物,其中所述組合物包括含矽聚合物及溶劑且不含交聯劑;(f)自所述基板沖洗殘餘含矽組合物,將所述含矽聚合物的一部分留在所述光阻圖案的表面上;及(g)選擇性蝕刻所述有機層。
  2. 如申請專利範圍第1項所述之光微影方法,其中所述有機層為底部抗反射塗層。
  3. 如申請專利範圍第1項或第2項所述之光微影方法,其中所述含矽聚合物包括由包括側接矽原子的乙烯基芳族單體形成的單元。
  4. 如申請專利範圍第1項或第2項所述之光微影方法,其中所述含矽聚合物包括由包括側接矽原子的(烷基)丙烯酸酯單體形成的單元。
  5. 如申請專利範圍第1項或第2項所述之光微影方法,其中所述含矽聚合物包括聚矽氧烷。
  6. 如申請專利範圍第1項至第5項中任一項所述之光微影方法,其中所述含矽聚合物包括含有有效地與所述光阻圖案的表面處的所述酸基及/或所述醇基形成一鍵的氫受體的基團。
  7. 如申請專利範圍第6項所述之光微影方法,其中所述含有所述氫受體基的基團為含氮基團。
  8. 如申請專利範圍第1項至第7項中任一項所述之光微影方法,其中所述含矽聚合物具有按所述聚合物計,大於15wt%的矽含量。
  9. 如申請專利範圍第1項至第8項中任一項所述之光微影方法,其中所述聚合物包括具有第一嵌段及第二嵌段的嵌段聚合物。
  10. 如申請專利範圍第1項至第9項中任一項所述之光微影方法,其中所述第二嵌段的Tg比所述光阻圖案的回流溫度低。
TW104142172A 2014-12-31 2015-12-15 光微影方法 TWI603145B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201462099117P 2014-12-31 2014-12-31

Publications (2)

Publication Number Publication Date
TW201624109A true TW201624109A (zh) 2016-07-01
TWI603145B TWI603145B (zh) 2017-10-21

Family

ID=56163997

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104142172A TWI603145B (zh) 2014-12-31 2015-12-15 光微影方法

Country Status (5)

Country Link
US (1) US9916973B2 (zh)
JP (1) JP6180046B2 (zh)
KR (2) KR20160082230A (zh)
CN (1) CN105739237B (zh)
TW (1) TWI603145B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI827348B (zh) * 2022-11-08 2023-12-21 國立臺灣科技大學 多孔薄膜的製造方法

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9704711B2 (en) * 2015-03-27 2017-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-based middle layer composition
JP2016223046A (ja) * 2015-06-04 2016-12-28 東京応化工業株式会社 表面にパターンを有する繊維の製造方法
CN108351606A (zh) 2015-11-19 2018-07-31 Az电子材料(卢森堡)有限公司 用于形成细微抗蚀图案的组合物和使用该组合物的图案形成方法
US10162265B2 (en) * 2015-12-09 2018-12-25 Rohm And Haas Electronic Materials Llc Pattern treatment methods
JP6706631B2 (ja) * 2015-12-28 2020-06-10 富士フイルム株式会社 パターン形成方法、及び電子デバイスの製造方法
US10056256B2 (en) * 2016-03-16 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of priming photoresist before application of a shrink material in a lithography process
JP2018005046A (ja) * 2016-07-05 2018-01-11 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 反転パターン形成組成物、反転パターンの形成方法、および素子の形成方法
CN107146796B (zh) * 2017-04-13 2019-12-31 武汉新芯集成电路制造有限公司 一种提高背面金属栅格分辨率的方法和半导体结构
US10727045B2 (en) * 2017-09-29 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device
JP2019117229A (ja) * 2017-12-26 2019-07-18 東京応化工業株式会社 レジスト組成物及びレジストパターン形成方法
EP3807721A4 (en) 2018-06-13 2022-04-13 Brewer Science, Inc. ADHESION LAYERS FOR EXTREME UV LITHOGRAPHY
US11022885B2 (en) * 2018-08-31 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive middle layer
US10859915B2 (en) * 2018-09-21 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Adhesion layer for multi-layer photoresist
US20210200081A1 (en) * 2019-12-31 2021-07-01 Rohm And Haas Electronic Materials Llc Pattern formation methods

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100618850B1 (ko) * 2004-07-22 2006-09-01 삼성전자주식회사 반도체 소자 제조용 마스크 패턴 및 그 형성 방법과 미세패턴을 가지는 반도체 소자의 제조 방법
JP5227818B2 (ja) * 2009-01-22 2013-07-03 東京応化工業株式会社 被覆パターン形成方法、レジスト被覆膜形成用材料、レジスト組成物、パターン形成方法
CN101963755B (zh) 2009-06-26 2012-12-19 罗门哈斯电子材料有限公司 自对准间隔物多重图形化方法
JP5375412B2 (ja) * 2009-07-30 2013-12-25 Jsr株式会社 微細パターン形成用樹脂組成物および微細パターン形成方法
JP5753351B2 (ja) 2009-11-19 2015-07-22 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 電子デバイスを形成する方法
US8852848B2 (en) * 2010-07-28 2014-10-07 Z Electronic Materials USA Corp. Composition for coating over a photoresist pattern
JP5672906B2 (ja) * 2010-09-28 2015-02-18 ソニー株式会社 レジスト組成物及び半導体装置の製造方法
JP5664509B2 (ja) * 2011-09-16 2015-02-04 信越化学工業株式会社 パターン形成方法
JP5829994B2 (ja) 2012-10-01 2015-12-09 信越化学工業株式会社 パターン形成方法
JP6002554B2 (ja) 2012-11-26 2016-10-05 富士フイルム株式会社 パターン形成方法、及び、これを用いる電子デバイスの製造方法
JP6459759B2 (ja) 2014-05-26 2019-01-30 信越化学工業株式会社 パターン形成方法及びシュリンク剤
JP5822986B2 (ja) 2014-06-16 2015-11-25 ダウ コーニング コーポレーションDow Corning Corporation レジスト被覆膜形成用材料
US9448483B2 (en) * 2014-07-31 2016-09-20 Dow Global Technologies Llc Pattern shrink methods
US20160246171A1 (en) 2015-02-21 2016-08-25 Tokyo Electron Limited Method for Patterning Using a Composite Pattern

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI827348B (zh) * 2022-11-08 2023-12-21 國立臺灣科技大學 多孔薄膜的製造方法

Also Published As

Publication number Publication date
KR20160082230A (ko) 2016-07-08
US9916973B2 (en) 2018-03-13
KR20170113518A (ko) 2017-10-12
JP2016126333A (ja) 2016-07-11
JP6180046B2 (ja) 2017-08-16
TWI603145B (zh) 2017-10-21
US20160187782A1 (en) 2016-06-30
CN105739237B (zh) 2020-01-14
CN105739237A (zh) 2016-07-06

Similar Documents

Publication Publication Date Title
TWI603145B (zh) 光微影方法
TWI588896B (zh) 圖案收縮方法
TWI617900B (zh) 圖案處理方法
JP6231163B2 (ja) パターン処理法
TWI615460B (zh) 用於圖案處理的組合物和方法
TW201341981A (zh) 光阻劑圖案修整方法
TWI627220B (zh) 用於圖案處理之組合物及方法
TW201816004A (zh) 圖案處理方法
JP6373926B2 (ja) ブロック共重合体及びパターン処理組成物ならびに方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees