TW201606920A - 通過原位反饋的晶圓放置和縫隙控制最佳化 - Google Patents

通過原位反饋的晶圓放置和縫隙控制最佳化 Download PDF

Info

Publication number
TW201606920A
TW201606920A TW104120015A TW104120015A TW201606920A TW 201606920 A TW201606920 A TW 201606920A TW 104120015 A TW104120015 A TW 104120015A TW 104120015 A TW104120015 A TW 104120015A TW 201606920 A TW201606920 A TW 201606920A
Authority
TW
Taiwan
Prior art keywords
distance
top surface
base
base plate
wafer
Prior art date
Application number
TW104120015A
Other languages
English (en)
Other versions
TWI658534B (zh
Inventor
葛瑞芬凱文
拉維德亞伯拉罕
明柯維奇艾力克斯
坎德爾瓦爾桑梅許
尤多夫斯基約瑟夫
伊根陶德
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201606920A publication Critical patent/TW201606920A/zh
Application granted granted Critical
Publication of TWI658534B publication Critical patent/TWI658534B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01DMEASURING NOT SPECIALLY ADAPTED FOR A SPECIFIC VARIABLE; ARRANGEMENTS FOR MEASURING TWO OR MORE VARIABLES NOT COVERED IN A SINGLE OTHER SUBCLASS; TARIFF METERING APPARATUS; MEASURING OR TESTING NOT OTHERWISE PROVIDED FOR
    • G01D5/00Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable
    • G01D5/12Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means
    • G01D5/14Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means influencing the magnitude of a current or voltage
    • G01D5/24Mechanical means for transferring the output of a sensing member; Means for converting the output of a sensing member to another variable where the form or nature of the sensing member does not constrain the means for converting; Transducers not specially adapted for a specific variable using electric or magnetic means influencing the magnitude of a current or voltage by varying capacitance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Abstract

本發明描述了在製程夾具和基座之間的尺寸控制和監控,和晶圓位置測定的裝置和方法。

Description

通過原位反饋的晶圓放置和縫隙控制最佳化
本發明的原理和實施方式通常涉及定位和偵測在基板支撐件或轉盤中的晶圓的裝置和方法。
新一代的處理工具需要對晶圓和沉積源之間的縫隙的更嚴格的控制以滿足橫跨晶圓和不同晶圓之間的成分和厚度均勻性。此外,製程可在各種溫度下,且在晶圓表面和沉積源之間存在一系列分離的情況下進行。監控這些製程的分離距離的均勻性對於確保適當沉積厚度和品質非常重要。
攝像機已被用於確保基板支撐件和處理夾具之間的距離的均勻性,其中攝像機可用以監控處理腔室的固定區段和偵測支撐件和夾具之間的縫隙的變化,或當物體處於攝像機的視場中時伸出到支撐件水平之上的物體的存在。
然而,攝像機在內部佔據處理腔室之內的空間或在外部佔據腔室周邊周圍的空間。攝像機也可受限於所述攝像機可能暴露的溫度,因此使攝像機位於用於較高溫度製程的腔室之內可能並不是技術上可行的。例如,攝像機和其他光 偵測器將過熱且不能用於追蹤晶圓的運動或所述晶圓的凹槽直接在高於約80℃的製程溫度下。
攝像機也可通常被佈置在晶圓支撐件的外邊緣周圍,因此無法輕易地監控支撐件的內邊緣。
腔室中用於查看孔口和光學裝置的有限空間限制了用於遠端成像的選擇。來自製程關鍵硬體的高溫、電漿發熱和干擾限制了遠端光學測量和腔室中的晶圓放置的最佳化的選擇。
本發明的一態樣通常涉及一種裝置,所述裝置包含:處理夾具,所述處理夾具包含處理夾具主體,所述處理夾具主體具有處理夾具底表面、在所述夾具主體中的一或多個開口,和在所述一或多個開口之內的一或多個接近感測器;和基座,所述基座包含基座板,所述基座板具有基座板頂表面、基座中心點,和形成在基座板頂表面中與基座中心點相距距離RR的一或多個凹槽;其中基座板頂表面和處理夾具底表面大體上平行,且基座板頂表面與處理夾具底表面分離達縫隙距離DG;且一或多個開口和接近感測器與基座板頂表面大體上正交,且至少一個開口和接近感測器位於與基座中心點相距徑向距離RR處。
本發明的一態樣通常涉及一種裝置,所述裝置包含:處理夾具,包含處理夾具主體,所述處理夾具主體具有處理夾具頂表面、與所述處理夾具頂表面相對的處理夾具底表面、在所述處理夾具頂表面和處理夾具底表面之間的厚度, 和在處理夾具底表面中的三個或三個以上開口;基座,包含基座板,所述基座板具有基座板頂表面、與所述基座板頂表面相對的基座板底表面、在基座板頂表面和基座板底表面之間的厚度,和在基座板頂表面中的一或多個凹槽,其中基座板頂表面和處理夾具底表面大體上平行,且基座板頂表面與處理夾具底表面分離達縫隙距離DG;支柱,附著於基座板且界定基座的旋轉軸,其中基座板頂表面中的一或多個凹槽與旋轉軸相距距離RR;三個或三個以上電容式位移感測器,所述電容式位移感測器測量縫隙距離,其中三個或三個以上電容式位移感測器之每一者被保持在處理夾具底表面中的三個或三個以上開口的一個之內,且三個或三個以上電容式位移感測器之每一者具有大體上平行於基座板頂表面的操作面;且其中三個或三個以上接近感測器中的第一個位於與旋轉軸相距距離R1處,且三個或三個以上接近感測器中的第二個位於與旋轉軸相距距離R2處,且三個或三個以上接近感測器中的第三個位於與旋轉軸相距距離R3處,其中R2=RR且R1>R2>R3
本發明的一態樣通常涉及一種方法,所述方法包含:圍繞旋轉軸旋轉基座,所述基座包含頂表面和一或多個凹槽;在相距旋轉軸一或多個徑向距離處測量接近感測器的操作面和基座頂表面之間的縫隙距離;偵測當基座圍繞旋轉軸旋轉時縫隙距離中的變化;和決定相距縫隙距離的一或多個表面特徵結構的位置,所述縫隙距離在相距旋轉軸的一或多個徑向距離處測量。
50‧‧‧旋轉軸
100‧‧‧處理夾具
101‧‧‧剖面
102‧‧‧剖面
110‧‧‧處理夾具主體
112‧‧‧處理夾具頂表面
117‧‧‧處理夾具底表面
120‧‧‧開口
121‧‧‧開口
122‧‧‧開口
123‧‧‧開口
130‧‧‧接近感測器
131‧‧‧第一接近感測器
132‧‧‧第二接近感測器
133‧‧‧第三接近感測器
134‧‧‧第四接近感測器
139‧‧‧電路徑
200‧‧‧基座
210‧‧‧基座板
212‧‧‧頂表面
217‧‧‧基座板底表面
220‧‧‧支柱
230‧‧‧凹槽
235‧‧‧支撐面
237‧‧‧壁
239‧‧‧凹槽邊緣
295‧‧‧虛線圓
300‧‧‧晶圓
400‧‧‧注入器
425‧‧‧反應性氣體通道
435‧‧‧反應性氣體通道
440‧‧‧導管
445‧‧‧淨化氣體通道
450‧‧‧導管
455‧‧‧氣體排空通道
本發明的實施方式的進一步特徵,所述實施方式的特性和各種優點將在結合附圖考慮以下詳細描述之後變得更加明白,所述附圖也可說明申請人所預期的最佳方式,且其中相同元件符號貫穿所述附圖代表相同元件,在所述附圖中:圖1A示出製程夾具和基座的示例性實施方式的側視圖;圖1B示出圖示基座傾斜的製程夾具和基座的示例性實施方式的側視圖;圖2A示出圖示晶圓的不當定位的製程夾具和基座的一部分的示例性實施方式的側視圖;圖2B示出製程夾具和可操作關聯的基座的示例性實施方式的另一視圖;圖3示出基座的示例性實施方式的俯視圖;圖4A示出製程夾具和基座的示例性實施方式的正視圖投影;圖4B示出其中在三個不同位置處的縫隙距離測量可偵測基座弧狀彎曲的示例性實施方式;圖4C示出指示基座頂表面的斜率的平面映射的實例;圖5A至圖5C示出至少部分地在凹槽中的晶圓的不同可能的偏心位置的實例;圖6示出用於原子層沉積(atomic layer deposition; ALD)的注入器的示例性實施方式;圖7示出ALD注入器的示例性實施方式;和圖8示出ALD注入器的示例性實施方式。
在描述本發明的若干示例性實施方式之前,應理解,本發明不限於以下描述中闡述的構造詳情或製程步驟。本發明能夠具有其他實施方式和能夠被以不同方式實踐或執行。
遍及本說明書對「一個實施方式」、「某些實施方式」、「各種實施方式」、「一或多個實施方式」、「在一些實施方式中」或「一實施方式」的參考意指結合實施方式描述的特定特徵、結構、材料或特性可被包括在本發明的至少一個實施方式中。此外,在遍及本說明書的不同位置處的諸如「在一或多個實施方式中」、「在某些實施方式中」、「在一些實施方式中」、「在一個實施方式中」或「在一實施方式中」的用語的出現不一定是指本發明的相同實施方式。此外,所描述的特定特徵、結構、材料,或特性可在一或多個實施方式中以任何適當方式結合。
如本文所使用的「基板表面」是指在製造製程期間其上執行薄膜處理的基板上形成的任何基板或材料表面。例如,取決於應用,其上可執行處理的基板表面包括諸如矽、氧化矽、應變矽、絕緣體上矽(silicon on insulator;SOI)、碳摻雜氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石的材料,和諸如金屬、金屬氮化物、金屬合金,和其他導電 材料的任何其他材料。基板包括但不限於半導體晶圓。基板可被暴露於預處理製程以拋光、蝕刻、還原、氧化、羥基化、退火及/或烘烤基板表面。除直接地在基板自身表面上的薄膜處理之外,在本發明的實施方式中,所揭示的任何薄膜處理步驟也可在基板上形成的底層上執行,如下文更詳細地公開;和術語「基板表面」意在包括如上下文指示的所述底層。
本發明的原理和實施方式有關涉及製程腔室中的晶圓和製程夾具之間的垂直尺寸控制的裝置和製程。
各種實施方式涉及包含處理夾具和基座的沉積裝置,其中所述處理夾具包含附著於所述處理夾具的一或多個接近感測器,所述一或多個接近感測器可測量處理夾具和基座之間的距離。
在各種實施方式中,處理夾具可以是氣體分配噴頭、原子層沉積(ALD)注入器,或化學氣相沉積(chemical vapor deposition;CVD)裝置。
製程夾具可被精確地設計以實現和保持特定的機械縫隙,但是此縫隙距離可隨溫度和在當製程腔室打開用於維修時製程夾具分離(例如,元件相對於彼此移動)的情況下改變。
本發明的實施方式還涉及位元於不同位置處以偵測對於製程的基座凹槽中的晶圓的角度及/或位置的接近感測器,所述製程包括精確放置晶圓至移動的製程夾具中。
在各種實施方式中,數個接近感測器被大體上垂直 地安裝在基座之上的處理夾具中和朝向最接近於且面向處理夾具的基座表面。在一些實施方式中,數個接近感測器測量在感測器和直接在接近感測器之下的表面之間的距離。如在本說明書和附加申請專利範圍中所使用,術語「大體上垂直地」意指諸如接近感測器的物體的縱軸與參考面的法線形成一角度,所述角度小於5°,或小於2°,或小於1.5°,或小於1°,或小於0.5°,或小於0.2°,或約0.0°。
在各種實施方式中,處理夾具主體包含至少三個開口,且接近感測器可保持在每一開口之內。
在各種實施方式中,處理夾具包含四個開口和接近感測器。
在一些實施方式中,(諸)接近感測器可安裝於在處理夾具中形成的(諸)開口中,所述開口被配置和調整尺寸以保持(諸)接近感測器。在一些實施方式中,開口可帶螺紋且接近感測器可螺旋進入開口中。開口可被調整尺寸以用推配合或壓配合保持接近感測器。接近感測器可使用膠水或粘合劑保持在開口中。接近感測器可被焊接到開口中。接近感測器可通過推配合、壓配合、粘合劑或膠水、焊接和物理協同作用(例如,互補螺紋)的任一個的組合保持。
在各種實施方式中,接近感測器可從由電容式位移感測器和感應式位移感測器組成的群組中選擇,其中測量電信號(例如,電容或電感)與感測器端面和相對表面的端面之間的距離近似地成比例。電信號表明基座表面和感測器之間的縫隙。電容式位移感測器可測量下至奈米水平的物體的 位置。感應式位移感測器可對多塵環境更加有彈性。
在各種實施方式中,來自一或多個電容式位移感測器的測量中的變化允許決定基座對處理夾具的平行性。當基座旋轉時的電容式位移感測器和基座表面之間的距離變化可指示如果表面不平行於處理夾具、不垂直於旋轉軸及/或基座厚度變化時的擺動。
基座中的一或多個凹槽可被配置和調整尺寸以接收晶圓,其中(諸)晶圓可具有不同直徑(例如,76mm、100mm、200mm、300mm、450mm等等)和不同厚度(例如,160μm、200μm、275μm、375μm、525μm、625μm、725μm、775μm、925μm等等)。在一些實施方式中,凹槽深入基座表面中的深度可等於或稍微大於預期插入到凹槽中的晶圓的厚度,因此晶圓頂部表面與基座頂表面齊平或稍微高於所述頂表面。
在各種實施方式中,數個接近感測器可沿著從處理夾具(例如,注入器、噴頭)及/或基座的中心點徑向延伸的直線佈置,其中基座的旋轉軸位於基座中心點處且與注入器的中心點對準。在一些實施方式中,旋轉軸的投影形成處理夾具和基座兩者的中心線。
在各種實施方式中,三個接近感測器位於注入器中的垂直開口中,所述垂直開口沿著從處理夾具和基座的中心線徑向延伸的直線佈置。第一接近感測器和開口可位於相距中心點的徑向距離R1處,以便感測器位於超出凹槽的基座的外側部分之上。第二接近感測器和開口可位於相距中心線的 徑向距離R2處,以便感測器可位於基座中的凹槽之上。第三接近感測器和開口可位於相距中心線的徑向距離R3處,以便感測器位於基座的內側部分之上,所述位置比凹槽的內部邊緣更接近於旋轉軸。
在各種實施方式中,三個接近感測器位於垂直開口中,所述垂直開口並不是沿著從注入器的中心點徑向延伸的直線,更確切些說是以三角圖案佈置。在此類實施方式中,第一接近感測器可位於相距中心線的徑向距離R1處,以便感測器位於基座的外側部分之上。第二接近感測器可位於相距中心線的徑向距離R2處,以便感測器可位於基座中的凹槽之上。第三接近感測器可位於相距中心線的徑向距離R3處,以便感測器位於基座的內側部分之上。在一些實施方式中,三個或三個以上接近感測器可以相距中心的不同徑向距離圍繞製程夾具圓周地分佈。在各種實施方式中,至少三個接近感測器可以是電容式位移感測器、感應式位移感測器,或上述感測器的組合。
在各種實施方式中,使用當基座旋轉時經過基座凹槽的接近感測器來測量感測器和基座之間的距離變化允許辨識晶圓是否在基座凹槽之內具有偏心位置。
在各種實施方式中,(諸)接近(例如,電容、電感)感測器可在比典型光學解決方案更高的溫度下和更小的空間中操作。這種感測器可在實際製程條件下進行測量以通常地確認和保持準確的晶圓放置和縫隙控制。這些測量的結果可被反饋到晶圓傳送系統控制器以自動地保持最佳晶圓放置 和縫隙控制。
在各種實施方式中,晶圓傳送系統機器人可被訓練以使用機械夾具(例如定位銷)和視頻反饋(例如攝像機晶圓)將晶圓準確地放置到製程腔室中。然而,當作為製程順序的一部分加熱和冷卻腔室時,機器人放置性能和最佳晶圓放置位置(例如,凹穴或基座中心)可移動。因此,本發明的一或更多個實施方式提供用於增加晶圓放置的準確度的裝置和方法。
在各種實施方式中,控制器可與晶圓傳送系統機器人電子通訊,和提供從一或多個接近感測器獲得的關於基座的一或多個凹槽中的一或多個晶圓的定位的原位反饋。晶圓的縫隙距離DG的測量可用來調整晶圓傳送系統機器人的運動以補償基座形狀,及/或凹槽運動和定位中的變化。
在各種實施方式中,偏心晶圓位置的偵測可用於通過晶圓傳送系統機器人重新計算隨後的晶圓在基座凹槽中的放置,以避免使晶圓至少部分地在凹槽之外和補償偏心晶圓位置。在各種實施方式中,通過控制器計算的調整可被傳遞至晶圓傳送系統機器人以調整晶圓傳送系統機器人的定位和運動。
參考附圖更詳細地描述本發明的各種示例性實施方式。應將理解,這些附圖僅說明一些實施方式,且不表示應對附加申請專利範圍進行參考的本發明的完整範圍。
圖1A示出處理夾具100和基座200的示例性實施方式的側視圖。在各種實施方式中,處理夾具包含具有一或多 個開口120的處理夾具主體110,其中每一開口可被配置和定尺寸以接收接近感測器130。(諸)開口120可相距處理夾具的中心及/或基座200的旋轉軸50一徑向距離定位。(諸)接近感測器130可通過電路徑139與儀錶及/或控制器電通訊。處理夾具可進一步包含處理夾具頂表面112、處理夾具底表面117,且處理夾具在處理夾具頂表面112和處理夾具底表面117之間具有厚度。處理夾具底表面117與處理夾具頂表面112相對,且處理夾具底表面117可大體上平行於處理夾具頂表面112。處理夾具底表面117可形成大體上水平面,所述水平面可平行於基座板頂表面212。一或多個開口可形成在處理夾具底表面117中。在各種實施方式中,開口可以是通過處理夾具厚度的厚度的通孔。
在各種實施方式中,與至少三個接近感測器電通訊的控制器通過電路徑從接近感測器接收電信號和決定在至少三個接近感測器和所述至少三個接近感測器之下的表面特徵結構之間的距離,且如果所述距離在預期範圍之外提供一警報。警報可提供晶圓未對準的音響指示(例如,警報器)、視覺指示(例如,顯示器上的閃光或圖像)或上述指示的組合。如果距離在預期範圍之外或晶圓未對準,那麼控制器可中斷晶圓的處理。
控制器可以是電腦,所述電腦可以是單板電腦、膝上型電腦、桌上型電腦、伺服器、大型機、平板電腦(pad)、平板電腦(tablet)、特殊應用積體電路(application specific integrated circuit;ASIC),或本領域已知的其他類比和數位電 子電路。控制器可從接近感測器接收電子信號和執行資料獲取,所述資料獲取可用於後續計算和決定;且控制器可發送電子信號至電動機、致動器、閥門和其他元件。電腦可包含用於儲存程式、採集的資料和計算值的暫時性和非暫時性記憶體。控制器也可與各種儀錶通訊和相互作用,所述儀錶連接到接近感測器且與接近感測器電通訊。非暫時性電腦可讀取媒體可以是非暫時性電腦記憶體,所述電腦記憶體諸如硬碟、光學驅動裝置(CD、DVD、Blu-ray)、快閃記憶體驅動器,和其他可移動或不卸載式存放裝置。
在各種實施方式中,基座包含基座板210,基座板210包含基座板頂表面212、基座板底表面217,且基座板210具有在基座板頂表面212和基座板底表面217之間的厚度。基座板頂表面212形成大體上水平面,所述水平面可支撐放置在所述水平面上的物體。基座板底表面217與基座板頂表面212相對,且可大體上平行於基座板頂表面212。
在各種實施方式中,基座可進一步包含支柱220,所述支柱220可定位在基座板210的中心,且支柱220可界定基座200的旋轉軸50。支柱220可以是附著於基座板210的單獨元件或是基座板210的組成部分,其中支柱220和基座板210兩者是由相同的材料塊組成(例如,通過鑄造或機械加工)。
在各種實施方式中,基座可由導電材料(例如,金屬)、非導電材料(例如,碳、石墨),或上述材料的組合製成。
在各種實施方式中,一或多個凹槽230可形成在基座 板210的基座板頂表面212中。在一些實施方式中,(諸)凹槽230可具有小於基座板210的厚度的深度、其上可放置晶圓的支撐面235,和圍繞支撐面235周邊的壁。在一些實施方式中,(諸)凹槽可具有水平凸緣,所述水平凸緣可支撐放置在其上的晶圓的邊緣。
在一些實施方式中,如圖1A中所示,在基座200的頂表面212中的凹槽230被調整大小以便在凹槽230中支撐的晶圓300具有頂表面320,所述頂表面320大體上平行於基座200的頂表面212。具有與基座200的頂表面212共面的頂表面320的晶圓也可被稱為與頂表面212齊平的晶圓300。
如在本說明書和附加申請專利範圍中所使用,術語「大體上共面」意指晶圓的頂表面與基座元件的頂表面在±0.2mm的公差範圍內共面。在一些實施方式中,頂表面在±0.15mm、±0.10mm或±0.05mm的公差範圍內共面。
在各種實施方式中,處理夾具100與基座200處於空間關係中,以使得處理夾具100的底表面117在基座的頂表面212之上且大體上平行於基座的頂表面212,且基座200的中心及/或旋轉軸與處理夾具的中心線大體上對準。處理夾具100的底表面117與基座200的頂表面212分離達距離DG,所述距離DG在兩個表面之間形成縫隙。
在各種實施方式中,縫隙距離DG在約0.1mm至約5.0mm的範圍之內,或在約0.1mm約至約3.0mm的範圍內,或在約0.1mm至約2.0mm的範圍內,或在約0.2mm至約1.8mm的範圍內,或在約0.3mm至約1.7mm的範圍內,或在約0.4mm 至約1.6mm的範圍內,或在約0.5mm至約1.5mm的範圍內,或在約0.6mm至約1.4mm的範圍內,或在約0.7mm至約1.3mm的範圍內,或在約0.8mm至約1.2mm的範圍內,或在約0.9mm至約1.1mm的範圍內,或是約1mm。
在各種實施方式中,縫隙距離DG可通過上升及/或下降基座200來調整。在一些實施方式中,處理腔室中的基座200的高度可用高度調節設備來調節,所述高度調節設備操作地關聯於基座支柱220。
在各種實施方式中,一或多個接近感測器130可以是電容式或感應式位移感測器,所述位移感測器可產生電信號,所述電信號與感測器的操作面和位於感測器操作面137之下的表面之間的距離有關。在一些實施方式中,接近感測器可產生可包含電壓及/或電流的信號,所述信號與感測器操作面137和直接相對於感測器操作面137的表面之間的測量距離成比例,所述表面可以是基座頂表面212、凹槽支撐面235、位於基座頂表面212上或至少部分地在凹槽230中的晶圓的頂面。在其中接近感測器130的感測器操作面137與處理夾具100的底表面117平等或齊平且與基座頂表面212的至少一部分相對的一些實施方式中,測量距離可等於縫隙距離DG。在其中接近感測器130的感測器操作面137不與處理夾具100的底表面117平等或齊平的一些實施方式中,可包括偏移量以根據測量距離計算縫隙距離DG
在各種實施方式中,電容式位移感測器對於約0.1mm至約5.0mm的縫隙距離範圍可具有約0.2nm至約28nm的 靈敏度範圍,或對於約0.1mm至約2.0mm的縫隙距離範圍可具有約0.2nm至約12nm的靈敏度範圍,或對於約0.5mm至約1.25mm的縫隙距離範圍可具有約0.8nm至約7nm的靈敏度範圍,其中靈敏度可以是在測量範圍處的垂直解析度。
圖1B示出圖示基座傾斜的製程夾具和基座的示例性實施方式的側視圖。在操作期間和隨著時間推移,基座可經歷一些翹曲、下垂、對準變化,或基座板形狀及/或尺寸的其他變形。基座板形狀及/或尺寸的這些變化可以例如由於處理溫度的變化而是暫時的,或者例如由於基座板210在支柱220上的不當安裝,或非彈性應力及/或應變而是永久的。
在一些實施方式中,基座板對準、形狀及/或尺寸中的所述變化可通過一或多個接近感測器130來偵測,所述一或多個接近感測器130測量在感測器操作面137和與感測器操作面137相對的表面之間的縫隙距離DG1、DG2和DG3。一或多個縫隙距離DG1、DG2和DG3的測量值的差異可指示基座板是傾斜的,且傾斜角θT由接近感測器130的已知定位和測量的縫隙距離DG1、DG2和DG3中的差異之間的關係來計算。大於預定閾值的傾斜角θT可用於例如通過警報指示基座不在公差範圍之內和需要維修或替換。
圖2A示出圖示晶圓在基座板的凹槽之內的不當定位的處理夾具100和基座200的一部分的示例性實施方式的側視圖。當晶圓被不適當地放置於凹槽230中時,晶圓300的邊緣可放置在凹槽230的支撐面235之上,且晶圓300的表面可放置在凹槽邊緣239上,以便晶圓處於傾斜狀態和至少部分地在 凹槽230外。
在各種實施方式中,至少一個接近感測器可位於處理夾具100中的一位置處,所述接近感測器至少暫時地在可含有晶圓300的凹槽230的至少一部分之上經過。如果晶圓在凹槽中齊平,那麼至少一個接近感測器可偵測一縫隙距離,所述縫隙距離不同於將被測量的值。在一些實施方式中,至少一個接近感測器可橫跨凹槽的中間三分之一部分。
在各種實施方式中,晶圓是否大體上與凹槽共面可通過當晶圓大體上與凹槽共面時將測量縫隙距離與期望值比較來決定。
圖2B示出圖示晶圓在基座板210的凹槽之內的不當定位的處理夾具100和操作地關聯的基座200的示例性實施方式的剖面側視圖。製程夾具100的一部分和基座200的一部分展示保持在開口120中的四個接近感測器的放置,所述開口位於基座200的不同部分之上。在實施方式中,第一接近感測器131位於相距旋轉軸50的最遠處且最接近於製程夾具100和基座200的外邊緣,和測量第一縫隙距離DG1;第二接近感測器132位於處理夾具100中的一位置,所述位置與操作關聯的基座200中的一或多個凹槽230的中心部近似重合,以便感測器132經過一或多個凹槽,且第二接近感測器132測量第二縫隙距離DG2。第三接近感測器133位於最接近旋轉軸50且相距處理夾具100和基座200的邊緣最遠之處,且測量第三縫隙距離DG3;和第四接近感測器134位於第二接近感測器132和第三接近感測器133之間,其中所述第四接近感測器134位於處理夾 具100中的一位置,所述位置與一或多個凹槽230的壁237近似重合,且第四接近感測器134測量第四縫隙距離DG4
在各種實施方式中,接近感測器131、132、133和134可與儀錶及/或控制器電通訊,所述儀錶及/或控制器可偵測來自接近感測器的電信號和計算縫隙距離DG1、DG2、DG3和DG4,以決定基座板210是否平行於處理夾具100的底表面,及/或晶圓300是否適當地位於凹槽230之內。
在各種實施方式中,控制器可通過電路徑接收電信號和根據電信號決定距離值。距離值可被儲存在非暫時性電腦可讀取媒體上及/或用於近似即時地測量和比較距離值。
在各種實施方式中,基線縫隙距離和測量縫隙距離可被儲存在非暫時性電腦可讀取媒體中用於後續計算和統計分析。
作為非限制性實例,基座板210的平行頂表面212和與基座板210的頂表面212齊平的適當定位的晶圓可對於接近感測器131、132、133和134產生在預期公差和變化之內的距離值,其中DG1=DG2=DG3=DG4。相反,當晶圓沒有被適當地放置在凹槽230之內時,因此晶圓沒有適當地定位,在凹槽之上經過的接近感測器132可提供相當於一距離值的電信號,所述距離值小於或大於當晶圓齊平時預期的值,以使得DG2≠DG1及/或DG3。同樣地,移位的晶圓可產生小於預期值的距離值,因為晶圓邊緣在基座板210的頂表面212之上。與一或多個凹槽230的壁237近似重合的接近感測器134可提供相當於一距離值的電信號,所述距離值小於當晶圓齊平時預期的值,以 使得DG4≦DG1及/或DG3。在實施方式中,控制器也可比較DG4和DG2的測量值,以使得如果DG4≠DG2,那麼表明晶圓沒有被適當定位。
圖3示出具有所示的半徑RS和六個凹槽230的基座200的示例性實施方式的俯視圖,其中基座板210的頂表面212和凹槽230的支撐面235可見。當基座旋轉時的一或多個接近感測器可在頂表面212周圍追蹤的路徑被示出為虛線。一或多個接近感測器可位於相距旋轉軸50一預定徑向距離的操作關聯的處理夾具(未示出)中,所述位置與預期被監控的基座200的特徵重合。
在各種實施方式中,具有內徑的一或多個凹槽可圍繞基座圓周地佈置,其中一或多個凹槽的(諸)中心可位於相距基座旋轉軸的距離RR處。在各種實施方式中,至(諸)凹槽中心的距離RR近似等於從基座的旋轉軸50到開口和接近感測器的距離,因此當基座旋轉時接近感測器在(諸)凹槽的中心上方經過。
在實施方式中,如圖3中所示,開口和接近感測器可位於操作關聯的處理夾具中的一位置處,所述位置與基座200的旋轉軸50相距距離R1,所述位置與超出凹槽的基座板210的頂表面212的一部分重合且可不被其他表面特徵結構中斷。位於相距旋轉軸50的距離R1處的接近感測器可最接近於基座板210和處理夾具的邊緣。
在各種實施方式中,表面特徵結構可包括由凹槽中的晶圓定位產生的凹槽和縫隙距離變化。
在實施方式中,如圖3中所示,開口和接近感測器可位於操作關聯的處理夾具中的一位置處,所述位置與基座的旋轉軸50相距距離R2,所述位置在六個凹槽230之上經過且可至少暫時地與六個凹槽230的中心近似地重合。
在實施方式中,如圖3中所示,開口和接近感測器可位於操作關聯的處理夾具中的一位置處,所述位置與基座的旋轉軸50相距距離R3,所述位置與比凹槽更接近的基座板210的頂表面212的一部分重合且可不被其他表面特徵結構中斷。位於與旋轉軸50相距距離R3處的接近感測器可與基座板210和處理夾具的邊緣相距最遠,且最接近於旋轉軸50。
在實施方式中,如圖3中所示,開口和接近感測器可位於操作關聯的處理夾具中的一位置處,所述位置在與基座的旋轉軸50相距距離R4處,所述位置通過六個凹槽230且可至少暫時地與六個凹槽230的壁237重合。
仍由圖3所示,開口和接近感測器可如由箭頭所示圍繞處理夾具圓周地分散,同時還當基座旋轉時在徑向距離R1、R2、R3和R4處圍繞基座追蹤相同路徑。
雖然基座200在圖3中被圖示成圓板,但是可在不背離本發明的精神和範圍的情況下使用其他形狀,例如基座可具有正方形、五邊形、六邊形,或其他多邊形形狀。
在各種實施方式中,晶圓可被放置在一或多個凹槽230中,其中(諸)晶圓具有小於凹槽直徑的外徑。
圖4A示出製程夾具和基座200的示例性實施方式的正視圖投影,其中製程夾具包含兩個楔形剖面101、102。在 各種實施方式中,基座可具有一或多個凹槽,例如,在圖4A中示出圍繞基座200對稱放置的八個凹槽。在剖面101中示出被配置和定尺寸以保持接近感測器的三個開口,且投射到基座200上的每一開口的重合位置被圖示為虛線圓295。第一開口121位於相距基座的旋轉軸50和處理夾具100的中心線距離R1處。第二開口122位於相距基座的旋轉軸50和處理夾具100的中心線距離R2處。第三開口123位於相距基座的旋轉軸50和處理夾具100的中心線的距離R3處。如圖3中先前所示,當基座圍繞旋轉軸50旋轉時,位於開口120中的接近感測器將追蹤基座表面之上的圓形監控路徑,且所述接近感測器將測量感測器的操作面和基座200的頂表面212上的特徵之間的縫隙距離。
在各種實施方式中,剖面102可包含開口,所述開口可以是除了剖面101中的開口之外的開口。
雖然圖4A圖示在處理夾具的相同剖面101上以直線佈置的開口121、122和123,但是在其他示例性實施方式中,開口和接近感測器的每個可位於處理夾具的不同剖面上,但是如果開口和感測器仍然位於每個不同徑向距離R1、R2和R3處,那麼相同圓周路徑將通過接近感測器在基座的周轉期間被掃瞄。在各種實施方式中,接近感測器可以一速度掃瞄基座表面,所述速度在約10mm/s和約150mm/s之間,或在約50mm/s和約100mm/s之間,或在約55mm/s和90mm/s之間,或是約90mm/s。
隨著晶圓尺寸增加和產量需要最大化而引起多個晶 圓被同時地處理,基座和製程夾具的尺寸增加。在所述系統中,存在沿著基座板下垂,以及晶圓離開支撐件(例如,支柱、凹槽凸緣)位置的機會。
圖4B示出其中在三個不同位置的縫隙距離測量DG1、DG2和DG3可偵測例如由於材料疲勞、過熱,或其他塑性變形的基座彎曲的示例性實施方式。在各種實施方式中,接近感測器可連續地掃瞄基座的頂表面和監控縫隙距離中的變化,和控制器可決定對於基座旋轉的至少一部分是否DG1>DG2>DG3
在各種實施方式中,至少三個開口和保持在至少三個開口之內的至少三個接近感測器可在處理夾具中沿著直線佈置,以便接近感測器可偵測基座的彎曲。
圖4C示出指示至基座200的頂表面的平面的斜度的平面映射。在各種實施方式中,可同時地獲得不形成直線的三個或三個以上接近感測器位置的縫隙距離,且所述三個值用於計算平面,其中ax+by+cz=d。三個或三個以上同時測量和計算可決定基座是否在特定方向上傾斜及/或不與關聯處理夾具平行。
在各種實施方式中,至少三個開口和保持在至少三個開口之內的至少三個接近感測器可在處理夾具中以三角圖案佈置,以便接近感測器可為平面的至少三個點提供測量以便偵測基座的傾斜。
圖5A至圖5C示出至少部分地在基座的凹槽230中的晶圓300的不同可能的偏心位置的實例。因為晶圓300具有比 凹槽的內徑至少稍微較小的外徑以在凹槽之內適配,所以可能存在晶圓移動位置的空間。在非限制性實例中,虛線指示接近感測器可隨著基座旋轉掃瞄的近似路徑。如與當接近感測器掃瞄基座表面和凹槽時的縫隙距離DG相關的特徵高度的圖形被示出在每個假設的晶圓佈置之上,其中垂直虛線指示由於表面特徵結構的DG的變化而引起的特徵高度變化。
在各種實施方式中,縫隙距離的基線值可通過測量在參考點處的縫隙距離來建立,例如已知平行於接近感測器的操作面且不被其他表面特徵結構中斷的基座板頂表面的一部分。
通常,晶圓邊緣的位置可相對於凹槽的中心和凹槽壁定中心,所述凹槽和凹槽壁界定最佳晶圓放置位置。
圖5A示出至少部分地在凹槽230外的晶圓300的實例,因此所述晶圓沒有適當定位且具有傾斜角。在大體上水平的基座的頂表面212之上經過的接近感測器可提供DG和特徵高度的基線,如圖形的第I部分中所示。當接近感測器通過凹槽230的邊緣時,感測器可偵測DG的突然增加,所述突然增加指示特徵高度的減小,如圖形的第II部分中所示。當接近感測器到達偏心定位的晶圓300的邊緣時,由於靠在凹槽的凸起邊緣上的晶圓傾斜,接近感測器偵測到大約晶圓厚度的DG減小和特徵高度增加,繼之以近似穩定的特徵高度增加,如圖形的第III部分中所示。當晶圓在接近感測器之下移動時,未傾斜的晶圓可能不顯示任何特徵高度變化,因為偵測到大約穩定(例如,線性)的特徵高度增加可能指示傾斜的晶圓。 由於在凹槽230的邊緣之上延伸的晶圓的部分,測量特徵高度可增加到由基座頂表面設定的基線之上。在接近感測器已經過晶圓的後邊緣之後,所述感測器可對於頂表面偵測回到基線的特徵高度的突然減小。
在各種實施方式中,至少部分地在凹槽外的晶圓可通過偵測縫隙距離來決定,所述縫隙距離隨著基座旋轉線性增加或減小。
在各種實施方式中,諸如凹槽和晶圓的表面特徵結構可通過以下步驟來偵測:圍繞旋轉軸旋轉基座,所述基座包含頂表面和一或多個凹槽;在相距旋轉軸的一或多個徑向距離處測量在接近感測器的操作面和基座頂表面之間的縫隙距離;偵測當基座圍繞旋轉軸旋轉時的縫隙距離中的變化;和根據在相距旋轉軸的一或多個徑向距離處測量的縫隙距離來決定一或多個表面特徵結構的位置。
在各種實施方式中,可將測量縫隙距離與基線縫隙距離比較,且縫隙距離的增大可辨識當基座旋轉時的一或多個凹槽的前邊緣。
在各種實施方式中,空凹槽可通過偵測縫隙距離來辨識,所述縫隙距離比基線縫隙距離大達約凹槽的已知深度。在一或多個凹槽的至少一個凹槽中的晶圓的存在可通過偵測一縫隙距離來辨識,所述縫隙距離在基座的特定旋轉期間大於基線縫隙距離,但小於凹槽深度。
圖5B示出位於凹槽230之內且經相抵於壁定位至凹槽側面的晶圓300的實例。在大體上水平的基座的頂表面212 之上經過的接近感測器可提供DG和特徵高度的基線,如圖形的第I部分中所示。當接近感測器通過凹槽230的邊緣時,感測器可偵測DG的突然增加,所述突然增加指示特徵高度的減小,如圖形的第II部分中所示。當接近感測器到達偏心定位的晶圓300的邊緣時,接近感測器偵測到大約晶圓厚度的DG中的減小和特徵高度的增大,如圖形的第III部分中所示。此外,I中的基線特徵高度和當通過III中的晶圓時的特徵高度之間的差異可指示晶圓是否與基座的頂表面212大致齊平。因為,在此實例中,晶圓大致相抵於接近感測器經過的凹槽230的壁,所以接近感測器可偵測特徵高度的微小增加,所述微小增加等於晶圓300的頂部低於基座的頂表面212的距離,如圖形的第IV部分所示。
圖5C示出相抵於壁遠離凹槽中心對角定位的晶圓300的實例。當接近感測器通過凹槽230的邊緣時,感測器可偵測DG的突然增加,所述突然增加指示特徵高度的減小,如圖形的第II部分中所示。因為在此實例中僅圖示在晶圓和凹槽壁之間的最小縫隙,所以接近感測器可能取決於接近感測器的橫向空間解析度而偵測不出間隔。在各種實施方式中,接近感測器可具有大約等於電場大小的橫向空間解析度,和在接近感測器的傳感區域之下測量至目標的平均距離,例如接近感測器可具有等於或小於約2.5mm、或等於或小於約1.5mm、或等於或小於約1.1mm的橫向空間解析度,其中橫向空間解析度可取決於接近感測器的傳感區域的尺寸。當接近感測器通過晶圓300的邊緣時,感測器可偵測DG中的突然增大, 此突然增大指示特徵高度的下降,如圖形的第III部分中所示;此特徵高度下降之後增加回到基準值,如圖形的第IV部分中所示。
在各種實施方式中,在旋轉期間大致通過凹槽中心的接近感測器可偵測間隔至晶圓任一側的尺寸,和計算晶圓的位置相對於凹槽壁和基座頂表面的近似偏心率。晶圓位置的偏心率可通過測量橫向距離來決定,所述橫向距離由基座在辨識凹槽的前邊緣和辨識在凹槽中的晶圓存在之間穿過。
在各種實施方式中,基座的旋轉可以是間歇的,例如在基座停止以便裝載或卸載晶圓的情況下;且當基座正在旋轉時,可進行在接近感測器的操作面和基座頂表面之間的縫隙距離的測量。
在各種實施方式中,基座的旋轉可以是連續的,且在接近感測器的操作面和基座頂表面之間的縫隙距離的測量可以是連續的。
圖6示出包含注入器400的處理夾具,所述注入器400可引入(諸)氣體用於原子層沉積。注入器可包含一系列通道,所述一系列通道可被順序地佈置和配置和定尺寸以從注入器和基座之間的縫隙傳送或排空氣體。所述處理夾具/注入器也可被稱為氣體噴頭。
在各種實施方式中,通道可被以操作序列佈置,其中傳送反應氣體至縫隙的通道具有至少在氣體傳送通道的任一側上排空氣體的通道。在一些實施方式中,排空氣體的通道可圍繞傳送反應氣體的通道。在一些實施方式中,傳送非 反應淨化氣體的通道可鄰近於排空氣體的至少一個通道。在一些實施方式中,傳送非反應淨化氣體的通道可圍繞排空氣體的通道。
在各種實施方式中,接近感測器的一或多個開口可位於ALD注入器的不同部分中,例如在氣體輸送通道之內、在排氣通道之內,或在分離兩個通道的壁之內。
在實施方式中,開口和感測器可位於氣體傳送通道之內,所述氣體傳送通道傳送淨化氣體以減少或防止在一不同的通道處進入的反應沉積氣體沉積在感測器的操作面上。在接近感測器的操作面上的非預期材料層的形成可改變感測器的電特性和影響測量的距離值,如此可使接近感測器不起作用。
在一些實施方式中,ALD注入器中的開口和感測器可至少通過排氣通道與氣體傳送通道分離。
在一些實施方式中,ALD注入器中的開口和感測器可至少通過淨化氣體通道和排氣通道與氣體傳送通道分離。
如圖6中所示的非限制性實例可包含(從左至右)在注入器周邊處的氣體排空通道455、鄰近於氣體排空通道455的淨化氣體通道445、鄰近於淨化氣體通道445的氣體排空通道455、鄰近於氣體排空通道455的用於傳送反應性氣體A的反應性氣體通道425、鄰近於反應性氣體通道425的氣體排空通道455、鄰近於氣體排空通道455的淨化氣體通道445、鄰近於淨化氣體通道445的氣體排空通道455、鄰近於氣體排空通道455的用於傳送反應性氣體B的反應性氣體通道435、鄰近於反 應性氣體通道435的氣體排空通道455、鄰近於氣體排空通道455的淨化氣體通道445,和鄰近於淨化氣體通道445的在最右側的氣體排空通道455。
在各種實施方式中,用於感測器的開口120可位於將氣體排空通道455與相鄰淨化氣體通道445分離的壁中,其中淨化氣體通道445比開口更接近於反應性氣體通道425、435。將開口120放置在距離反應性氣體通道425、435的淨化氣體通道445的遠側提供了非反應氣體的篩網,所述篩網減少或防止反應氣體到達保持在開口120中的接近感測器的操作面。
在各種實施方式中,用於感測器的開口120可位於將氣體排空通道455與相鄰淨化氣體通道445分離的壁中,其中氣體排空通道455比開口120更接近於反應性氣體通道425、435,如圖6中所示。將開口120放置在相距反應性氣體通道425、435的氣體排空通道455的遠側上允許反應性氣體被排空,以減少或防止反應氣體到達保持在開口120中的接近感測器的操作面。
在各種實施方式中,感測器的開口120可位於淨化氣體通道445中,以便淨化氣體在保持於開口120中的接近感測器的操作面上直接地流動,如圖6中所示。將開口120放置在淨化氣體通道445中提供了非反應氣體的篩網,所述篩網減少或防止反應氣體到達保持在開口120中的接近感測器的操作面。
在實施方式中,製程夾具是用於原子層沉積的包含至少十一個通道的注入器,其中至少三個通道傳送淨化氣體 ,且至少三個開口和至少三個接近感測器位於淨化氣體通道之內。
在實施方式中,製程夾具是用於原子層沉積的包含至少十一個通道的注入器,其中兩個通道傳送反應性氣體、至少三個通道傳送淨化氣體、至少六個通道在真空之下以排空氣體;和將相鄰通道分離的壁,且至少三個開口和至少三個接近感測器位於淨化氣體通道和真空通道之間的壁之內。
圖7示出ALD注入器400的示例性實施方式的剖視圖,此圖圖示用於傳送反應氣體A和B、淨化氣體P,和通過真空V排空氣體的大體上平行通道的連續佈置。導管440傳送淨化氣體至淨化氣體P通道,且導管450被連接到真空V通道且與真空V通道流體連通。如在本說明書和附加申請專利範圍中所使用,在此態樣中使用的術語「大體上平行通道」意指氣體通道的細長軸在相同的大致方向上延伸。氣體通道的平行性可能有輕微的不足。數個大體上平行的氣體通道可包括至少一個第一反應氣體A通道、至少一個第二反應氣體B通道、至少一個淨化氣體P通道及/或至少一個真空V通道。
如圖8中所示的注入器的非限制性實例可包含圍繞楔形反應性氣體通道425、435的數個氣體排空通道455,和位於氣體排空通道455之間的楔形淨化氣體通道445,上述通道以圍繞圓形注入器的圖案佈置。反應性氣體通道425可傳送反應性氣體A,且反應性氣體通道435可傳送反應性氣體B。
在各種實施方式中,用於感測器的開口120可位於將氣體排空通道455與相鄰淨化氣體通道445分離的壁中,及/或 在淨化氣體通道445之內。將開口120放置在淨化氣體通道445之內且通過氣體排空通道455與反應性氣體通道425、435分離提供了非反應氣體的篩網,所述篩網減少或阻止反應氣體到達保持在開口120中的接近感測器的操作面。
在各種實施方式中,開口120和接近感測器130可以直線、三角圖案或隨機圖案佈置。如果接近感測器沒有與注入器的表面完全地齊平,那麼偏移量可被包括在DG的任何計算中。
實施方式涉及使用接近感測器以偵測高度變化、記錄晶圓邊緣相對於腔室中的晶圓對準夾具的位置的橫向位置,和決定凹槽中的晶圓定位的方法。
應將認識到,本發明的實施方式的製程、材料和設備提供優於用於光阻劑的當前已知製程、材料和設備的若干優點。
儘管已參考特定實施方式描述了本文的發明,但是應理解,這些實施方式僅為本發明的原理和應用的說明。將對本領域技藝人士顯而易見的是,在不背離本發明的精神和範圍的情況下,可對本發明的材料、方法和裝置進行各種修改和變化。因此,本發明意圖包括在附加申請專利範圍和所述申請專利範圍的同等物範圍之內的修改和變化。
50‧‧‧旋轉軸
120‧‧‧開口
131‧‧‧第一接近感測器
132‧‧‧第二接近感測器
133‧‧‧第三接近感測器
134‧‧‧第四接近感測器
210‧‧‧基座板
230‧‧‧凹槽
235‧‧‧支撐面
300‧‧‧晶圓

Claims (20)

  1. 一種裝置,包含:一處理夾具,包含一處理夾具主體,該處理夾具主體具有一處理夾具底表面、在該處理夾具主體中的一或多個開口;至少一個接近感測器,保持在該處理夾具主體中的該開口的至少一個之內;和一基座,該基座包含一基座板,該基座板具有一基座板頂表面、一基座中心點,和形成在該基座板頂表面中與該基座中心點相距一距離RR的一或多個凹槽;其中該基座板頂表面和該處理夾具底表面大體上平行,且該基座板頂表面與該處理夾具底表面分離達一縫隙距離DG;和該等至少一個接近感測器的每一個具有大體上平行於該基座板頂表面的一操作面,且至少一個開口和接近感測器位於相距該基座中心點的一徑向距離RR處。
  2. 如請求項1之裝置,其中該處理夾具主體包含至少三個開口和保持在該至少三個開口之內的至少三個接近感測器,其中一第一開口位於相距該基座中心點的一距離R1處,一第二開口位於相距該基座中心點的一距離R2處,和一第三開口位於相距該基座中心點的一距離R3處,和其中R2=RR且R1>R2>R3
  3. 如請求項2之裝置,其中該處理夾具主體包含四個開口和保持在該等四個開口之內的四個接近感測器,其中該第四開口位於相距該基座中心點的一距離R4處,且R2>R4>R3
  4. 如請求項2之裝置,該裝置進一步包含與該至少三個接近感測器電通訊的一控制器,其中該控制器通過一電路徑接收電信號和決定在該至少三個接近感測器和該至少三個接近感測器之下的表面特徵結構之間的距離,和如果該距離在一預期範圍之外提供一警報;和該基座進一步包含一支柱,該支柱界定該基座的一旋轉軸。
  5. 如請求項2之裝置,其中該至少三個開口和保持在該等至少三個開口之內的至少三個接近感測器可在該處理夾具中沿著一直線佈置,以便該接近感測器可偵測該基座的彎曲。
  6. 如請求項2之裝置,其中該至少三個開口和保持在該至少三個開口之內的至少三個接近感測器可在該處理夾具中以一三角圖案佈置,以便該接近感測器可提供用於偵測該基座的傾斜的測量。
  7. 如請求項2之裝置,其中該處理夾具是用於原子層沉積的包含至少十一個通道的一注入器,其中該等通道的至少三個傳送一淨化氣體,且該等至少三個開口和至少三個接近感測 器位於淨化氣體通道之內。
  8. 如請求項2之裝置,其中該處理夾具是用於原子層沉積的包含至少十一個通道的一注入器,其中該等通道的至少三個傳送一淨化氣體,至少六個通道是在真空之下以排空氣體;和將相鄰通道分離的一壁,且該等至少三個開口和至少三個接近感測器位於一淨化氣體通道和一真空通道之間的壁之內。
  9. 如請求項2之裝置,其中該至少三個接近感測器是電容式位移感測器、感應式位移感測器,或該兩種感測器的一組合。
  10. 如請求項2之裝置,其中該等接近感測器是對於約0.1mm至約5.0mm的一縫隙距離範圍具有約0.2nm至約28nm的一靈敏度範圍的電容式位移感測器。
  11. 一種裝置,包含:一處理夾具,包含一處理夾具主體,該處理夾具主體具有一處理夾具頂表面、與該處理夾具頂表面相對的一處理夾具底表面、在該處理夾具頂表面和該處理夾具底表面之間的一厚度,和在該處理夾具底表面中的三個或三個以上開口;一基座,包含:一基座板,該基座板具有一基座板頂表面、與該基座 板頂表面相對的一基座板底表面、在該基座板頂表面和該基座板底表面之間的一厚度,和在該基座板頂表面中的一或多個凹槽,其中該基座板頂表面和該處理夾具底表面大體上平行,且該基座板頂表面與該處理夾具底表面分離達一縫隙距離DG;和一支柱,附著於該基座板且界定該基座的一旋轉軸,其中該基座板頂表面中的該一或多個凹槽與該旋轉軸相距一距離RR;三個或三個以上電容式位移感測器,該電容式位移感測器測量縫隙距離,其中該三個或三個以上電容式位移感測器之每一者被保持在該處理夾具底表面中的該三個或三個以上開口的一個之內,且該三個或三個以上電容式位移感測器之每一者具有大體上平行於該基座板頂表面的一操作面;和其中該三個或三個以上接近感測器中的一第一個位於與該旋轉軸相距一距離R1處,且該三個或三個以上接近感測器中的一第二個位於與旋轉軸相距一距離R2處,且該三個或三個以上接近感測器中的一第三個位於與該旋轉軸相距一距離R3處,其中R2=RR且R1>R2>R3
  12. 一種方法,包含以下步驟:圍繞一旋轉軸旋轉一基座,該基座包含一頂表面和一或多個凹槽;在相距該旋轉軸的一或多個徑向距離處測量一接近感測器的一操作面和該基座的該頂表面之間的一縫隙距離; 偵測當該基座圍繞該旋轉軸旋轉時該縫隙距離中的變化;和決定相距該縫隙距離的一或多個表面特徵結構的位置,該縫隙距離在相距該旋轉軸的一或多個徑向距離處測量。
  13. 如請求項12之方法,該方法進一步包含以下步驟:通過在一參考點處測量該縫隙距離來建立一基線縫隙距離。
  14. 如請求項13之方法,該方法進一步包含以下步驟:將該測量縫隙距離與該基線縫隙距離比較,和偵測該縫隙距離中的一增大以辨識當該基座旋轉時的該一或多個凹槽的一前邊緣。
  15. 如請求項14之方法,該方法進一步包含以下步驟:通過偵測一縫隙距離來辨識在該一或多個凹槽的至少一個中的一晶圓的存在,該縫隙距離大於該基線縫隙距離,但小於該凹槽的該深度。
  16. 如請求項15之方法,該方法進一步包含以下步驟:通過偵測一縫隙距離來決定晶圓是否至少部分地在一凹槽之外,該縫隙距離隨著基座旋轉線性地增大或減小。
  17. 如請求項15之方法,該方法進一步包含以下步驟:在一非暫時性電腦可讀取媒體中儲存該基線縫隙距離和測量縫隙 距離以便後續計算和統計分析;和通過當該晶圓大體上與該凹槽共面時將該測量縫隙距離與一期望值比較來決定該晶圓是否大體上與該凹槽共面。
  18. 如請求項15之方法,該方法進一步包含以下步驟:通過測量該橫向距離來決定一晶圓位置的偏心率,該橫向距離由該基座在辨識該凹槽的一前邊緣和辨識在該凹槽中的一晶圓存在之間穿過。
  19. 如請求項12之方法,其中該基座的該旋轉是間歇的,且當基座旋轉時進行在一接近感測器的該操作面和該基座的該頂表面之間的該縫隙距離的測量。
  20. 如請求項12之方法,該方法進一步包含以下步驟:通過在形成一三角圖案的三個或三個以上位置處同時地測該縫隙距離來決定基座的一傾斜。
TW104120015A 2014-06-27 2015-06-22 通過原位反饋的晶圓放置和縫隙控制最佳化 TWI658534B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462018224P 2014-06-27 2014-06-27
US62/018,224 2014-06-27
US14/471,884 US10196741B2 (en) 2014-06-27 2014-08-28 Wafer placement and gap control optimization through in situ feedback
US14/471,884 2014-08-28

Publications (2)

Publication Number Publication Date
TW201606920A true TW201606920A (zh) 2016-02-16
TWI658534B TWI658534B (zh) 2019-05-01

Family

ID=54929888

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104120015A TWI658534B (zh) 2014-06-27 2015-06-22 通過原位反饋的晶圓放置和縫隙控制最佳化

Country Status (4)

Country Link
US (1) US10196741B2 (zh)
KR (2) KR102410568B1 (zh)
CN (2) CN105225985B (zh)
TW (1) TWI658534B (zh)

Families Citing this family (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
JP6134191B2 (ja) * 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
JP6305314B2 (ja) * 2014-10-29 2018-04-04 東京エレクトロン株式会社 成膜装置およびシャワーヘッド
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR102420015B1 (ko) * 2015-08-28 2022-07-12 삼성전자주식회사 Cs-ald 장치의 샤워헤드
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
CN109219863B (zh) * 2016-06-03 2021-02-09 应用材料公司 基板距离监控
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6640781B2 (ja) * 2017-03-23 2020-02-05 キオクシア株式会社 半導体製造装置
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10361099B2 (en) * 2017-06-23 2019-07-23 Applied Materials, Inc. Systems and methods of gap calibration via direct component contact in electronic device manufacturing systems
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) * 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN109671637B (zh) * 2018-11-08 2021-05-07 北京北方华创微电子装备有限公司 一种晶圆检测装置及方法
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
GB201902032D0 (en) * 2019-02-14 2019-04-03 Pilkington Group Ltd Apparatus and process for determining the distance between a glass substrate and a coater
US11133205B2 (en) * 2019-05-24 2021-09-28 Applied Materials, Inc. Wafer out of pocket detection
EP3842732A4 (en) * 2019-08-02 2022-05-04 Shenzhen Yuejiang Technology Co., Ltd. HOUSING, HOUSING MODULE AND MECHANICAL ARM OF MECHANICAL EQUIPMENT, AND ROBOT
JP7330027B2 (ja) * 2019-09-13 2023-08-21 株式会社Screenホールディングス 基板処理装置、および、基板処理方法
JP7098677B2 (ja) 2020-03-25 2022-07-11 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
EP3905311A1 (de) * 2020-04-27 2021-11-03 Siltronic AG Verfahren und vorrichtung zum abscheiden einer epitaktischen schicht auf einer substratscheibe aus halbleitermaterial
DE102020119873A1 (de) 2020-07-28 2022-02-03 Aixtron Se Verfahren zum Erkennen fehlerhafter oder fehlerhaft in einem CVD-Reaktor eingesetzte Substrate
US20220108907A1 (en) * 2020-10-05 2022-04-07 Applied Materials, Inc. Semiconductor substrate support leveling apparatus
JP7452458B2 (ja) * 2021-02-16 2024-03-19 株式会社デンソー 半導体装置の製造装置
CN113117988B (zh) * 2021-03-08 2022-06-07 同济大学 一种用于座便器杯体与座圈粘接面的点胶路径控制方法
JP2022139625A (ja) * 2021-03-12 2022-09-26 東京エレクトロン株式会社 真空処理装置および傾き調整方法
KR102571198B1 (ko) * 2023-06-16 2023-08-29 디에스이테크 주식회사 동작상태 기반의 진공앵글밸브 진단 장치 및 방법

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3539759A (en) 1968-11-08 1970-11-10 Ibm Susceptor structure in silicon epitaxy
JPH0697676B2 (ja) 1985-11-26 1994-11-30 忠弘 大見 ウエハサセプタ装置
JPH081922B2 (ja) 1991-01-25 1996-01-10 株式会社東芝 ウェハ−保持装置
US6197117B1 (en) 1997-07-23 2001-03-06 Applied Materials, Inc. Wafer out-of-pocket detector and susceptor leveling tool
WO2002008487A1 (en) * 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
US20030209326A1 (en) * 2002-05-07 2003-11-13 Mattson Technology, Inc. Process and system for heating semiconductor substrates in a processing chamber containing a susceptor
TWI307526B (en) * 2002-08-06 2009-03-11 Nikon Corp Supporting device and the mamufacturing method thereof, stage device and exposure device
KR20040022278A (ko) * 2002-09-03 2004-03-12 삼성전자주식회사 반도체를 제조하기 위한 장치
US6950774B2 (en) * 2003-01-16 2005-09-27 Asm America, Inc. Out-of-pocket detection system using wafer rotation as an indicator
US6823753B1 (en) 2003-05-16 2004-11-30 Asm America, Inc. Sensor signal transmission from processing system
US7352440B2 (en) 2004-12-10 2008-04-01 Asml Netherlands B.V. Substrate placement in immersion lithography
CN101877305B (zh) * 2005-04-19 2012-01-11 株式会社荏原制作所 基底处理设备
US20080246493A1 (en) * 2007-04-05 2008-10-09 Gardner Delrae H Semiconductor Processing System With Integrated Showerhead Distance Measuring Device
US7572686B2 (en) * 2007-09-26 2009-08-11 Eastman Kodak Company System for thin film deposition utilizing compensating forces
JP5156446B2 (ja) 2008-03-21 2013-03-06 株式会社Sumco 気相成長装置用サセプタ
DE102008057005A1 (de) * 2008-11-11 2010-05-12 Jonas & Redmann Automationstechnik Gmbh Verfahren zum Positionieren und/oder Führen mindestens eines beliebigen Prozesskopfes für die Metallisierung von dünnen Substraten in einem definierten Abstand über der Substratoberfläche
DE102009010555A1 (de) 2009-02-25 2010-09-02 Siltronic Ag Verfahren zum Erkennen einer Fehllage einer Halbleiterscheibe während einer thermischen Behandlung
JP5107285B2 (ja) * 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
CN102939648B (zh) * 2010-06-01 2015-05-27 松下电器产业株式会社 等离子处理装置以及等离子处理方法
US9870937B2 (en) 2010-06-09 2018-01-16 Ob Realty, Llc High productivity deposition reactor comprising a gas flow chamber having a tapered gas flow space
US20120225191A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20130210238A1 (en) 2012-01-31 2013-08-15 Joseph Yudovsky Multi-Injector Spatial ALD Carousel and Methods of Use
US20130196078A1 (en) 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
WO2014144533A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Position and temperature monitoring of ald platen susceptor

Also Published As

Publication number Publication date
CN110265328A (zh) 2019-09-20
CN105225985B (zh) 2019-07-05
CN105225985A (zh) 2016-01-06
KR20220088394A (ko) 2022-06-27
KR20160001683A (ko) 2016-01-06
US20150376782A1 (en) 2015-12-31
US10196741B2 (en) 2019-02-05
CN110265328B (zh) 2023-09-01
KR102567811B1 (ko) 2023-08-16
KR102410568B1 (ko) 2022-06-16
TWI658534B (zh) 2019-05-01

Similar Documents

Publication Publication Date Title
CN110265328B (zh) 通过原位反馈的晶片放置和间隙控制最佳化
US11430680B2 (en) Position and temperature monitoring of ALD platen susceptor
US10648788B2 (en) Substrate distance monitoring
JP7443430B2 (ja) リアルタイムの力および膜応力制御を備えた基板支持体
JP6412983B2 (ja) 間隙検出用のインテリジェントなハードストップと制御機構
KR20170015208A (ko) Ccd 카메라 및 로봇을 사용한 웨이퍼 정렬 및 센터링을 위한 시스템 및 방법
KR20100063786A (ko) 웨이퍼 보우 계측 장치 및 그 방법
CN111029291A (zh) 一种晶圆定位装置及具有其的减薄机
US7651873B1 (en) Method relating to the accurate positioning of a semiconductor wafer