TW201606845A - Plasma treatment device and exhaust structure thereof - Google Patents

Plasma treatment device and exhaust structure thereof Download PDF

Info

Publication number
TW201606845A
TW201606845A TW104113904A TW104113904A TW201606845A TW 201606845 A TW201606845 A TW 201606845A TW 104113904 A TW104113904 A TW 104113904A TW 104113904 A TW104113904 A TW 104113904A TW 201606845 A TW201606845 A TW 201606845A
Authority
TW
Taiwan
Prior art keywords
plasma
processing chamber
processing
exhaust
substrate
Prior art date
Application number
TW104113904A
Other languages
Chinese (zh)
Other versions
TWI657476B (en
Inventor
Toshihiro Tojo
Yasufumi Utsugi
Kazuo Sasaki
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201606845A publication Critical patent/TW201606845A/en
Application granted granted Critical
Publication of TWI657476B publication Critical patent/TWI657476B/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6734Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders specially adapted for supporting large square shaped substrates

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Even if a high-power high-frequency power is applied to a support base, the unexpected discharge or plasma in a treatment room can be effectively prevented from entering an exhaust area. A plasma treatment device places a substrate (G) on a support surface of a support base (23) in a treatment room (4), and applies a bias high-frequency power to the support base (23) and also conducts plasma treatment at the same time to the substrate (G) in the treatment room (4). The plasma treatment device is characterized by comprising multiple separation members (50), which are placed at a location under the support surface and divide the treatment room (4) into a treatment area (41) for the plasma treatment to the substrate (G) and an exhaust area (42) connected to an exhaust system. The separation members, which are made of conductive materials, are connected to ground and do not include openings. The adjacent separation members (50) are disposed to form compartments in such a way that treatment gas supplied to the treatment area (41) is guided to the exhaust area (42).

Description

電漿處理裝置及其所用的排氣構造 Plasma processing device and exhaust structure used therefor

本發明,係關於對基板進行電漿處理之電漿處理裝置及其所用的排氣構造。 The present invention relates to a plasma processing apparatus for plasma-treating a substrate and an exhaust structure therefor.

在半導體裝置或平板顯示器(FPD)的製造工程中,係存在有對基板進行電漿蝕刻或成膜處理等之電漿處理的工程。 In the manufacturing process of a semiconductor device or a flat panel display (FPD), there is a process of performing plasma treatment such as plasma etching or film formation on a substrate.

在像這樣的電漿處理中,係使用電漿蝕刻裝置或電漿CVD成膜裝置等的各種電漿處理裝置。以電漿處理裝置進行電漿處理時,係在將基板載置於載置台上(該載置台,係被設置於保持為真空之處理室內)的狀態下,在處理室內生成預定氣體之電漿,而對基板施予電漿處理。 In the plasma treatment as described above, various plasma processing apparatuses such as a plasma etching apparatus or a plasma CVD film forming apparatus are used. When the plasma treatment is performed by the plasma processing apparatus, a plasma of a predetermined gas is generated in the processing chamber while the substrate is placed on the mounting table (the mounting table is placed in a processing chamber held in a vacuum). And the substrate is subjected to a plasma treatment.

已知有下述技術:在電漿處理裝置中,為了防止處理室內之處理區域的電漿侵入至排氣區域而造成在排氣路徑或設置於排氣路徑之構件發生放電的情形,而在處理室的內壁與載置台之間,設置於全面形成衝孔或縫隙等的開口部,而確保氣體通路的隔板,並且使隔板接地 (例如專利文獻1)。 There is known a technique in which, in a plasma processing apparatus, in order to prevent plasma in a processing region in a processing chamber from intruding into an exhaust region, a discharge occurs in a member of an exhaust path or a member disposed in the exhaust path, and Between the inner wall of the processing chamber and the mounting table, an opening portion that completely forms a punching hole or a slit is provided, and a partition of the gas passage is secured, and the partition plate is grounded. (for example, Patent Document 1).

[先前技術文獻] [Previous Technical Literature] [專利文獻] [Patent Literature]

[專利文獻1]日本特開2010-238980號公報 [Patent Document 1] Japanese Patent Laid-Open Publication No. 2010-238980

可是,在像這樣的電漿處理裝置中,係有下述情形:為了有效地吸引電漿中的離子,而對載置台施加高頻偏壓。在大型基板之電漿處理中,雖必須使像這樣的高頻偏壓成為高功率,但在對載置台施加高功率的高頻電力之後,當將隔板接地時,則會在形成於隔板的衝孔發生輝光放電,或者輝光放電來回動作的現象,而電漿有可能變得不穩定。 However, in such a plasma processing apparatus, in order to efficiently attract ions in the plasma, a high frequency bias is applied to the mounting table. In the plasma processing of a large substrate, it is necessary to make such a high-frequency bias high-power, but after applying high-frequency high-frequency power to the mounting table, when the separator is grounded, it is formed in the partition. The punching of the plate causes a glow discharge, or the glow discharge moves back and forth, and the plasma may become unstable.

本發明,係有鑑於該情事所進行研究者,如處理大型基板的情形般,即使在對載置台施加高功率的高頻電力時,仍提供一種電漿處理裝置及像這樣的電漿處理裝置所用之排氣構造為課題,該電漿處理裝置,係可有效地防止處理室內之不期望部分中的放電或電漿侵入至排氣區域。 According to the present invention, in consideration of the circumstances, a plasma processing apparatus and a plasma processing apparatus like the above are provided even when high-frequency high-frequency power is applied to the mounting table, as in the case of processing a large substrate. The exhaust gas structure used is an object which can effectively prevent discharge or plasma in an undesired portion of the processing chamber from entering the exhaust region.

為了解決上述課題,本發明之第1觀點,係 提供一種電漿處理裝置,其特徵係,具有:處理室,收容基板且施加電漿處理;載置台,具有在前述處理室內載置基板的載置面;處理氣體供給系統,對前述處理室內供給處理氣體;排氣系統,對前述處理室內進行排氣;電漿生成機構,生成用以對載置於前述載置台之基板進行電漿處理的電漿;高頻電源,用以對前述載置台施加偏壓用高頻電力;及複數個分隔構件,設置於前述載置面的下方位置,並將前述處理室分隔為對基板進行電漿處理的處理區域與連通於前述排氣系統的排氣區域,且由導電性材料所構成而不具有開口部,前述複數個分隔構件,係連接於接地電位,且相鄰的分隔構件,係在此之間,以形成有將供給至前述處理區域的處理氣體引導至前述排氣區域之隔間的方式,予以間隔配置。 In order to solve the above problems, the first aspect of the present invention is Provided is a plasma processing apparatus comprising: a processing chamber that houses a substrate and applies a plasma treatment; the mounting table has a mounting surface on which the substrate is placed in the processing chamber; and a processing gas supply system that supplies the processing chamber a processing gas; an exhaust system that exhausts the processing chamber; a plasma generating mechanism that generates a plasma for plasma processing the substrate placed on the mounting table; and a high frequency power supply for the mounting table a bias high frequency power is applied; and a plurality of partition members are disposed below the mounting surface, and the processing chamber is partitioned into a processing region for plasma-treating the substrate and an exhaust gas communicating with the exhaust system a region composed of a conductive material without an opening, the plurality of partition members being connected to a ground potential, and adjacent partition members being disposed therebetween to be formed to be supplied to the processing region The manner in which the process gas is directed to the compartment of the exhaust zone is arranged at intervals.

又,本發明之第2觀點,係提供一種排氣構造,其係在具有處理室(收容基板且施加電漿處理)、載置台(具有在前述處理室內載置基板的載置面)、處理氣體供給系統(對前述處理室內供給處理氣體)、排氣系統(對前述處理室內進行排氣)、電漿生成機構(生成用以對載置於前述載置台之基板進行電漿處理的電漿)及高頻電源(用以對前述載置台施加偏壓用高頻電力)的電漿處理裝置中,將供給至前述處理室的處理氣體引導至前述排氣系統,該排氣構造,其特徵係,具有:複數個分隔構件,設置於前述載置面的下方位置,並將前述處理室分隔為對基板進行電漿處理的處理區域與連通於前述排氣系統 的排氣區域,且由導電性材料所構成而不具有開口部,前述複數個分隔構件,係連接於接地電位,且相鄰的分隔構件,係在此之間,以形成有將供給至前述處理區域的處理氣體引導至前述排氣區域之隔間的方式,予以間隔配置。 According to a second aspect of the present invention, there is provided an exhaust structure having a processing chamber (a substrate is placed and plasma treatment is applied), a mounting table (having a mounting surface on which the substrate is placed in the processing chamber), and processing a gas supply system (a process gas is supplied to the processing chamber), an exhaust system (exhausting the processing chamber), and a plasma generating mechanism (a plasma for generating a plasma treatment on a substrate placed on the mounting table) And a plasma processing apparatus for high-frequency power supply (a high-frequency power for applying bias voltage to the mounting stage), wherein the processing gas supplied to the processing chamber is guided to the exhaust system, and the exhaust structure is characterized The system has a plurality of partition members disposed at a position below the mounting surface, and partitioning the processing chamber into a processing region for plasma-treating the substrate and communicating with the exhaust system The exhaust region is composed of a conductive material and does not have an opening, and the plurality of partition members are connected to a ground potential, and adjacent partition members are formed therebetween to be supplied to the foregoing The processing gas in the processing region is guided to the compartment of the exhaust region, and is disposed at intervals.

在上述第1觀點及第2觀點中,在不同於前述分隔構件的高度位置,更具有遮蔽構件為較佳,該遮蔽構件,係於俯視的情況下,設置為遮蔽前述隔間的至少一部分,且由導電性材料所構成,並且不具有開口部,且連接於接地電位。前述遮蔽構件,係設置於前述分隔構件的下方位置為較佳,且於俯視的情況下,設置為遮蔽前述隔間的全部為較佳。 In the above first aspect and second aspect, it is preferable to have a shielding member at a height position different from the partition member, and the shielding member is provided to shield at least a part of the compartment in a plan view. It is made of a conductive material and does not have an opening and is connected to a ground potential. It is preferable that the shielding member is provided at a position below the partition member, and it is preferable to provide all of the partitions in a plan view.

前述分隔構件,係可設置於前述處理室的內壁和與其相對向之前述載置台的側壁之間。在該情況下,前述處理室,係具有平面形狀為矩形形狀的空間,前述載置台其平面形狀係形成矩形形狀,前述分隔構件,係對應於前述載置台的各側壁而設置,前述隔間,係可形成於前述矩形形狀之空間的角隅部。 The partition member may be disposed between an inner wall of the processing chamber and a side wall of the mounting table opposite thereto. In this case, the processing chamber has a space having a rectangular planar shape, the mounting table has a rectangular shape in plan view, and the partition member is provided corresponding to each side wall of the mounting table, and the compartment is It is formed in a corner portion of the space of the aforementioned rectangular shape.

又,前述電漿生成機構,係具有用以在前述處理區域生成感應耦合電漿的高頻天線者為較佳。在該情況下,前述高頻天線,係亦可經由介電質窗而設置於前述處理室的上部,又,亦可經由金屬窗而設置於前述處理室的上部。 Further, it is preferable that the plasma generating mechanism has a high frequency antenna for generating inductively coupled plasma in the processing region. In this case, the high-frequency antenna may be provided on the upper portion of the processing chamber via a dielectric window, or may be provided on the upper portion of the processing chamber via a metal window.

根據本發明,在載置面之下方位置設置複數個分隔構件(該複數個分隔構件,係將處理室分隔為對基板進行電漿處理的處理區域與連通於排氣系統的排氣區域,由導電性材料所構成且不具有開口部),並將複數個分隔構件連接於接地電位,且在此之間,以形成有將供給至處理區域的處理氣體引導至排氣區域之隔間的方式,間隔配置相鄰的分隔構件。藉此,分隔構件,係具有偏壓用高頻電力之對向電極的功能,可抑制電漿侵入至排氣區域而造成在存在於排氣系統之排氣路徑的構件放電的情形,而且分隔構件不具有開口部,因此,可不易在處理室內發生不期望的放電。因此,可使在處理區域所生成的電漿全體穩定。 According to the invention, a plurality of partition members are provided at a position below the mounting surface (the plurality of partition members separate the processing chamber into a processing region for plasma-treating the substrate and an exhaust region communicating with the exhaust system, The conductive material is formed without an opening, and a plurality of partition members are connected to the ground potential, and a method of guiding the processing gas supplied to the processing region to the compartment of the exhaust region is formed therebetween. , the adjacent partition members are arranged at intervals. Thereby, the partition member functions as a counter electrode for biasing high-frequency power, and it is possible to suppress the plasma from entering the exhaust region and causing the member existing in the exhaust path of the exhaust system to discharge, and to separate Since the member does not have an opening portion, it is difficult to cause an undesired discharge in the processing chamber. Therefore, the entire plasma generated in the processing region can be stabilized.

1‧‧‧本體容器 1‧‧‧ body container

2‧‧‧介電質壁(介電體構件) 2‧‧‧Dielectric wall (dielectric member)

3‧‧‧天線室 3‧‧‧Antenna room

4‧‧‧處理室 4‧‧‧Processing room

13‧‧‧高頻天線 13‧‧‧High frequency antenna

14‧‧‧匹配器 14‧‧‧matcher

15‧‧‧高頻電源 15‧‧‧High frequency power supply

16‧‧‧供電構件 16‧‧‧Power supply components

19‧‧‧供電線 19‧‧‧Power supply line

20‧‧‧處理氣體供給系統 20‧‧‧Processing gas supply system

22‧‧‧端子 22‧‧‧ Terminal

23‧‧‧載置台 23‧‧‧ mounting table

30‧‧‧排氣口 30‧‧‧Exhaust port

31‧‧‧排氣配管 31‧‧‧Exhaust piping

32‧‧‧自動壓力控制閥(APC) 32‧‧‧Automatic Pressure Control Valve (APC)

33‧‧‧真空泵 33‧‧‧vacuum pump

41‧‧‧處理區域 41‧‧‧Processing area

42‧‧‧排氣區域 42‧‧‧Exhaust area

50‧‧‧分隔構件 50‧‧‧Parts

50a、52a‧‧‧接地線 50a, 52a‧‧‧ grounding wire

52‧‧‧遮蔽構件 52‧‧‧Shielding members

60‧‧‧隔間 60‧‧‧ Compartment

100‧‧‧控制部 100‧‧‧Control Department

101‧‧‧使用者介面 101‧‧‧User interface

102‧‧‧記憶部 102‧‧‧Memory Department

G‧‧‧基板 G‧‧‧Substrate

[圖1]表示本發明之一實施形態之電漿處理裝置的剖面圖。 Fig. 1 is a cross-sectional view showing a plasma processing apparatus according to an embodiment of the present invention.

[圖2]表示本發明之一實施形態之電漿處理裝置的水平剖面圖。 Fig. 2 is a horizontal sectional view showing a plasma processing apparatus according to an embodiment of the present invention.

[圖3]表示電漿處理裝置之排氣口之配置之其他例的水平剖面圖。 Fig. 3 is a horizontal cross-sectional view showing another example of the arrangement of the exhaust ports of the plasma processing apparatus.

[圖4]表示本發明之其他實施形態之電漿處理裝置的剖面圖。 Fig. 4 is a cross-sectional view showing a plasma processing apparatus according to another embodiment of the present invention.

[圖5]表示本發明之其他實施形態之電漿處理裝置的 水平剖面圖。 Fig. 5 is a view showing a plasma processing apparatus according to another embodiment of the present invention. Horizontal section view.

[圖6]表示本發明之其他實施形態之電漿處理裝置之分隔構件與遮蔽構件之位置關係的立體圖。 Fig. 6 is a perspective view showing a positional relationship between a partition member and a shielding member of a plasma processing apparatus according to another embodiment of the present invention.

[圖7]表示實驗例之結果的圖。 Fig. 7 is a view showing the results of an experimental example.

以下,參閱添加圖面來說明本發明之實施形態。圖1,係表示本發明之一實施形態之電漿處理裝置的垂直剖面圖;圖2,係表示本發明之一實施形態之電漿處理裝置的水平剖面圖。該電漿處理裝置,係構成為生成感應耦合電漿,而對例如FPD用玻璃基板般的矩形基板進行蝕刻處理或灰化處理等之感應耦合電漿處理的感應耦合電漿處理裝置。 Hereinafter, embodiments of the present invention will be described with reference to the accompanying drawings. Fig. 1 is a vertical sectional view showing a plasma processing apparatus according to an embodiment of the present invention. Fig. 2 is a horizontal sectional view showing a plasma processing apparatus according to an embodiment of the present invention. The plasma processing apparatus is an inductively coupled plasma processing apparatus that performs inductively coupled plasma processing such as etching treatment or ashing treatment on a rectangular substrate such as a glass substrate for FPD, in which an inductively coupled plasma is generated.

該電漿處理裝置,係具有由導電性材料例如內壁面被施以陽極氧化處理的鋁所構成之角筒形狀之氣密的本體容器1。該本體容器1,係組裝成可分解,且藉由接地線1a予以接地。本體容器1,係藉由介電質壁2,於上下分隔成天線室3及處理室4。介電質壁2,係構成處理室4的頂壁。介電質壁2,係由Al2O3等的陶瓷、石英等所構成。 The plasma processing apparatus is an airtight main body container 1 having a rectangular tube shape made of an electrically conductive material, for example, an anodized aluminum. The body container 1 is assembled to be decomposable and grounded by a grounding wire 1a. The main body container 1 is partitioned into an antenna chamber 3 and a processing chamber 4 by a dielectric wall 2 . The dielectric wall 2 constitutes the top wall of the processing chamber 4. The dielectric wall 2 is made of ceramic such as Al 2 O 3 or quartz.

在本體容器1之天線室3的側壁3a與處理室4的側壁4a之間,係設置有朝內側突出的支撐棚架5,在該支撐棚架5上載置有介電質壁2。 A support scaffold 5 that protrudes inward is provided between the side wall 3a of the antenna chamber 3 of the main body container 1 and the side wall 4a of the processing chamber 4, and the dielectric wall 2 is placed on the support scaffold 5.

在介電質壁2之下側部分,係嵌入有處理氣 體供給用之淋浴頭框體11。淋浴頭框體11,係設置為十字狀,且形成為從下方支撐介電質壁2的構成例如樑構造。另外,支撐上述介電質壁2之淋浴頭框體11,係藉由複數根吊桿(未圖示)而成為吊掛在本體容器1之頂棚的狀態。金屬支撐棚架5及淋浴頭框體11,係亦可被介電體構件所被覆。 In the lower part of the dielectric wall 2, a process gas is embedded The shower head housing 11 for body supply. The shower head housing 11 is formed in a cross shape and is formed to support the dielectric wall 2 from below, for example, a beam structure. Further, the shower head housing 11 that supports the dielectric wall 2 is suspended from the ceiling of the main body container 1 by a plurality of hangers (not shown). The metal support scaffold 5 and the shower head frame 11 may also be covered by a dielectric member.

該淋浴頭框體11,係由導電性材料,較理想是金屬,例如以不會發生污染物的方式在其內面或外面施以陽極氧化處理的鋁所構成。在該淋浴頭框體11,係形成有水平延伸的氣體流路12,在該氣體流路12,係連通有朝向下方延伸的複數個氣體吐出孔12a。另一方面,在介電質壁2之上面中央,係以連通於該氣體流路12的方式,設置有氣體供給管20a。氣體供給管20a,係從本體容器1之頂棚往其外側貫穿,連接至包含有處理氣體供給源及閥系統等的處理氣體供給系統20。因此,在電漿處理中,從處理氣體供給系統20所供給的處理氣體,係經由氣體供給管20a被供給至淋浴頭框體11內,從其下面的氣體吐出孔12a往處理室4內吐出。 The shower head frame 11 is made of a conductive material, preferably a metal, for example, anodized aluminum is applied to the inner surface or the outer surface thereof so as not to cause contaminants. The shower head housing 11 is formed with a horizontally extending gas flow path 12, and the gas flow path 12 is connected to a plurality of gas discharge holes 12a extending downward. On the other hand, a gas supply pipe 20a is provided in the center of the upper surface of the dielectric wall 2 so as to communicate with the gas flow path 12. The gas supply pipe 20a is inserted from the ceiling of the main body container 1 to the outside thereof, and is connected to the processing gas supply system 20 including the processing gas supply source, the valve system, and the like. Therefore, in the plasma processing, the processing gas supplied from the processing gas supply system 20 is supplied into the shower head housing 11 via the gas supply tube 20a, and is discharged into the processing chamber 4 from the lower gas discharge hole 12a. .

在天線室3內,係配設有高頻(RF)天線13。高頻天線13,係構成為將由銅或鋁等之良導電性之金屬所構成的天線線13a配置成環狀或漩渦狀等之以往使用的任意形狀。亦可為具有複數個天線部的多重天線。 A high frequency (RF) antenna 13 is disposed in the antenna room 3. The high-frequency antenna 13 is configured such that the antenna wire 13a made of a metal having good conductivity such as copper or aluminum is disposed in any shape conventionally used in a ring shape or a spiral shape. It can also be a multiple antenna having a plurality of antenna sections.

在天線線13a之端子22,係連接有往天線室3之上方延伸的供電構件16。在供電構件16之上端,係 藉由供電線19連接有高頻電源15。又,在供電線19,係介裝有匹配器14。而且,高頻天線13,係藉由間隔件17(該間隔件,係由絕緣構件所構成)而與介電質壁2分離。而且,從高頻電源15對高頻天線13供給例如頻率為13.56MHz的高頻電力,藉由此,在處理室4內形成感應電場,且藉由該感應電場,從淋浴頭框體11所吐出的處理氣體會被電漿化,而生成感應耦合電漿。 A power supply member 16 extending upward from the antenna chamber 3 is connected to the terminal 22 of the antenna wire 13a. At the upper end of the power supply member 16, A high frequency power source 15 is connected to the power supply line 19. Further, on the power supply line 19, a matching unit 14 is interposed. Further, the radio-frequency antenna 13 is separated from the dielectric wall 2 by a spacer 17 (which is composed of an insulating member). Further, high-frequency power is supplied from the high-frequency power source 15 to the high-frequency antenna 13, for example, at a frequency of 13.56 MHz, whereby an induced electric field is formed in the processing chamber 4, and the induced electric field is used from the shower head housing 11. The process gas that is spit out is plasmad to produce an inductively coupled plasma.

在處理室4內的下方,係以隔著介電質壁2而與高頻天線13相對向的方式,設置有載置台23(該載置台23,係具有用以載置矩形形狀之基板G的載置面)。載置台23,係具有:本體23a,由導電性材料例如表面被施以陽極氧化處理的鋁所構成;及絕緣體框23b,設置為收容本體23a。載置於載置台23的基板G,係藉由靜電夾盤(未圖示)予以吸附保持。 A mounting table 23 is provided below the processing chamber 4 so as to face the high-frequency antenna 13 via the dielectric wall 2 (the mounting table 23 has a substrate G for mounting a rectangular shape) Mounting surface). The mounting table 23 has a main body 23a made of a conductive material such as aluminum whose surface is anodized, and an insulator frame 23b provided to house the main body 23a. The substrate G placed on the mounting table 23 is sucked and held by an electrostatic chuck (not shown).

載置台23,係被支撐於中空的支柱25。支柱25,係貫穿本體容器1之底部,且被支撐於配設在本體容器1外的升降機構(未圖示),在搬入搬出基板G時,載置台23,係藉由升降機構朝上下方向驅動。在載置台23的絕緣體框23b與本體容器1的底部4b之間,係配設有氣密地包圍支柱25的波紋管26,藉此,即使載置台23上下動作,仍可確保處理室4內的氣密性。又,在處理室4之四個側壁4a中的一個,係設置有用以搬入搬出基板G的搬入搬出口27a及將其進行開關的閘閥27。另外,亦可設成為不於載置台設置升降機構而加以固定的構造。 The mounting table 23 is supported by the hollow pillars 25. The pillar 25 is inserted through the bottom of the main body container 1 and supported by a lifting mechanism (not shown) disposed outside the main body container 1. When the substrate G is loaded and unloaded, the mounting table 23 is moved upward by the lifting mechanism. drive. A bellows 26 that hermetically surrounds the stay 25 is disposed between the insulator frame 23b of the mounting table 23 and the bottom portion 4b of the main body container 1, whereby the processing chamber 4 can be secured even if the mounting table 23 moves up and down. The air tightness. Further, one of the four side walls 4a of the processing chamber 4 is provided with a loading/unloading port 27a for loading and unloading the substrate G, and a gate valve 27 for switching the loading and unloading of the substrate G. Further, it is also possible to provide a structure in which the elevating mechanism is not provided on the mounting table and is fixed.

在載置台23之本體23a,係藉由設置於中空之支柱25內的供電線25a,經由匹配器28而連接有高頻電源29。該高頻電源29,係在電漿處理中,對載置台23施加偏壓用高頻電力例如頻率為6MHz的高頻電力。藉由該偏壓用高頻電力,處理室4內所生成之電漿中的離子會有效地被引入至基板G。 The main body 23a of the mounting table 23 is connected to the high-frequency power source 29 via the matching unit 28 via a power supply line 25a provided in the hollow pillar 25. This high-frequency power source 29 applies high-frequency power for bias to the mounting table 23, for example, high-frequency power having a frequency of 6 MHz in the plasma processing. By the high frequency power by the bias voltage, ions in the plasma generated in the processing chamber 4 are efficiently introduced to the substrate G.

而且,在載置台23內,係為了控制基板G溫度,而設置有由陶瓷加熱器等的加熱手段或冷媒流路等所構成的溫度控制機構、溫度感測器(皆未圖示)。對於該些機構、構件之配管或配線,係皆通過中空之支柱25而被導出至本體容器1外。 Further, in the mounting table 23, in order to control the temperature of the substrate G, a temperature control mechanism or a temperature sensor (not shown) including a heating means such as a ceramic heater or a refrigerant flow path is provided. The piping or wiring of the mechanisms and members are led out to the outside of the main body container 1 through the hollow pillars 25.

在處理室4的內壁(側壁4a的內側部份)與載置台23之間,係設置有將處理室4內分隔為處理區域41與排氣區域42的4片分隔構件50。分隔構件50,係由板材所構成,該板材,係形成不具有開口部的矩形形狀,且由金屬等的導電性材料所構成。各分隔構件50,係對應於載置台23之各側面而設置,且藉由接地線50a而連接於接地電位。另外,亦可設成為使分隔構件50與側壁4a電性連接,且經由本體容器1接地。相鄰之分隔構件50彼此,係在此之間,以形成有將供給至處理區域41的氣體引導至排氣區域之隔間60的方式,予以間隔配置,隔間60,係存在於分隔構件50形成面的4個角落。 Between the inner wall of the processing chamber 4 (the inner portion of the side wall 4a) and the mounting table 23, four partition members 50 that partition the inside of the processing chamber 4 into the processing region 41 and the exhaust region 42 are provided. The partition member 50 is made of a plate material which has a rectangular shape without an opening and is made of a conductive material such as metal. Each of the partition members 50 is provided corresponding to each side surface of the mounting table 23, and is connected to the ground potential by the grounding wire 50a. Further, the partition member 50 may be electrically connected to the side wall 4a and grounded via the main body container 1. The adjacent partition members 50 are disposed between each other so as to form a partition 60 that guides the gas supplied to the processing region 41 to the exhaust region, and the partition 60 is present in the partition member. 50 forms the four corners of the face.

處理區域41,係比處理室4中之分隔構件50更往上的區域,且為形成有用以對基板G進行電漿處理之 感應耦合電漿的區域。又,排氣區域42,係比處理室4中之分隔構件50更往下的區域,且為用以引導來自處理區域41的處理氣體而對其進行排氣的區域。 The processing region 41 is a region higher than the partition member 50 in the processing chamber 4, and is formed to perform plasma treatment on the substrate G. The area of the inductively coupled plasma. Further, the exhaust region 42 is a region lower than the partition member 50 in the processing chamber 4, and is a region for guiding the process gas from the processing region 41 to exhaust it.

在處理室4之底部4b,係沿著處理室4之各側壁4a各設置有2個,總計8個排氣口30,各排氣口30,係連接有排氣管31。在各排氣管31,係連接有自動壓力控制閥(APC)32及真空泵33。而且,藉由真空泵33對處理室4內進行排氣,在電漿處理中,調整自動壓力控制閥(APC)32之開合度,而將處理室4內設定、維持為預定的真空環境。藉由該些排氣配管31、自動壓力控制閥(APC)32及真空泵33,予以構成排氣系統。另外,排氣口30之數量或位置,係因應於裝置之大小而適當地進行設定。例如,如圖3之水平剖面圖所示,亦可在處理室4之底部4b的4個角落設置排氣口30。 The bottom portion 4b of the processing chamber 4 is provided along each of the side walls 4a of the processing chamber 4, for a total of eight exhaust ports 30, and the exhaust ports 30 are connected to the exhaust pipe 31. An automatic pressure control valve (APC) 32 and a vacuum pump 33 are connected to each of the exhaust pipes 31. Then, the inside of the processing chamber 4 is exhausted by the vacuum pump 33, and the opening degree of the automatic pressure control valve (APC) 32 is adjusted during the plasma processing, and the inside of the processing chamber 4 is set and maintained in a predetermined vacuum environment. The exhaust system is configured by the exhaust pipe 31, the automatic pressure control valve (APC) 32, and the vacuum pump 33. Further, the number or position of the exhaust ports 30 is appropriately set in accordance with the size of the device. For example, as shown in the horizontal cross-sectional view of FIG. 3, the exhaust port 30 may be provided at four corners of the bottom portion 4b of the processing chamber 4.

在載置於載置台23之基板G的背面側,係形成有冷卻空間(未圖示),設置有用以供給作為一定壓力之熱傳達用氣體之He氣體的He氣體流路35。如此一來,藉由對基板G之背面側供給熱傳達用氣體的方式,可在真空下迴避基板G的溫度上升或溫度變化。 A cooling space (not shown) is formed on the back side of the substrate G placed on the mounting table 23, and a He gas flow path 35 for supplying He gas as a heat transfer gas having a constant pressure is provided. In this manner, by supplying the heat transfer gas to the back side of the substrate G, the temperature rise or the temperature change of the substrate G can be avoided under vacuum.

又,電漿處理裝置,係具有由微處理器(電腦)所構成的控制部100、使用者介面101、記憶部102。控制部100,係能夠對電漿處理裝置之各構成部,例如閥、高頻電源、真空泵等發送指令,從而控制該些。又,使用者介面101,係具有鍵盤或顯示器等,且被連接 於控制部100,該鍵盤,係供操作員進行為了管理電漿處理裝置而輸入指令等的輸入操作,該顯示器,係使電漿處理裝置的運轉狀況可視化顯示。記憶部102,係儲存有用於藉由控制部100之控制來實現在電漿處理裝置所被實行之各種處理的控制程式,或用於因應處理條件來使電漿處理裝置之各構成部執行處理的程式亦即處理配方,且被連接於控制部100。處理配方,係被記憶於記憶部102中的記憶媒體。記憶媒體,係亦可為內建於電腦之硬碟或半導體記憶體,或亦可為CDROM、DVD、快閃記憶體等的可攜帶性者。又,亦可從其他裝置例如經由專用回線來適當地傳送配方。而且,因應所需,以來自使用者介面101的指示等,從記憶部102呼叫任意之處理配方,並使控制部100執行該配方,藉由此,在控制部100之控制下,進行電漿處理裝置中之所期望的處理。 Further, the plasma processing apparatus includes a control unit 100 including a microprocessor (computer), a user interface 101, and a memory unit 102. The control unit 100 can control the components of the plasma processing apparatus, such as valves, high-frequency power supplies, vacuum pumps, and the like, to control the components. Moreover, the user interface 101 has a keyboard, a display, etc., and is connected. In the control unit 100, the keyboard is provided with an input operation for inputting a command or the like for managing the plasma processing apparatus, and the display visually displays the operation state of the plasma processing apparatus. The memory unit 102 stores a control program for realizing various processes performed in the plasma processing device by the control of the control unit 100, or for causing each component of the plasma processing device to perform processing in response to the processing conditions. The program also processes the recipe and is connected to the control unit 100. The recipe is processed and stored in the memory medium in the memory unit 102. The memory medium can also be a hard disk or a semiconductor memory built in a computer, or can be a portable person such as a CDROM, a DVD, or a flash memory. Also, the recipe can be appropriately transferred from other devices, for example, via a dedicated return line. Further, in response to an instruction from the user interface 101, an arbitrary processing recipe is called from the memory unit 102, and the control unit 100 executes the recipe, whereby plasma is controlled under the control of the control unit 100. The desired processing in the processing device.

接下來,說明關於使用如以上所構成的電漿處理裝置,來對基板G施予電漿處理例如電漿蝕刻或電漿灰化時的處理動作。 Next, a description will be given of a processing operation when a plasma treatment such as plasma etching or plasma ashing is applied to the substrate G by using the plasma processing apparatus configured as described above.

首先,在開啟閘閥27的狀態下,藉由搬送機構(未圖示)來將基板G從搬入搬出口27a搬入至處理室4內,載置於載置台23的載置面之後,藉由靜電夾盤(未圖示)來將基板G固定於載置台23上。接下來,從處理氣體供給系統20經由淋浴頭框體11之氣體吐出孔12a,將處理氣體供給至處理室4內,並且一邊藉由自動壓力控制閥(APC)32來控制壓力,一邊從排氣口30經 由排氣管31,藉由真空泵33對處理室4內進行真空排氣,藉由此,將處理室內維持於例如0.66~26.6Pa左右的壓力環境。 First, in a state where the gate valve 27 is opened, the substrate G is carried into the processing chamber 4 from the loading/unloading port 27a by a transport mechanism (not shown), and is placed on the mounting surface of the mounting table 23, and is electrostatically charged. A chuck (not shown) is used to fix the substrate G to the mounting table 23. Next, the processing gas is supplied from the processing gas supply system 20 to the processing chamber 4 via the gas discharge hole 12a of the shower head housing 11, and the pressure is controlled by the automatic pressure control valve (APC) 32. Air port 30 The inside of the processing chamber 4 is evacuated by the evacuation pipe 31 by the vacuum pump 33, whereby the processing chamber is maintained at a pressure environment of, for example, about 0.66 to 26.6 Pa.

又,此時,在基板G之背面側的冷卻空間,係為了迴避基板G之溫度上升或溫度變化,而經由He氣體流路35供給作為熱傳達用氣體的He氣體。 In this case, the cooling space on the back side of the substrate G is supplied with He gas as a heat transfer gas via the He gas flow path 35 in order to avoid temperature rise or temperature change of the substrate G.

接下來,從高頻電源15將例如13.56MHz的高頻施加至高頻天線13,藉此,經由介電質壁2,在處理室4內形成均勻的感應電場。藉由像這樣所形成的感應電場,處理氣體會在處理室4內電漿化,而生成高密度的感應耦合電漿。藉由該電漿,對基板G進行電漿處理,例如對基板G之預定膜進行電漿蝕刻或電漿灰化。此時,從高頻電源29將作為偏壓用高頻電力之例如頻率為6MHz的高頻電力施加至載置台23,使處理室4內所生成之電漿中的離子有效地被引入至基板G。 Next, a high frequency of, for example, 13.56 MHz is applied from the high-frequency power source 15 to the high-frequency antenna 13, whereby a uniform induced electric field is formed in the processing chamber 4 via the dielectric wall 2. By the induced electric field formed as described above, the processing gas is plasmatized in the processing chamber 4 to generate a high-density inductively coupled plasma. The substrate G is subjected to a plasma treatment by the plasma, for example, plasma etching or plasma ashing of a predetermined film of the substrate G. At this time, high-frequency power, for example, a frequency of 6 MHz, which is a bias high-frequency power, is applied from the high-frequency power source 29 to the mounting table 23, so that ions in the plasma generated in the processing chamber 4 are efficiently introduced to the substrate. G.

處理氣體,係在處理室4內之處理區域41進行電漿化而被供應於電漿處理之後,藉由真空泵33予以吸引,藉由此,從形成於相鄰之分隔構件50之間的隔間60至排氣區域42為止,從排氣口30經由排氣管31進行排氣。 The processing gas is plasma-treated in the processing region 41 in the processing chamber 4 and supplied to the plasma treatment, and then sucked by the vacuum pump 33, whereby the partition formed between the adjacent partition members 50 is separated. The space 60 is exhausted from the exhaust port 30 via the exhaust pipe 31 until the exhaust region 42 is reached.

此時,以往,係已知下述技術:設置藉由衝孔或縫隙等的開口部來確保氣體通路的隔板,並且藉由將隔板接地的方式,抑制電漿放電通過開口部而到達排氣區域。然而,如大型基板之電漿處理的情形般,在對載置台 施加高功率的高頻電力之後,當將隔板接地時,則有可能在形成於隔板的衝孔發生輝光放電,或者輝光放電來回動作,而電漿變得不穩定的現象。亦即,在大型基板的處理中,係在不將隔板接地時,無法有效地防止通過開口部而電漿侵入至排氣區域的情形,就結果而言,均產生如下述般的問題:導致對排氣路徑等的放電,且即使在將隔板接地時,仍會在衝孔發生輝光放電。 In the related art, a technique is known in which a separator for securing a gas passage is provided by an opening such as a punch or a slit, and the plasma discharge is prevented from reaching the opening through the opening. Exhaust area. However, as in the case of plasma processing of large substrates, on the mounting table After the high-frequency high-frequency power is applied, when the separator is grounded, there is a possibility that glow discharge occurs in the punch formed in the separator, or the glow discharge moves back and forth, and the plasma becomes unstable. In other words, in the processing of the large substrate, when the separator is not grounded, it is impossible to effectively prevent the plasma from entering the exhaust region through the opening, and as a result, problems such as the following occur: This causes discharge to the exhaust path or the like, and even when the separator is grounded, glow discharge occurs in the punching.

因此,以防止電漿侵入至排氣區域與在衝孔中發生輝光放電兩者為目的,嘗試設置不具有開口部之板狀的分隔構件來取代隔板,且使分隔板成為電性浮動狀態(浮動電位)。藉此,雖確認了直至某種程度之大小的偏壓用高頻電力皆有效果,但當基板更大型化而偏壓用之高頻功率逐漸增加時,則無法充分防止電漿侵入至排氣區域,而在設置於自動壓力控制閥(APC)等之排氣路徑的構件中有發生電弧的情形。針對該原因所檢討的結果,思及:在感應耦合型電漿處理裝置的情況下,由於施加有偏壓用高頻電力之電極的對向電極之面積小的緣故。 Therefore, in order to prevent plasma from intruding into the exhaust region and generating glow discharge in the punching hole, it is attempted to provide a plate-shaped partition member having no opening portion instead of the separator, and the partition plate is electrically floated. State (floating potential). Therefore, it has been confirmed that the high-frequency power for biasing is effective up to a certain extent. However, when the substrate is increased in size and the high-frequency power for biasing is gradually increased, the plasma cannot be sufficiently prevented from entering the row. In the gas region, there is a case where an arc occurs in a member provided in an exhaust path of an automatic pressure control valve (APC) or the like. In view of the results of the investigation, it is considered that in the case of the inductively coupled plasma processing apparatus, the area of the counter electrode to which the electrode for applying high-frequency power for bias is applied is small.

因此,進一步所檢討的結果,得出下述之結論:進行設置複數個不具有開口部的板狀分隔構件來取代隔板與將該些分隔構件連接於接地電位兩者為有效。亦即發現到,藉由將分隔構件接地的方式,分隔構件,係具有偏壓用高頻電力之對向電極的功能,而自動壓力控制閥(APC)等之放電(電弧)會被抑制,而且,即使不具有開口部的分隔構件接地,亦難以發生如隔板般的輝光放 電。 Therefore, as a result of further review, it is concluded that it is effective to provide a plurality of plate-shaped partition members having no openings in place of the separators and to connect the partition members to the ground potential. In other words, it has been found that the partition member has a function of a counter electrode for biasing high-frequency power by grounding the partition member, and discharge (arc) of an automatic pressure control valve (APC) or the like is suppressed. Moreover, even if the partition member having no opening portion is grounded, it is difficult to cause a glow like a partition. Electricity.

因此,在本實施形態中,係將不具有開口部的複數個分隔構件50設置於以往設有隔板之處理室4的內壁(側壁4a的內側部份)與載置台23之間的位置並接地,且在該些之間,以形成有到達排氣區域42之隔間60的方式,間隔地配置該些分隔構件50之相鄰的分隔構件。藉此,即使對載置台23施加高功率的偏壓用高頻電力,亦可抑制在分隔構件50附近發生輝光放電,而且可抑制電漿侵入至排氣區域42而造成在設置於自動壓力控制閥(APC)等之排氣路徑的構件之放電(電弧)。又,藉由像這樣可抑制不期望之放電的方式,可使在處理區域41所生成的電漿全體穩定。 Therefore, in the present embodiment, a plurality of partition members 50 having no openings are provided in the position between the inner wall (the inner portion of the side wall 4a) of the processing chamber 4 in which the partition is conventionally provided and the mounting table 23. Further, the grounding member is disposed between the partition members 50 so as to be spaced apart from each other so as to form the partition 60 reaching the exhaust region 42. By this means, even if high-frequency bias high-frequency power is applied to the mounting table 23, it is possible to suppress the occurrence of glow discharge in the vicinity of the partition member 50, and it is possible to suppress the plasma from entering the exhaust region 42 and to be placed in the automatic pressure control. Discharge (arc) of components of the exhaust path of a valve (APC) or the like. Further, by suppressing the undesired discharge in this manner, the entire plasma generated in the processing region 41 can be stabilized.

另外,具有以往的衝孔或縫隙等之開口部的隔板,係一種本來以在處理圓形基板的半導體處理裝置等中,從基板之周緣部均等地進行排氣為目的而發展來的技術,在處理矩形基板的矩形處理室中,在構造上,與其從周緣部均等地進行排氣,不如將氣流引導至處理室的4個角落而從4個角落進行排氣者較為合適。因此,在處理矩形基板的處理裝置中,係從該觀點亦可得知:藉由不具有開口的分隔構件,在4個角落設置排氣用之隔間的構成為較佳。 In addition, a separator having an opening such as a conventional punching or slit is a technique developed for the purpose of uniformly exhausting the peripheral portion of the substrate in a semiconductor processing apparatus or the like that processes a circular substrate. In the rectangular processing chamber that processes the rectangular substrate, it is preferable to exhaust the air from the peripheral portion evenly from the peripheral portion, and it is preferable to guide the airflow to the four corners of the processing chamber and exhaust the air from the four corners. Therefore, in the processing apparatus for processing a rectangular substrate, it is also known from this viewpoint that a configuration in which a partition for exhaust gas is provided at four corners is preferable by a partition member having no opening.

接下來,說明本發明之其他實施形態。圖4,係表示本發明之其他實施形態之電漿處理裝置的垂直剖面圖;圖5,係表示本發明之其他實施形態之電漿處理裝置 的水平剖面圖;圖6,係表示該電漿處理裝置之分隔構件與遮蔽構件之位置關係的立體圖。該電漿處理裝置,係除了在形成於相鄰之分隔構件50之間之隔間60的下方位置設置有遮蔽構件52之外,其餘構成係與以往的實施形態相同。 Next, other embodiments of the present invention will be described. Figure 4 is a vertical sectional view showing a plasma processing apparatus according to another embodiment of the present invention; and Figure 5 is a plasma processing apparatus showing another embodiment of the present invention. Horizontal cross-sectional view; Fig. 6 is a perspective view showing the positional relationship between the partition member and the shielding member of the plasma processing apparatus. The plasma processing apparatus is the same as the conventional embodiment except that the shielding member 52 is provided at a position below the compartment 60 formed between the adjacent partition members 50.

具體而言,遮蔽構件52,係由板材(該板材,係由金屬等的導電性材料所構成)所構成,且被分別配置於處理室4之內壁(側壁4a的內側部份)與載置台23之間的4個角落且分隔構件50的下方位置。遮蔽構件52,係於俯視的情況下,被配置為其至少一部分與分隔構件50重疊,且能夠遮蔽隔間60。又,遮蔽構件52,係藉由接地線52a而連接於接地電位。另外,亦可經由本體容器1或分隔構件50,使遮蔽構件52接地。 Specifically, the shielding member 52 is composed of a plate material (which is made of a conductive material such as metal), and is disposed on the inner wall of the processing chamber 4 (the inner portion of the side wall 4a) and the load. Four corners between the stages 23 are placed and the lower position of the partition member 50 is placed. The shield member 52 is disposed such that at least a portion thereof overlaps with the partition member 50 in a plan view, and the partition 60 can be shielded. Further, the shielding member 52 is connected to the ground potential by the ground line 52a. Further, the shielding member 52 may be grounded via the body container 1 or the partition member 50.

如此一來,在分隔構件50之下方位置,以遮蔽隔間60的方式,設置接地的遮蔽構件52,藉由此,可從存在於處理區域41的電漿來遮蔽排氣路徑,且可更確實地抑制設置於自動壓力控制閥(APC)等之排氣路徑之構件的放電(電弧)。藉此,可更提升在處理區域41所生成之電漿全體的穩定性。 In this way, a grounded shielding member 52 is provided at a position below the partition member 50 so as to shield the compartment 60, whereby the exhaust path can be shielded from the plasma existing in the processing region 41, and The discharge (arc) of the member provided in the exhaust path of the automatic pressure control valve (APC) or the like is surely suppressed. Thereby, the stability of the entire plasma generated in the processing region 41 can be further improved.

另外,遮蔽構件52,係即使不是完全遮蔽隔間60而僅遮蔽隔間60的一部分,亦可得到某種程度的遮蔽效果。又,遮蔽構件52,係只要設置在不同於分隔構件50的高度位置即可,亦可設置在分隔構件50的上方位置。 Further, the shielding member 52 can provide a certain degree of shielding effect even if only a part of the compartment 60 is not completely shielded from the compartment 60. Further, the shielding member 52 may be provided at a position higher than the height of the partition member 50, or may be provided at a position above the partition member 50.

接下來,說明實驗例。 Next, an experimental example will be described.

在此,係使用設置有分隔構件的感應耦合型電漿處理裝置,使偏壓用高頻電力的功率(偏壓功率)產生變化,而掌握進行O2灰化時在自動壓力控制閥(APC)是否發生電弧。在此,係使用設置有浮動狀態的分隔構件者、設置有接地的分隔構件者(圖1及圖2所示之實施形態)、除了接地之分隔構件以外,另設有接地的遮蔽構件者(圖4及圖5所示之實施形態)3種類來作為電漿處理裝置,在作為基礎的條件下,以O2氣體流量:1000sccm、壓力:20mTorr、將電漿生成用高頻電力功率設成為40kW來進行實驗。 Here, the inductively coupled plasma processing apparatus provided with the partition member is used to change the power (bias power) of the bias high frequency power, and to grasp the automatic pressure control valve (APC) when O 2 ashing is performed. Whether an arc has occurred. Here, a person who is provided with a floating member, a partition member provided with a ground (an embodiment shown in FIGS. 1 and 2), and a grounded partition member are provided with a grounded shield member ( In the embodiment shown in FIG. 4 and FIG. 5, the plasma processing apparatus is used as a plasma processing apparatus, and the high-frequency electric power for plasma generation is set to a flow rate of O 2 gas: 1000 sccm and a pressure of 20 mTorr. 40 kW was used for the experiment.

其結果,如圖7所示,確認了:在分隔構件為浮動狀態的情況下,當偏壓功率達到30kW時,在自動壓力控制閥(APC)會發生電弧,相對於此,藉由將分隔構件接地的方式,即使偏壓功率為40kW,亦不會在自動壓力控制閥(APC)發生電弧。又,確認了:設置接地的分隔構件之後,設置接地的遮蔽構件,藉由此,即使偏壓功率為50kW,亦不會在自動壓力控制閥(APC)發生電弧。 As a result, as shown in Fig. 7, it was confirmed that when the partition member was in a floating state, when the bias power reached 30 kW, an arc occurred in the automatic pressure control valve (APC), and by this, the separation was performed. The method of grounding the component does not cause arcing in the automatic pressure control valve (APC) even if the bias power is 40 kW. Further, it was confirmed that after the grounding partition member was provided, the grounded shielding member was provided, whereby the arc was not generated in the automatic pressure control valve (APC) even when the bias power was 50 kW.

另外,本發明,係不限定於上述實施形態,可進行各種變形。例如,在上述實施形態中,係表示可將本發明特別有效地應用於施加有偏壓用高頻電力之電極的對向電極之面積小的感應耦合型電漿處理裝置中的情形,但並不限於此,即使在使用了微波的電漿處理裝置中,亦 可相同而有效地應用本發明,又,亦可應用於施加有偏壓用高頻電力之電極的對向電極之面積比較大的電容耦合型(平行板型)電漿處理裝置中。 Further, the present invention is not limited to the above embodiment, and various modifications can be made. For example, in the above-described embodiment, the present invention is particularly applicable to an inductively coupled plasma processing apparatus in which the area of the counter electrode to which the electrode for bias high frequency power is applied is small, but Not limited to this, even in a plasma processing apparatus using microwaves, The present invention can be applied in the same manner and effectively, and can also be applied to a capacitive coupling type (parallel plate type) plasma processing apparatus in which the area of the counter electrode to which the electrode for bias high frequency power is applied is relatively large.

又,在上述實施形態中,雖係表示作為感應耦合型電漿處理裝置,在處理室的上部,經由介電質窗而設置有高頻天線的情形,但亦可應用於並非介電質窗,而經由金屬窗設置有高頻天線的情形。在該情況下,處理氣體之供給,係亦可在金屬窗設置氣體淋浴頭,而並非從樑構造等的十字狀淋浴頭框體進行供給。 Further, in the above-described embodiment, the inductively coupled plasma processing apparatus is provided with a high frequency antenna via a dielectric window in the upper portion of the processing chamber, but it may be applied to a dielectric window. And a case where a high frequency antenna is provided via a metal window. In this case, the supply of the processing gas may be provided by a gas shower head in the metal window, and may not be supplied from a cross-shaped shower head frame such as a beam structure.

又,在上述實施形態中,雖係表示將本發明應用於進行電漿蝕刻或電漿灰化之裝置的情形,但亦可應用於CVD成膜等之其他電漿處理裝置。而且,在上述實施形態中,雖係表示使用FPD用矩形基板來作為基板的例子,但亦可應用於處理其他矩形基板的情形,或者不限於矩形,亦可應用於例如半導體晶圓等的圓形基板。又,在上述實施形態中,雖係表示在處理室之4個角落形成相鄰之分隔構件間之隔間的例子,但並不限於此,為了使因應於基板處理內容的氣流最佳化,而亦可應用於在4個角落以外設置隔間的情形。又,分隔構件之形狀亦不限於矩形,例如在基板為圓形且處理室或載置台為圓形時,亦可形成為圓弧狀。 Further, in the above embodiment, the present invention is applied to a device for performing plasma etching or plasma ashing, but it can also be applied to other plasma processing apparatuses such as CVD film formation. Further, in the above-described embodiment, an example in which a rectangular substrate for FPD is used as a substrate is used, but it may be applied to a case where another rectangular substrate is processed, or is not limited to a rectangular shape, and may be applied to a circle such as a semiconductor wafer. Shaped substrate. Further, in the above-described embodiment, the example in which the partition between the adjacent partition members is formed at four corners of the processing chamber is not limited thereto, and in order to optimize the airflow in accordance with the contents of the substrate processing, It can also be applied to the case where compartments are placed outside of four corners. Further, the shape of the partition member is not limited to a rectangular shape. For example, when the substrate is circular and the processing chamber or the mounting table is circular, it may be formed in an arc shape.

1‧‧‧本體容器 1‧‧‧ body container

23‧‧‧載置台 23‧‧‧ mounting table

27‧‧‧閘閥 27‧‧‧ gate valve

27a‧‧‧搬入搬出口 27a‧‧‧ Move in and out

30‧‧‧排氣口 30‧‧‧Exhaust port

50‧‧‧分隔構件 50‧‧‧Parts

50a‧‧‧接地線 50a‧‧‧ Grounding wire

60‧‧‧隔間 60‧‧‧ Compartment

G‧‧‧基板 G‧‧‧Substrate

Claims (16)

一種電漿處理裝置,其特徵係,具有:處理室,收容基板且施加電漿處理;載置台,具有在前述處理室內載置基板的載置面;處理氣體供給系統,對前述處理室內供給處理氣體;排氣系統,對前述處理室內進行排氣;電漿生成機構,生成用以對載置於前述載置台之基板進行電漿處理的電漿;高頻電源,用以對前述載置台施加偏壓用高頻電力;及複數個分隔構件,設置於前述載置面的下方位置,並將前述處理室分隔為對基板進行電漿處理的處理區域與連通於前述排氣系統的排氣區域,且由導電性材料所構成而不具有開口部,前述複數個分隔構件,係連接於接地電位,且相鄰的分隔構件,係在此之間,以形成有將供給至前述處理區域的處理氣體引導至前述排氣區域之隔間的方式,予以間隔配置。 A plasma processing apparatus characterized by comprising: a processing chamber for accommodating a substrate and applying a plasma treatment; the mounting table having a mounting surface on which the substrate is placed in the processing chamber; and a processing gas supply system for supplying the processing chamber a gas; an exhaust system that exhausts the processing chamber; a plasma generating mechanism that generates a plasma for plasma-treating the substrate placed on the mounting table; and a high-frequency power source for applying to the mounting table a bias high frequency power; and a plurality of partition members disposed at a position below the mounting surface, and dividing the processing chamber into a processing region for plasma-treating the substrate and an exhaust region communicating with the exhaust system And consisting of a conductive material without an opening, the plurality of partition members are connected to a ground potential, and adjacent partition members are disposed therebetween to form a process to be supplied to the processing region The manner in which the gas is guided to the compartment of the exhaust region is arranged at intervals. 如申請專利範圍第1項之電漿處理裝置,其中,在不同於前述分隔構件的高度位置,更具有遮蔽構件,該遮蔽構件,係於俯視的情況下,設置為遮蔽前述隔間的至少一部分,且由導電性材料所構成,並且不具有開口部,且連接於接地電位。 A plasma processing apparatus according to the first aspect of the invention, further comprising a shielding member at a height position different from the partition member, wherein the shielding member is disposed to shield at least a part of the compartment in a plan view It is made of a conductive material and does not have an opening and is connected to a ground potential. 如申請專利範圍第2項之電漿處理裝置,其中, 前述遮蔽構件,係設置於前述分隔構件的下方位置。 A plasma processing apparatus according to item 2 of the patent application, wherein The shielding member is provided at a position below the partition member. 如申請專利範圍第2或3項之電漿處理裝置,其中,前述遮蔽構件,係於俯視的情況下,設置為遮蔽前述隔間的全部。 The plasma processing apparatus according to claim 2, wherein the shielding member is provided to shield all of the compartments in a plan view. 如申請專利範圍第2或3項之電漿處理裝置,其中,前述分隔構件,係設置於前述處理室的內壁和與其相對向之前述載置台的側壁之間。 The plasma processing apparatus according to claim 2, wherein the partition member is provided between an inner wall of the processing chamber and a side wall of the mounting table facing the mounting chamber. 如申請專利範圍第5項之電漿處理裝置,其中,前述處理室,係具有平面形狀為矩形形狀的空間,前述載置台其平面形狀係形成矩形形狀,前述分隔構件,係對應於前述載置台的各側壁而設置,前述隔間,係形成於前述矩形形狀之空間的角隅部。 The plasma processing apparatus according to claim 5, wherein the processing chamber has a rectangular shape in a planar shape, and the mounting table has a rectangular shape in plan view, and the partitioning member corresponds to the mounting table. Each of the side walls is provided, and the compartment is formed in a corner portion of the rectangular space. 如申請專利範圍第2或3項之電漿處理裝置,其中,前述電漿生成機構,係具有用以在前述處理區域生成感應耦合電漿的高頻天線。 The plasma processing apparatus of claim 2, wherein the plasma generating mechanism has a high frequency antenna for generating inductively coupled plasma in the processing region. 如申請專利範圍第7項之電漿處理裝置,其中,前述高頻天線,係經由介電質窗而設置於前述處理室的上部。 The plasma processing apparatus according to claim 7, wherein the high frequency antenna is provided in an upper portion of the processing chamber via a dielectric window. 如申請專利範圍第7項之電漿處理裝置,其中,前述高頻天線,係經由金屬窗而設置於前述處理室的上部。 The plasma processing apparatus according to claim 7, wherein the high frequency antenna is provided on an upper portion of the processing chamber via a metal window. 一種排氣構造,其係在具有處理室(收容基板且施加電漿處理)、載置台(具有在前述處理室內載置基板的載置面)、處理氣體供給系統(對前述處理室內供給處理氣體)、排氣系統(對前述處理室內進行排氣)、電漿生成機構(生成用以對載置於前述載置台之基板進行電漿處理的電漿)及高頻電源(用以對前述載置台施加偏壓用高頻電力)的電漿處理裝置中,將供給至前述處理室的處理氣體引導至前述排氣系統,該排氣構造,其特徵係,具有:複數個分隔構件,設置於前述載置面的下方位置,並將前述處理室分隔為對基板進行電漿處理的處理區域與連通於前述排氣系統的排氣區域,且由導電性材料所構成而不具有開口部,前述複數個分隔構件,係連接於接地電位,且相鄰的分隔構件,係在此之間,以形成有將供給至前述處理區域的處理氣體引導至前述排氣區域之隔間的方式,予以間隔配置。 An exhaust structure having a processing chamber (a substrate is placed and plasma treatment is applied), a mounting table (having a mounting surface on which the substrate is placed in the processing chamber), and a processing gas supply system (a processing gas is supplied to the processing chamber) An exhaust system (exhausting the processing chamber), a plasma generating mechanism (generating a plasma for plasma treatment of a substrate placed on the mounting table), and a high frequency power supply (for In the plasma processing apparatus for placing the bias high frequency power, the processing gas supplied to the processing chamber is guided to the exhaust system, and the exhaust structure has a plurality of partition members provided on the plurality of partition members. The processing chamber is partitioned into a processing region for plasma-treating the substrate and an exhaust region communicating with the exhaust system, and is formed of a conductive material without an opening portion. a plurality of partition members connected to a ground potential, and adjacent partition members are disposed therebetween to guide a process gas supplied to the processing region to the exhaust region Between the way, to be spaced. 如申請專利範圍第10項之排氣構造,其中,在不同於前述分隔構件的高度位置,更具有遮蔽構件,該遮蔽構件,係於俯視的情況下,設置為遮蔽前述隔間的至少一部分,且由導電性材料所構成,並且不具有開口部,且連接於接地電位。 The exhaust structure of claim 10, further comprising a shielding member at a height position different from the partition member, wherein the shielding member is disposed to shield at least a portion of the compartment in a plan view, It is made of a conductive material and does not have an opening and is connected to a ground potential. 如申請專利範圍第11項之排氣構造,其中,前述遮蔽構件,係設置於前述分隔構件的下方位置。 The exhaust structure of claim 11, wherein the shielding member is disposed at a position below the partition member. 如申請專利範圍第11或12項之排氣構造,其中,前述遮蔽構件,係於俯視的情況下,設置為遮蔽前述隔間的全部。 The exhaust structure of claim 11 or 12, wherein the shielding member is provided to shield all of the compartments in a plan view. 如申請專利範圍第11或12項之排氣構造,其中,前述分隔構件,係設置於前述處理室的內壁和與其相對向之前述載置台的側壁之間。 The exhaust structure of claim 11 or 12, wherein the partition member is disposed between an inner wall of the processing chamber and a side wall of the mounting table opposite thereto. 如申請專利範圍第14項之排氣構造,其中,前述處理室,係具有平面形狀為矩形形狀的空間,前述載置台其平面形狀係形成矩形形狀,前述分隔構件,係對應於前述載置台的各側壁而設置,前述隔間,係形成於前述矩形形狀之空間的角隅部。 The exhaust structure of claim 14, wherein the processing chamber has a rectangular shape in a planar shape, the mounting table has a rectangular shape in plan view, and the partition member corresponds to the mounting table. Each of the side walls is provided, and the compartment is formed in a corner portion of the rectangular space. 如申請專利範圍第11或12項之排氣構造,其中,前述電漿生成機構,係具有用以在前述處理區域生成感應耦合電漿的高頻天線。 The exhaust structure of claim 11 or 12, wherein the plasma generating mechanism has a high frequency antenna for generating inductively coupled plasma in the processing region.
TW104113904A 2014-05-12 2015-04-30 Plasma processing device and exhaust structure used by same TWI657476B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014-098808 2014-05-12
JP2014098808A JP6305825B2 (en) 2014-05-12 2014-05-12 Plasma processing apparatus and exhaust structure used therefor

Publications (2)

Publication Number Publication Date
TW201606845A true TW201606845A (en) 2016-02-16
TWI657476B TWI657476B (en) 2019-04-21

Family

ID=54577589

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104113904A TWI657476B (en) 2014-05-12 2015-04-30 Plasma processing device and exhaust structure used by same

Country Status (4)

Country Link
JP (1) JP6305825B2 (en)
KR (2) KR101858316B1 (en)
CN (2) CN105097405B (en)
TW (1) TWI657476B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113838730A (en) * 2020-06-08 2021-12-24 中微半导体设备(上海)股份有限公司 Gas shield ring, plasma processing apparatus and method for regulating and controlling polymer distribution

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102401422B1 (en) * 2016-06-03 2022-05-24 에바텍 아크티엔게젤샤프트 Plasma Etching Chamber and Plasma Etching Method
KR102612248B1 (en) * 2016-09-05 2023-12-12 세메스 주식회사 Apparatus and Method for processing a substrate
JP6969182B2 (en) * 2017-07-06 2021-11-24 東京エレクトロン株式会社 Plasma processing equipment
JP6896565B2 (en) * 2017-08-25 2021-06-30 東京エレクトロン株式会社 Inner wall and substrate processing equipment
JP6967954B2 (en) * 2017-12-05 2021-11-17 東京エレクトロン株式会社 Exhaust device, processing device and exhaust method
CN109037019B (en) * 2018-07-03 2020-04-28 深圳市华星光电半导体显示技术有限公司 Dry etching apparatus
JP7166147B2 (en) 2018-11-14 2022-11-07 東京エレクトロン株式会社 Plasma processing equipment
JP7224192B2 (en) 2019-01-22 2023-02-17 東京エレクトロン株式会社 Plasma processing equipment
JP7232705B2 (en) * 2019-05-16 2023-03-03 東京エレクトロン株式会社 Plasma processing equipment
JP7308711B2 (en) * 2019-09-26 2023-07-14 東京エレクトロン株式会社 Plasma processing equipment
JP7437985B2 (en) * 2020-03-16 2024-02-26 東京エレクトロン株式会社 Substrate processing equipment and substrate processing method
JP7418285B2 (en) * 2020-05-27 2024-01-19 東京エレクトロン株式会社 Substrate processing equipment, its manufacturing method, and exhaust structure
CN112233962B (en) * 2020-09-17 2023-08-18 北京北方华创微电子装备有限公司 Collecting assembly sleeved on base and semiconductor chamber
JP7446190B2 (en) * 2020-09-23 2024-03-08 東京エレクトロン株式会社 Plasma processing equipment and plasma generation method
CN112708865B (en) * 2021-03-29 2021-07-09 上海陛通半导体能源科技股份有限公司 Coating equipment for improving film uniformity
JP2023137352A (en) * 2022-03-18 2023-09-29 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP2024081471A (en) 2022-12-06 2024-06-18 東京エレクトロン株式会社 Plasma processing apparatus and cleaning method

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3150058B2 (en) * 1994-12-05 2001-03-26 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
CN1290156C (en) * 2001-08-20 2006-12-13 东京毅力科创株式会社 Dry developing method
JP4330315B2 (en) * 2002-03-29 2009-09-16 東京エレクトロン株式会社 Plasma processing equipment
JP4255747B2 (en) * 2003-05-13 2009-04-15 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US20080110567A1 (en) * 2006-11-15 2008-05-15 Miller Matthew L Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radial distribution
CN101207001B (en) * 2006-12-22 2010-05-19 北京北方微电子基地设备工艺研究中心有限责任公司 Exhaust device and reaction chamber containing the same
JP5168907B2 (en) * 2007-01-15 2013-03-27 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing method, and storage medium
KR20090024522A (en) * 2007-09-04 2009-03-09 주식회사 유진테크 Substrate processing unit
JP5256866B2 (en) * 2008-02-05 2013-08-07 東京エレクトロン株式会社 Processing equipment
JP5230225B2 (en) * 2008-03-06 2013-07-10 東京エレクトロン株式会社 Lid parts, processing gas diffusion supply device, and substrate processing device
JP5217569B2 (en) * 2008-03-31 2013-06-19 東京エレクトロン株式会社 Plasma processing equipment
JP5350043B2 (en) * 2009-03-31 2013-11-27 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
KR101083448B1 (en) * 2009-10-29 2011-11-14 주식회사 뉴파워 프라즈마 Multi wafer processing chamber
JP2012182349A (en) * 2011-03-02 2012-09-20 Japan Steel Works Ltd:The Plasma processing apparatus, and plasma processing method of subject to be processed
JP2013105664A (en) * 2011-11-15 2013-05-30 Tokyo Electron Ltd High frequency antenna circuit and inductively coupled plasma treatment apparatus
JP5593418B2 (en) * 2013-05-08 2014-09-24 東京エレクトロン株式会社 Processing vessel and plasma processing apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113838730A (en) * 2020-06-08 2021-12-24 中微半导体设备(上海)股份有限公司 Gas shield ring, plasma processing apparatus and method for regulating and controlling polymer distribution
CN113838730B (en) * 2020-06-08 2024-05-14 中微半导体设备(上海)股份有限公司 Gas shielding ring, plasma processing device and method for regulating and controlling polymer distribution

Also Published As

Publication number Publication date
CN105097405A (en) 2015-11-25
KR20150129608A (en) 2015-11-20
CN107845558A (en) 2018-03-27
CN105097405B (en) 2018-01-30
JP2015216260A (en) 2015-12-03
JP6305825B2 (en) 2018-04-04
TWI657476B (en) 2019-04-21
CN107845558B (en) 2019-10-25
KR101858316B1 (en) 2018-05-15
KR20170119319A (en) 2017-10-26
KR101891445B1 (en) 2018-08-23

Similar Documents

Publication Publication Date Title
TWI657476B (en) Plasma processing device and exhaust structure used by same
TWI702650B (en) Plasma processing device and exhaust structure used here
TWI505753B (en) Inductively Coupled Plasma Processing Unit
KR20180069774A (en) Inductively coupled plasma processing apparatus
WO2019244631A1 (en) Stage and substrate processing apparatus
KR101768761B1 (en) High-frequency plasma processing apparatus and high-frequency plasma processing method
TW201309104A (en) Inductive coupling plasma processing device
TW201535511A (en) Plasma processing device
KR102485714B1 (en) Plasma processing apparatus
KR102310388B1 (en) Plasma processing apparatus
TWI759470B (en) Gate valve device and substrate processing system
WO2020059596A1 (en) Placement table and substrate treating device
KR102214790B1 (en) Plasma processing apparatus
TW202233023A (en) Plasma processing device and method for manufacturing same, and plasma processing method capable of achieving stabilization of discharge even when the plasma processing device is enlarged