KR101858316B1 - Plasma processing apparatus, and exhaust structure to be used in the apparatus - Google Patents

Plasma processing apparatus, and exhaust structure to be used in the apparatus Download PDF

Info

Publication number
KR101858316B1
KR101858316B1 KR1020150060272A KR20150060272A KR101858316B1 KR 101858316 B1 KR101858316 B1 KR 101858316B1 KR 1020150060272 A KR1020150060272 A KR 1020150060272A KR 20150060272 A KR20150060272 A KR 20150060272A KR 101858316 B1 KR101858316 B1 KR 101858316B1
Authority
KR
South Korea
Prior art keywords
plasma
exhaust
chamber
processing
substrate
Prior art date
Application number
KR1020150060272A
Other languages
Korean (ko)
Other versions
KR20150129608A (en
Inventor
도시히로 도조
야스후미 우츠기
가즈오 사사키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150129608A publication Critical patent/KR20150129608A/en
Application granted granted Critical
Publication of KR101858316B1 publication Critical patent/KR101858316B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32633Baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6734Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders specially adapted for supporting large square shaped substrates

Abstract

탑재대에 고 파워의 고주파 전력을 인가하는 경우에도, 처리실내의 소망하지 않는 부분에서의 방전이나 배기 영역으로의 플라즈마의 침입을 효과적으로 방지한다.
처리실(4)내에서 탑재대(23)의 탑재면에 기판 G를 탑재하고, 처리실(4)내에서 기판 G에 대해서, 탑재대(23)에 바이어스용의 고주파 전력을 인가하면서 플라즈마 처리를 행하는 플라즈마 처리 장치로서, 탑재면의 하방 위치에 마련되고, 처리실(4)을, 기판 G에 대해서 플라즈마 처리를 행하는 처리 영역(41)과 배기계에 연결되는 배기 영역(42)으로 구획하는, 도전성 재료로 이루어지는 복수의 구획 부재(50)를 갖고, 복수의 구획 부재(50)는, 접지 전위에 접속되고, 또한 개구부를 갖지 않고, 인접하는 것 끼리가, 그 사이에, 처리 영역(41)에 공급된 처리 가스를 배기 영역(42)에 유도하는 개구(60)가 형성되도록 이간하여 배치되어 있다.
Even when high-frequency power of high power is applied to the mounting table, it is possible to effectively prevent the discharge in the undesired portion of the processing chamber and the intrusion of the plasma into the exhausting region.
The substrate G is mounted on the mounting surface of the mounting table 23 in the processing chamber 4 and the plasma processing is performed while applying a high frequency power for bias to the mounting table 23 with respect to the substrate G in the processing chamber 4 A plasma processing apparatus comprising a processing chamber (41) provided below a mounting surface and partitioning a processing chamber (4) into a processing region (41) for plasma processing with respect to the substrate (G) and an exhaust region And the plurality of partition members 50 are connected to the ground potential and have no openings so that adjacent ones of the partition members 50 are connected to the ground potential, So that an opening 60 for guiding the process gas to the exhaust area 42 is formed.

Figure 112015041714636-pat00002
Figure 112015041714636-pat00002

Description

플라즈마 처리 장치 및 그것에 이용하는 배기 구조{PLASMA PROCESSING APPARATUS, AND EXHAUST STRUCTURE TO BE USED IN THE APPARATUS}BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plasma processing apparatus and an exhaust structure for use in the plasma processing apparatus. 2. Description of the Related Art Plasma processing apparatuses,

본 발명은, 기판에 대해서 플라즈마 처리를 행하는 플라즈마 처리 장치 및 그것에 이용하는 배기 구조에 관한 것이다.
TECHNICAL FIELD [0001] The present invention relates to a plasma processing apparatus for performing plasma processing on a substrate and an exhaust structure used in the plasma processing apparatus.

반도체 디바이스나 플랫 패널 디스플레이(FPD)의 제조 공정에 있어서는, 기판에 대해서 플라즈마 에칭이나 성막 처리 등의 플라즈마 처리를 행하는 공정이 존재한다. BACKGROUND ART [0002] In a manufacturing process of a semiconductor device or a flat panel display (FPD), there is a step of performing a plasma process such as plasma etching or film forming process on a substrate.

이러한 플라즈마 처리에는, 플라즈마 에칭 장치나 플라즈마 CVD 성막 장치 등의 여러 가지의 플라즈마 처리 장치가 이용된다. 플라즈마 처리 장치에서 플라즈마 처리를 행할 때에는, 진공으로 유지되는 처리실내에 마련된 탑재대상에 기판을 탑재한 상태에서, 처리실내에 소정의 가스의 플라즈마를 생성하여, 기판에 대해서 플라즈마 처리를 가한다. For such plasma processing, various plasma processing apparatuses such as a plasma etching apparatus and a plasma CVD film forming apparatus are used. When plasma processing is performed in the plasma processing apparatus, a plasma of a predetermined gas is generated in the processing chamber in a state where the substrate is mounted on a mounting object provided in a processing chamber kept in a vacuum, and the substrate is subjected to plasma processing.

플라즈마 처리 장치에 있어서는, 처리실내의 처리 영역에 있어서의 플라즈마가 배기 영역에 침입하여 배기로나 배기로에 마련된 부재에 방전이 생기는 것을 방지기 위해서, 처리실의 내벽과 탑재대의 사이에, 전체면에 펀칭 구멍이나 슬릿 등의 개구부를 형성하여 가스 통로를 확보한 배플(baffle)판을 마련함과 아울러, 배플판을 접지하는 기술이 알려져 있다(예를 들면 특허 문헌 1).
In the plasma processing apparatus, in order to prevent the plasma in the processing region of the processing chamber from entering the exhaust region and causing discharge to occur in the members provided in the exhaust path and the exhaust path, a punching hole is formed between the inner wall of the processing chamber and the mounting table, A baffle plate having an opening such as a slit or the like is formed to secure a gas passage, and a baffle plate is grounded (see, for example, Patent Document 1).

(선행 기술 문헌)(Prior art document)

(특허 문헌)(Patent Literature)

특허 문헌 1 : 일본 특개 제2010-238980호 공보
Patent Document 1: Japanese Patent Laid-Open No. 2010-238980

그런데, 이러한 플라즈마 처리 장치에서는, 플라즈마 중의 이온을 효과적으로 인입하기 위해서, 탑재대에 고주파 바이어스를 인가하는 경우가 있다. 대형 기판의 플라즈마 처리에 있어서는, 이러한 고주파 바이어스를 고 파워로 할 필요가 있지만, 탑재대에 고 파워의 고주파 전력을 인가한 후에 배플판을 접지하면, 배플판에 형성된 펀칭 구멍에서 글로우 방전이 생기거나, 글로우 방전이 돌아다닌다고 하는 현상이 생겨, 플라즈마가 불안정하게 되는 경우가 있다.Incidentally, in such a plasma processing apparatus, a high-frequency bias may be applied to the mounting table in order to effectively introduce ions in the plasma. In the plasma processing of a large substrate, it is necessary to set such a high frequency bias to a high power. However, if the baffle plate is grounded after high-frequency high-frequency power is applied to the table, glow discharge occurs in the punching holes formed in the baffle plate , There is a phenomenon that the glow discharge runs around, and the plasma may become unstable.

본 발명은, 이러한 사정을 감안하여 이루어진 것으로, 대형 기판을 처리하는 경우와 같이 탑재대에 고 파워의 고주파 전력을 인가하는 경우에도, 처리실내의 소망하지 않는 부분에서의 방전이나 배기 영역으로의 플라즈마의 침입을 효과적으로 방지할 수 있는 플라즈마 처리 장치, 및 그러한 플라즈마 처리 장치에 이용되는 배기 구조를 제공하는 것을 과제로 한다.SUMMARY OF THE INVENTION The present invention has been made in view of the above circumstances, and it is an object of the present invention to provide a plasma processing apparatus, a plasma processing method, and a plasma processing method, And an exhaust structure to be used in such a plasma processing apparatus.

상기 과제를 해결하기 위해, 본 발명의 제 1 관점은, 기판을 수용하여 플라즈마 처리를 실시하는 처리실과, 상기 처리실내에서 기판이 탑재되는 탑재면을 가지는 탑재대와, 상기 처리실내에 처리 가스를 공급하는 처리 가스 공급계와, 상기 처리실내를 배기하는 배기계와, 상기 탑재대에 탑재된 기판에 대해서 플라즈마 처리를 행하기 위한 플라즈마를 생성하는 플라즈마 생성 기구와, 상기 탑재대에 바이어스용의 고주파 전력을 인가하기 위한 고주파 전원과, 상기 탑재면의 하방 위치에 마련되어, 상기 처리실을, 기판에 대해서 플라즈마 처리를 행하는 처리 영역과 상기 배기계에 연결되는 배기 영역으로 구획하는, 도전성 재료로 이루어지고 개구부를 갖지 않는 복수의 구획 부재를 갖고, 상기 복수의 구획 부재는, 접지 전위에 접속되고, 인접하는 것 끼리가, 그 사이에, 상기 처리 영역에 공급된 처리 가스를 상기 배기 영역에 유도하는 개구가 형성되도록 이간하여 배치되어 있는 것을 특징으로 하는 플라즈마 처리 장치를 제공한다.According to a first aspect of the present invention, there is provided a plasma processing apparatus comprising: a processing chamber for containing a substrate and performing plasma processing; a mounting table having a mounting surface on which the substrate is mounted in the processing chamber; A plasma generating mechanism for generating a plasma for performing a plasma treatment on a substrate mounted on the mounting table; and a plasma generating mechanism for generating a high frequency power for bias And a processing chamber provided at a lower position of the mounting surface to divide the processing chamber into a processing region for performing a plasma process with respect to the substrate and an exhaust region connected to the exhaust system, And the plurality of partition members are connected to the ground potential, and the adjacent ones Lee, provides a plasma processing apparatus, characterized in that in between, which is disposed in spaced apart to form an opening leading to the processing gas supplied to the processing region in the exhaust area.

또한, 본 발명의 제 2 관점은, 기판을 수용하여 플라즈마 처리를 행하는 처리실과, 상기 처리실내에서 기판이 탑재되는 탑재면을 가지는 탑재대와, 상기 처리실내에 처리 가스를 공급하는 처리 가스 공급계와, 상기 처리실내를 배기하는 배기계와, 상기 탑재대에 탑재된 기판에 대해서 플라즈마 처리를 행하기 위한 플라즈마를 생성하는 플라즈마 생성 기구와, 상기 탑재대에 바이어스용의 고주파 전력을 인가하기 위한 고주파 전원을 가지는 플라즈마 처리 장치에 있어서, 상기 처리실에 공급된 처리 가스를 상기 배기계에 유도하는 배기 구조로서, 상기 탑재면의 하방 위치에 마련되어, 상기 처리실을, 기판에 대해서 플라즈마 처리를 행하는 처리 영역과 상기 배기계에 연결되는 배기 영역으로 구획하는, 도전성 재료로 이루어지고 개구부를 갖지 않는 복수의 구획 부재를 갖고, 상기 복수의 구획 부재는, 접지 전위에 접속되고, 인접하는 것 끼리가, 그 사이에, 상기 처리 영역에 공급된 처리 가스를 상기 배기 영역에 유도하는 개구가 형성되도록 이간하여 배치되어 있는 것을 특징으로 하는 배기 구조를 제공한다.According to a second aspect of the present invention, there is provided a plasma processing apparatus comprising: a processing chamber accommodating a substrate and performing plasma processing; a mounting table having a mounting surface on which the substrate is mounted in the processing chamber; A plasma generating mechanism for generating a plasma for performing a plasma process on a substrate mounted on the mount table; a plasma generator for generating a plasma from a high frequency power source for applying a high frequency power for bias to the mount table; The plasma processing apparatus according to any one of claims 1 to 3, further comprising: an exhaust structure for guiding the process gas supplied to the process chamber to the exhaust system, wherein the process chamber is provided at a position below the mount surface, Which is made of a conductive material and has no opening portion And the plurality of partition members are connected to the ground potential and are arranged so as to be spaced apart from each other so as to form an opening therebetween to guide the process gas supplied to the process region to the exhaust region And an exhaust structure is provided.

상기 제 1 관점 및 제 2 관점에 있어서, 상기 구획 부재와 상이한 높이 위치에, 평면에서 보았을 경우에 상기 개구의 적어도 일부를 차폐하도록 마련되고, 도전성 재료로 이루어짐과 아울러 개구부를 갖지 않고, 또한 접지 전위에 접속된 차폐 부재를 더 가지는 것이 바람직하다. 상기 차폐 부재는, 상기 구획 부재의 하방 위치에 마련되는 것이 바람직하고, 평면에서 보았을 경우에 상기 개구의 전부를 차폐하도록 마련하는 것이 바람직하다.In the first and second aspects of the present invention, it is preferable that, in the height position different from the partitioning member, the partition wall is made of a conductive material and has no openings, And a shielding member connected to the shielding member. It is preferable that the shielding member is provided at a lower position of the partitioning member, and it is preferable that the shielding member is provided so as to shield the whole of the opening when viewed from a plane.

상기 구획 부재는, 상기 처리실의 내벽과 그것에 대향하는 상기 탑재대의 측벽의 사이에 마련할 수 있다. 이 경우에, 상기 처리실은 평면 형상이 직사각형 형상의 공간을 갖고, 상기 탑재대는 평면 형상이 직사각형 형상을 이루고, 상기 구획 부재는 상기 탑재대의 각 측벽에 대응하여 마련되고, 상기 개구는 상기 직사각형 형상의 공간의 코너부에 형성되도록 할 수 있다.The partitioning member may be provided between an inner wall of the treatment chamber and a side wall of the mounting table opposed thereto. In this case, it is preferable that the treatment chamber has a rectangular shape in plan view, the mounting table has a rectangular shape in plan view, the partition member is provided corresponding to each side wall of the mount table, It can be formed at the corner of the space.

또한, 상기 플라즈마 생성 기구는, 상기 처리 영역에 유도 결합 플라즈마를 생성하기 위해 고주파 안테나를 가지는 것인 것이 바람직하다. 이 경우에, 상기 고주파 안테나는, 상기 처리실의 상부에 유전체창을 개재하여 마련되는 것이어도 좋고, 또한, 상기 처리실의 상부에 금속창을 개재하여 마련되는 것이어도 좋다.
In addition, it is preferable that the plasma generating mechanism has a high frequency antenna for generating inductively coupled plasma in the processing region. In this case, the high-frequency antenna may be provided via a dielectric window on the upper part of the treatment chamber, or may be provided on the upper part of the treatment chamber via a metal window.

본 발명에 의하면, 탑재면의 하방 위치에, 처리실을, 기판에 대해서 플라즈마 처리를 행하는 처리 영역과 배기계에 연결되는 배기 영역으로 구획하는, 도전성 재료로 이루어지는 개구부를 갖지 않는 복수의 구획 부재를 마련하고, 복수의 구획 부재를, 접지 전위에 접속하고, 인접하는 것 끼리를, 그 사이에, 처리 영역에 공급된 처리 가스를 배기 영역에 유도하는 개구가 형성되도록 이간하도록 하여 배치한다. 이에 의해, 구획 부재가 바이어스용 고주파 전력의 대향 전극으로서 기능하여, 배기 영역에 플라즈마가 침입하여 배기계의 배기 경로에 존재하는 부재에서 방전하는 것을 억제할 수 있고, 또한, 구획하는 부재는 개구부를 갖지 않기 때문에, 처리실내의 소망하지 않는 방전을 일으키기 어렵게 할 수 있다. 이 때문에, 처리 영역에 생성된 플라즈마를 전체적으로 안정시킬 수 있다.According to the present invention, a plurality of partition members that do not have openings made of a conductive material and partition the process chamber into a process region for plasma processing and an exhaust region connected to the exhaust system are provided below the mounting surface , A plurality of partition members are connected to the ground potential, and the adjacent ones are arranged so as to be spaced apart therebetween such that openings are formed between the adjacent ones to guide the process gas supplied to the process region to the exhaust region. As a result, the partition member functions as an opposing electrode for high-frequency power for bias, and it is possible to suppress discharge in a member existing in the exhaust path of the exhaust system due to plasma intrusion into the exhaust region, It is possible to make it difficult to cause undesired discharge in the processing chamber. Therefore, the plasma generated in the processing region can be totally stabilized.

도 1은 본 발명의 일 실시 형태와 따른 플라즈마 처리 장치를 나타내는 단면도이다.
도 2는 본 발명의 일 실시 형태와 따른 플라즈마 처리 장치를 나타내는 수평 단면도이다.
도 3은 플라즈마 처리 장치에 있어서의 배기구의 배치의 다른 예를 나타내는 수평 단면도이다.
도 4는 본 발명의 다른 실시 형태와 따른 플라즈마 처리 장치를 나타내는 단면도이다.
도 5는 본 발명의 다른 실시 형태와 따른 플라즈마 처리 장치를 나타내는 수평 단면도이다.
도 6은 본 발명의 다른 실시 형태와 따른 플라즈마 처리 장치에 있어서의 구획 부재와 차폐 부재의 위치 관계를 나타내는 사시도이다.
도 7은 실험예의 결과를 나타내는 도면이다.
1 is a cross-sectional view showing a plasma processing apparatus according to an embodiment of the present invention.
2 is a horizontal sectional view showing a plasma processing apparatus according to an embodiment of the present invention.
3 is a horizontal sectional view showing another example of the arrangement of the exhaust ports in the plasma processing apparatus.
4 is a cross-sectional view showing a plasma processing apparatus according to another embodiment of the present invention.
5 is a horizontal sectional view showing a plasma processing apparatus according to another embodiment of the present invention.
6 is a perspective view showing the positional relationship between the partitioning member and the shielding member in the plasma processing apparatus according to another embodiment of the present invention.
7 is a diagram showing the results of an experimental example.

이하, 첨부 도면을 참조하여, 본 발명의 실시 형태에 대해 설명한다. 도 1은 본 발명의 일 실시 형태와 따른 플라즈마 처리 장치를 나타내는 수직 단면도, 도 2는 본 발명의 일 실시 형태와 따른 플라즈마 처리 장치를 나타내는 수평 단면도이다. 이 플라즈마 처리 장치는, 유도 결합 플라즈마를 생성하고, 예를 들면 FPD용 유리 기판과 같은 직사각형 기판에 대해서 에칭 처리나 애싱 처리 등의 유도 결합 플라즈마 처리를 행하는 유도 결합 플라즈마 처리 장치로서 구성된다. Hereinafter, embodiments of the present invention will be described with reference to the accompanying drawings. FIG. 1 is a vertical sectional view showing a plasma processing apparatus according to an embodiment of the present invention, and FIG. 2 is a horizontal sectional view showing a plasma processing apparatus according to an embodiment of the present invention. This plasma processing apparatus is constituted as an inductive coupling plasma processing apparatus for generating inductively coupled plasma and subjecting a rectangular substrate such as, for example, a FPD glass substrate to inductively coupled plasma processing such as etching treatment or ashing treatment.

이 플라즈마 처리 장치는, 도전성 재료, 예를 들면, 내벽면이 양극 산화 처리된 알루미늄으로 이루어지는 실린더 형상의 기밀(氣密)인 본체 용기(1)를 가진다. 이 본체 용기(1)는 분해 가능하게 조립되어 있고, 접지선(1a)에 의해 접지되어 있다. 본체 용기(1)는, 유전체벽(2)에 의해 상하로 안테나실(3) 및 처리실(4)로 구획되어 있다. 유전체벽(2)은 처리실(4)의 천정벽을 구성하고 있다. 유전체벽(2)은, Al2O3 등의 세라믹스, 석영 등으로 구성되어 있다.This plasma processing apparatus has a cylindrical container body 1 of airtightness made of a conductive material, for example, an aluminum whose inner wall surface is anodized. The main body container 1 is assembled in a disassemblable manner and is grounded by a ground wire 1a. The main body vessel 1 is partitioned by the dielectric wall 2 into an antenna chamber 3 and a treatment chamber 4 up and down. The dielectric wall 2 constitutes the ceiling wall of the treatment chamber 4. [ The dielectric wall 2 is made of ceramics such as Al 2 O 3 , quartz or the like.

본체 용기(1)에 있어서의 안테나실(3)의 측벽(3a)과 처리실(4)의 측벽(4a)의 사이에는 내측으로 돌출하는 지지선반(5)이 마련되어 있고, 이 지지선반(5)상에 유전체벽(2)이 탑재된다.A support shelf 5 protruding inward is provided between the side wall 3a of the antenna chamber 3 and the side wall 4a of the treatment chamber 4 in the main body container 1, And the dielectric wall 2 is mounted on the dielectric block.

유전체벽(2)의 하측 부분에는, 처리 가스 공급용의 샤워 케이스(11)가 삽입되어 있다. 샤워 케이스(11)는 십자 형상으로 마련되어 있고, 유전체벽(2)을 아래로부터 지지하는 구조, 예를 들면 대들보 구조로 되어 있다. 또한, 상기 유전체벽(2)을 지지하는 샤워 케이스(11)는, 복수개의 서셉터(도시하지 않음)에 의해 본체 용기(1)의 천정에 매달아진 상태로 되어 있다. 금속 지지선반(5) 및 샤워 케이스(11)는 유전체 부재로 피복되어 있어도 좋다.A shower case 11 for supplying a process gas is inserted into a lower portion of the dielectric wall 2. As shown in Fig. The shower case 11 is provided in a cross shape and has a structure for supporting the dielectric wall 2 from below, for example, a girder structure. The shower case 11 supporting the dielectric wall 2 is suspended from the ceiling of the main container 1 by a plurality of susceptors (not shown). The metal supporting shelf 5 and the shower case 11 may be covered with a dielectric member.

이 샤워 케이스(11)는 도전성 재료, 바람직하게는 금속, 예를 들면 오염물이 발생하지 않도록 그 내면 또는 외면이 양극 산화 처리된 알루미늄으로 구성되어 있다. 이 샤워 케이스(11)에는 수평으로 연장하는 가스 유로(12)가 형성되어 있고, 이 가스 유로(12)에는, 하방을 향해 연장하는 복수의 가스 토출구멍(12a)이 연통하고 있다. 한편, 유전체벽(2)의 상면 중앙에는, 이 가스 유로(12)에 연통하도록 가스 공급관(20a)이 마련되어 있다. 가스 공급관(20a)은, 본체 용기(1)의 천정으로부터 그 외측으로 관통하여, 처리 가스 공급원 및 밸브 시스템 등을 포함하는 처리 가스 공급계(20)에 접속되어 있다. 따라서, 플라즈마 처리에 있어서는, 처리 가스 공급계(20)로부터 공급된 처리 가스가 가스 공급관(20a)을 거쳐서 샤워 케이스(11)내에 공급되고, 그 하면의 가스 토출구멍(12a)으로부터 처리실(4)내에 토출된다.The shower case 11 is made of aluminum whose inner or outer surface is anodized so as not to generate a conductive material, preferably a metal, for example, a contaminant. The shower case 11 is provided with a horizontally extending gas flow path 12 in which a plurality of gas discharge holes 12a extending downward communicate with each other. On the other hand, at the center of the upper surface of the dielectric wall 2, a gas supply pipe 20a is provided so as to communicate with the gas flow path 12. The gas supply pipe 20a penetrates from the ceiling of the main container 1 to the outside thereof and is connected to a process gas supply system 20 including a process gas supply source and a valve system. Therefore, in the plasma treatment, the process gas supplied from the process gas supply system 20 is supplied into the shower case 11 through the gas supply pipe 20a, and the gas is discharged from the gas discharge hole 12a on the lower surface thereof, .

안테나실(3)내에는, 고주파(RF) 안테나(13)가 배치되어 있다. 고주파 안테나(13)는, 구리나 알루미늄 등의 도전성이 좋은 금속으로 이루어지는 안테나선(13a)을 고리 형상이나 소용돌이 형상 등의 종래에 이용되는 임의의 형상으로 배치하여 구성된다. 복수의 안테나부를 가지는 다중 안테나이어도 좋다.In the antenna chamber 3, a high frequency (RF) antenna 13 is disposed. The high frequency antenna 13 is constituted by disposing an antenna wire 13a made of a metal having good conductivity such as copper or aluminum in an arbitrary shape conventionally used such as a ring shape or a spiral shape. Or may be a multiple antenna having a plurality of antenna portions.

안테나선(13a)의 단자(22)에는 안테나실(3)의 상방으로 연장되는 급전 부재(16)가 접속되어 있다. 급전 부재(16)의 상단에는, 급전선(19)으로부터 고주파 전원(15)이 접속되어 있다. 또한, 급전선(19)에는 정합기(14)가 설치되어 있다. 또한, 고주파 안테나(13)는 절연 부재로 이루어지는 스페이서(17)에 의해 유전체벽(2)으로부터 이간하고 있다. 그리고, 고주파 안테나(13)에, 고주파 전원(15)으로부터, 예를 들면 주파수가 13.56MHz의 고주파 전력이 공급됨으로써, 처리실(4)내에 유도 전계가 형성되고, 이 유도 전계에 의해 샤워 케이스(11)로부터 공급된 처리 가스가 플라즈마화되고, 유도 결합 플라즈마가 생성된다.A power supply member 16 extending upward from the antenna chamber 3 is connected to the terminal 22 of the antenna line 13a. At the upper end of the power supply member 16, a high frequency power supply 15 is connected from the power supply line 19. The feeder line 19 is provided with a matching unit 14. The high frequency antenna 13 is separated from the dielectric wall 2 by a spacer 17 made of an insulating member. A high frequency electric power of, for example, 13.56 MHz is supplied from the high frequency electric power source 15 to the high frequency antenna 13 so that an induction electric field is formed in the treatment chamber 4, Is plasmanized, and an inductively coupled plasma is generated.

처리실(4)내의 하방에는, 유전체벽(2)을 사이에 두고 고주파 안테나(13)와 대향하도록, 직사각형 형상의 기판 G를 탑재하기 위한 탑재면을 가지는 탑재대(23)가 마련되어 있다. 탑재대(23)는, 도전성 재료, 예를 들면 표면이 양극 산화 처리된 알루미늄으로 구성된 본체(23a)와, 본체(23a)를 수용하도록 마련된 절연체 프레임(23b)을 가지고 있다. 탑재대(23)에 탑재된 기판 G는, 정전 척(도시하지 않음)에 의해 흡착 유지된다.Below the processing chamber 4 is provided a mounting table 23 having a mounting surface for mounting a rectangular substrate G so as to face the high frequency antenna 13 with the dielectric wall 2 therebetween. The mount table 23 has a main body 23a made of a conductive material, for example, aluminum whose surface is anodized, and an insulator frame 23b provided to receive the main body 23a. The substrate G mounted on the mounting table 23 is attracted and held by an electrostatic chuck (not shown).

탑재대(23)는, 중공의 지주(25)로 지지된다. 지주(25)는 본체 용기(1)의 바닥부를 관통하여, 본체 용기(1) 바깥에 배치된 승강 기구(도시하지 않음)로 지지되고, 기판 G의 반입출시에 승강 기구에 의해 탑재대(23)가 상하 방향으로 구동된다. 탑재대(23)의 절연체 프레임(23b)과 본체 용기(1)의 바닥부(4b)의 사이에는, 지주(25)를 기밀하게 포위하는 벨로우즈(bellows)(26)가 배치되어 있고, 이에 의해, 탑재대(23)의 상하 이동에 의해서도 처리실(4)내의 기밀성이 보증된다. 또한 처리실(4)의 4개의 측벽(4a) 중 하나에는, 기판 G를 반입출하기 위한 반입출구(27a) 및 그것을 개폐하는 게이트 밸브(27)가 마련되어 있다. 또한, 탑재대에 승강 기구를 마련하지 않고 고정하는 구조로 해도 좋다.The mounting table 23 is supported by a hollow support 25. The support 25 is supported by a lifting mechanism (not shown) disposed outside the main body container 1 through the bottom portion of the main body container 1 and is supported by a lifting mechanism Is driven in the vertical direction. A bellows 26 for hermetically surrounding the support pillars 25 is disposed between the insulator frame 23b of the mounting table 23 and the bottom portion 4b of the main container 1, , The airtightness in the processing chamber 4 is also ensured by the vertical movement of the mounting table 23. One of the four side walls 4a of the processing chamber 4 is provided with a loading / unloading port 27a for loading / unloading the substrate G and a gate valve 27 for opening / closing it. Further, the mounting table may be structured to be fixed without providing a lifting mechanism.

탑재대(23)의 본체(23a)에는, 중공의 지주(25)내에 마련된 급전선(25a)에 의해, 정합기(28)를 거쳐서 고주파 전원(29)이 접속되어 있다. 이 고주파 전원(29)은, 플라즈마 처리 중에, 바이어스용의 고주파 전력, 예를 들면 주파수가 6MHz의 고주파 전력을 탑재대(23)에 인가한다. 이 바이어스용의 고주파 전력에 의해, 처리실(4)내에 생성된 플라즈마 중의 이온이 효과적으로 기판 G로 인입된다.A high frequency power source 29 is connected to the main body 23a of the mount table 23 by a feeder line 25a provided in a hollow support 25 via a matching device 28. [ The high frequency power supply 29 applies a high frequency power for bias, for example, a high frequency power having a frequency of 6 MHz, to the stage 23 during plasma processing. By this high-frequency power for the bias, the ions in the plasma generated in the processing chamber 4 are effectively introduced into the substrate G.

또한, 탑재대(23)내에는, 기판 G의 온도를 제어하기 위해, 세라믹 히터 등의 가열 수단이나 냉매 유로 등으로 이루어지는 온도 제어 기구와, 온도 센서가 마련되어 있다(모두 도시하지 않음). 이러한 기구나 부재에 대한 배관이나 배선은, 모두 중공의 지주(25)를 통해 본체 용기(1) 바깥으로 도출된다.In the mounting table 23, a temperature control mechanism including a heating means such as a ceramic heater, a refrigerant passage, and the like and a temperature sensor are provided (all not shown) for controlling the temperature of the substrate G. The piping or wiring for such a mechanism or member is led out of the main container 1 through the hollow support 25.

처리실(4)의 내벽(측벽(4a)의 내측 부분)과 탑재대(23)의 사이에는, 처리실(4)내를 처리 영역(41)과 배기 영역(42)으로 구획하는 4개의 구획 부재(50)가 마련되어 있다. 구획 부재(50)는, 개구부를 갖지 않는 직사각형 형상을 이루는 금속 등의 도전성 재료로 이루어지는 판재로 구성되어 있다. 각 구획 부재(50)는, 탑재대(23)의 각 측면에 대응하여 마련되어 있고, 접지선(50a)에 의해 접지 전위에 접속되어 있다. 또한, 구획 부재(50)를 측벽(4a)과 전기적으로 접속시켜, 본체 용기(1)를 거쳐서 접지하도록 해도 좋다. 인접하는 구획 부재(50) 끼리는, 그 사이에, 처리 영역(41)에 공급된 가스를 배기 영역에 유도하는 개구(60)가 형성되도록 이간하여 배치되어 있고, 개구(60)는 구획 부재(50) 형성면의 4 코너에 존재하고 있다.Four partition members (a partition wall) for partitioning the inside of the treatment chamber 4 into the treatment region 41 and the exhaust region 42 are provided between the inner wall of the treatment chamber 4 (the inner portion of the side wall 4a) 50 are provided. The partition member 50 is made of a plate material made of a conductive material such as a rectangular metal having no opening. Each of the partition members 50 is provided corresponding to each side of the table 23 and is connected to the ground potential by a ground line 50a. Further, the partition member 50 may be electrically connected to the side wall 4a and grounded via the main body container 1. [ The adjacent partition members 50 are spaced apart from each other such that an opening 60 is formed between the adjacent partition members 50 to guide the gas supplied to the process region 41 to the exhaust region, ) Forming surface.

처리 영역(41)은, 처리실(4) 중 구획 부재(50)보다 위의 영역이며, 기판 G를 플라즈마 처리하기 위한 유도 결합 플라즈마가 형성되는 영역이다. 또한, 배기 영역(42)은, 처리실(4) 중 구획 부재(50)보다 아래의 영역이며, 처리 영역(41)으로부터의 처리 가스가 유도되어, 그것을 배기하기 위한 영역이다.The processing region 41 is an area above the partition member 50 in the processing chamber 4 and is an area in which an inductively coupled plasma for plasma processing of the substrate G is formed. The exhaust area 42 is an area below the partition member 50 in the process chamber 4 and is a region for introducing the process gas from the process area 41 and exhausting it.

처리실(4)의 바닥부(4b)에는, 처리실(4)의 각 측벽(4a)에 따라 2개씩, 합계 8개의 배기구(30)가 마련되어 있고, 각 배기구(30)에는 배기관(31)이 접속되어 있다. 각 배기관(31)에는 자동 압력 제어 밸브(APC)(32) 및 진공 펌프(33)가 접속되어 있다. 그리고, 진공 펌프(33)에 의해 처리실(4)내가 배기되고, 플라즈마 처리 중, 자동 압력 제어 밸브(APC)(32)의 개방도를 조정하여 처리실(4)내가 소정의 진공 분위기로 설정, 유지된다. 이러한 배기 배관(31), 자동 압력 제어 밸브(APC)(32) 및 진공 펌프(33)에 의해 배기계가 구성된다. 또한, 배기구(30)의 수나 위치는, 장치의 크기에 따라 적절히 설정된다. 예를 들면, 도 3의 수평 단면도에 나타낸 바와 같이, 배기구(30)를 처리실(4)의 바닥부(4b)의 4 코너에 마련하도록 해도 좋다.A total of eight exhaust ports 30 are provided in the bottom portion 4b of the process chamber 4 along two side walls 4a of the process chamber 4. A exhaust pipe 31 is connected to each exhaust port 30 . An automatic pressure control valve (APC) 32 and a vacuum pump 33 are connected to each exhaust pipe 31. The processing chamber 4 is evacuated by the vacuum pump 33 and the degree of opening of the automatic pressure control valve APC 32 is adjusted during plasma processing to set the processing chamber 4 to a predetermined vacuum atmosphere, do. The exhaust system is constituted by the exhaust pipe 31, the automatic pressure control valve (APC) 32 and the vacuum pump 33. In addition, the number or position of the exhaust port 30 is appropriately set in accordance with the size of the apparatus. For example, as shown in the horizontal sectional view of Fig. 3, the exhaust port 30 may be provided at the four corners of the bottom portion 4b of the process chamber 4. [

탑재대(23)에 탑재된 기판 G의 이면측에는 냉각 공간(도시하지 않음)이 형성되어 있고, 일정한 압력의 열 전달용 가스로서 He 가스를 공급하기 위한 He 가스 유로(35)가 마련되어 있다. 이와 같이 기판 G의 이면측에 열 전달용 가스를 공급함으로써, 진공 하에서 기판 G의 온도 상승이나 온도 변화를 회피할 수 있도록 되어 있다.A cooling space (not shown) is formed on the back side of the substrate G mounted on the mounting table 23, and a He gas flow path 35 for supplying He gas as a heat transfer gas at a constant pressure is provided. By supplying the heat transfer gas to the back side of the substrate G in this manner, temperature rise and temperature change of the substrate G under vacuum can be avoided.

또한, 플라즈마 처리 장치는, 마이크로프로세서(컴퓨터)로 이루어지는 제어부(100), 유저 인터페이스(101), 기억부(102)를 가지고 있다. 제어부(100)는, 플라즈마 처리 장치의 각 구성부, 예를 들면 밸브, 고주파 전원, 진공 펌프 등에 지령을 보내어, 이들을 제어하도록 되어 있다. 또한, 유저 인터페이스(101)는, 오퍼레이터에 의한 플라즈마 처리 장치를 관리하기 위한 커맨드 입력 등의 입력 조작을 행하는 키보드나, 플라즈마 처리 장치의 가동 상황을 가시화하여 표시하는 디스플레이 등을 갖고, 제어부(100)에 접속되어 있다. 기억부(102)는, 플라즈마 처리 장치에서 실행되는 각종 처리를 제어부(100)의 제어로 실현하기 위한 제어 프로그램이나, 처리 조건에 따라 플라즈마 처리 장치의 각 구성부에게 처리를 실행하게 하기 위한 프로그램, 즉 처리 레시피가 저장되어 있고, 제어부(100)에 접속되어 있다. 처리 레시피는 기억부(102) 중의 기억 매체에 기억되어 있다. 기억 매체는, 컴퓨터에 내장된 하드 디스크나 반도체 메모리이어도 좋고, CDROM, DVD, 플래쉬 메모리 등의 가반성(可搬性)의 것이어도 좋다. 또한, 다른 장치로부터, 예를 들면 전용 회선을 거쳐서 레시피를 적절히 전송시키도록 해도 좋다. 그리고, 필요에 따라서, 유저 인터페이스(101)로부터의 지시 등으로 임의의 처리 레시피를 기억부(102)로부터 호출하여 제어부(100)에게 실행하게 함으로써, 제어부(100)의 제어 하에서, 플라즈마 처리 장치에서의 소망하는 처리가 행해진다.The plasma processing apparatus has a control unit 100 including a microprocessor (computer), a user interface 101, and a storage unit 102. The control unit 100 sends commands to the respective components of the plasma processing apparatus, for example, a valve, a high-frequency power source, and a vacuum pump, and controls them. The user interface 101 also has a keyboard that performs an input operation such as a command input for managing a plasma processing apparatus by an operator or a display that visually displays the operating state of the plasma processing apparatus, Respectively. The storage section 102 stores a control program for realizing various processes to be executed in the plasma processing apparatus under the control of the control section 100 and a program for causing the respective components of the plasma processing apparatus to execute processing in accordance with the processing conditions, That is, the processing recipe is stored, and is connected to the control unit 100. The processing recipe is stored in the storage medium in the storage unit 102. [ The storage medium may be a hard disk or a semiconductor memory built in a computer, or a portable medium such as a CD ROM, a DVD, or a flash memory. Further, the recipe may be appropriately transmitted from another apparatus, for example, via a dedicated line. Then, if necessary, an arbitrary processing recipe is called from the storage unit 102 by the instruction from the user interface 101 and is executed by the control unit 100, so that under the control of the control unit 100, A desired process is performed.

다음에, 이상과 같이 구성되는 플라즈마 처리 장치를 이용하여 기판 G에 대해서 플라즈마 처리, 예를 들면 플라즈마 에칭이나 플라즈마 애싱을 실시할 때의 처리 동작에 대해 설명한다.Next, a description will be given of a processing operation when plasma processing, for example, plasma etching or plasma ashing, is performed on the substrate G using the plasma processing apparatus configured as described above.

우선, 게이트 밸브(27)를 개방으로 한 상태에서 반입출구(27a)로부터 반송 기구(도시하지 않음)에 의해 기판 G를 처리실(4)내에 반입하고, 탑재대(23)의 탑재면에 탑재한 후, 정전 척(도시하지 않음)에 의해 기판 G를 탑재대(23)상에 고정한다. 다음에, 처리 가스 공급계(20)로부터 샤워 케이스(11)의 가스 토출구멍(12a)을 거쳐서 처리 가스를 처리실(4)내에 공급함과 아울러, 자동 압력 제어 밸브(APC)(32)에 의해 압력을 제어하면서 배기구(30)로부터 배기관(31)을 거쳐서 진공 펌프(33)에 의해 처리실(4)내를 진공 배기함으로써, 처리실내를, 예를 들면 0.66~26.6Pa 정도의 압력 분위기로 유지한다.First, the substrate G is carried into the process chamber 4 by the transporting mechanism (not shown) from the loading / unloading port 27a with the gate valve 27 opened and mounted on the mounting surface of the loading table 23 The substrate G is fixed on the mounting table 23 by an electrostatic chuck (not shown). Next, the process gas is supplied from the process gas supply system 20 to the processing chamber 4 through the gas discharge hole 12a of the shower case 11, and the pressure is supplied to the processing chamber 4 by the automatic pressure control valve (APC) The interior of the processing chamber 4 is evacuated by the vacuum pump 33 from the exhaust port 30 through the exhaust pipe 31 through the exhaust pipe 31 to maintain the processing chamber at a pressure atmosphere of about 0.66 to 26.6 Pa.

또한, 이 때 기판 G의 이면측의 냉각 공간에는, 기판 G의 온도 상승이나 온도 변화를 회피하기 위해서, He 가스 유로(35)를 거쳐서, 열 전달용 가스로서, He 가스를 공급한다.At this time, He gas is supplied as a heat transfer gas to the cooling space on the back side of the substrate G via the He gas flow path 35 in order to avoid temperature rise and temperature change of the substrate G.

그 다음에, 고주파 전원(15)으로부터, 예를 들면 13.56MHz의 고주파를 고주파 안테나(13)에 인가하여, 이에 의해 유전체벽(2)을 거쳐서 처리실(4)내에 균일한 유도 전계를 형성한다. 이와 같이 하여 형성된 유도 전계에 의해, 처리실(4)내에서 처리 가스가 플라즈마화하여, 고밀도의 유도 결합 플라즈마가 생성된다. 이 플라즈마에 의해, 기판 G에 대해서 플라즈마 처리, 예를 들면 기판 G의 소정의 막에 대해서 플라즈마 에칭이나 플라즈마 애싱이 행해진다. 이 때, 고주파 전원(29)으로부터 바이어스용의 고주파 전력으로서, 예를 들면 주파수가 6MHz의 고주파 전력을 탑재대(23)에 인가하여, 처리실(4)내에 생성된 플라즈마 중의 이온이 효과적으로 기판 G에 인입되도록 한다.Then, a high-frequency wave of, for example, 13.56 MHz is applied to the high-frequency antenna 13 from the high-frequency power source 15, thereby forming a uniform induction field in the treatment chamber 4 through the dielectric wall 2. The induced electric field formed in this way causes the process gas to be plasmaized in the process chamber 4, and a high-density inductively coupled plasma is produced. With this plasma, plasma processing is performed on the substrate G, for example, plasma etching or plasma ashing is performed on a predetermined film of the substrate G. At this time, high-frequency power having a frequency of 6 MHz, for example, is applied to the mounting table 23 as bias high-frequency power from the high-frequency power supply 29, so that ions in the plasma generated in the processing chamber 4 are effectively applied to the substrate G .

처리 가스는, 처리실(4)내의 처리 영역(41)에서 플라즈마화하여 플라즈마 처리에 공급된 후, 진공 펌프(33)에 의해 흡인됨으로써, 인접하는 구획 부재(50)의 사이에 형성된 개구(60)로부터 배기 영역(42)에 이르러, 배기구(30)로부터 배기관(31)을 거쳐 배기된다.The processing gas is plasmaized in the processing region 41 in the processing chamber 4 and supplied to the plasma processing and then sucked by the vacuum pump 33 to form an opening 60 formed between the adjacent partitioning members 50. [ The exhaust gas is exhausted from the exhaust port 30 through the exhaust pipe 31.

이 때, 종래에는, 펀칭 구멍이나 슬릿 등의 개구부에 의해 가스 통로를 확보한 배플판을 마련함과 아울러, 배플판을 접지함으로써, 플라즈마 방전이 개구부를 통과하여 배기 영역에 이르는 것을 억제하는 기술이 알려져 있었다. 그러나, 대형 기판의 플라즈마 처리의 경우와 같이 탑재대에 고 파워의 고주파 전력을 인가한 후에 배플판을 접지하면, 배플판에 형성된 펀칭 구멍에서 글로우 방전이 생기거나, 글로우 방전이 돌아다니거나 하여 플라즈마가 불안정하게 되는 현상이 생기는 경우가 있었다. 즉, 대형 기판의 처리에 있어서는, 배플판을 접지하지 않는 경우에는 개구부를 통과하여 배기 영역에 플라즈마가 침입하는 것을 효과적으로 방지할 수 없고, 결과적으로 배기로 등에 있어서의 방전으로 이어지고, 배플판을 접지했을 경우에도 펀칭 구멍에서 글로우 방전이 생긴다고 하는 것과 같이, 모두 문제가 생긴다.Conventionally, there has been known a technique of providing a baffle plate in which a gas passage is secured by an opening portion such as a punching hole or a slit, and at the same time, the baffle plate is grounded to prevent plasma discharge from reaching the exhausting region through the opening portion there was. However, when the baffle plate is grounded after high-frequency high-frequency power is applied to the mounting table as in the case of plasma processing of a large substrate, glow discharge occurs in the punching holes formed in the baffle plate, There is a case in which a phenomenon that the liquid crystal molecules become unstable occurs. That is, in the processing of a large-sized substrate, when the baffle plate is not grounded, it is not possible to effectively prevent the plasma from intruding into the exhaust area through the opening and consequently leading to discharge in the exhaust passage, A glow discharge occurs in the punching hole, all of which cause a problem.

그 때문에, 플라즈마의 배기 영역으로의 침입과, 펀칭 구멍에서의 글로우 방전의 양쪽을 방지하는 것을 목적으로 하여, 배플판 대신에 개구부가 없는 판 형상의 구획 부재를 마련하고, 구획판을 전기적으로 플로팅 상태(플로트 전위)로 하는 것이 시도되었다. 이에 의해, 어느 정도까지의 크기의 바이어스용 고주파 전력까지 효과가 있는 것이 확인되었지만, 기판이 한층 대형화하여 바이어스용의 고주파 파워가 더욱 커지면, 배기 영역으로의 플라즈마의 침입을 충분히 방지할 수 없고, 자동 압력 제어 밸브(APC) 등의 배기 경로에 마련된 부재에서 아킹이 발생하는 경우가 있었다. 이 원인에 대해 검토한 결과, 유도 결합형의 플라즈마 처리 장치의 경우, 바이어스용 고주파 전력이 인가되는 전극의 대향 전극의 면적이 작기 때문인 것으로 상정했다.Therefore, in order to prevent both the intrusion of the plasma into the exhaust region and the glow discharge in the punching hole, a plate-like partitioning member having no opening is provided instead of the baffle plate, and the partitioning plate is electrically floated State (float potential). As a result, it has been confirmed that the bias power for high frequency power up to a certain extent is effective. However, if the substrate becomes larger and the high frequency power for bias becomes larger, invasion of plasma into the exhaust region can not be sufficiently prevented, Arcing may occur in a member provided in an exhaust passage such as a pressure control valve (APC). As a result of studying this cause, it is assumed that the inductively coupled plasma processing apparatus is caused by the fact that the area of the counter electrode of the electrode to which the high frequency power for bias is applied is small.

그래서, 한층 더 검토한 결과, 배플판 대신에 개구부가 없는 구획 부재를 복수 마련하는 것과, 이러한 구획 부재를 접지 전위에 접속하는 것 양쪽을 행하는 것이 유효하다는 결론을 얻을 수 있었다. 즉, 구획 부재를 접지함으로써 구획 부재가 바이어스용 고주파 전력의 대향 전극으로서 기능하여, 자동 압력 제어 밸브(APC) 등에서의 방전(아킹)이 억제되고, 또한, 개구부가 없는 구획 부재는, 접지해도, 배플판과 같은 글로우 방전이 생기기 어려운 것이 판명되었다.As a result of further investigation, it was concluded that it is effective to provide a plurality of partition members having no openings instead of the baffle plate, and to connect such partition members to the ground potential. That is, by grounding the partition member, the partition member functions as an opposing electrode for bias high-frequency electric power, discharge (arcing) in the automatic pressure control valve APC or the like is suppressed, and the partition member having no opening portion, It was found that the glow discharge like the baffle plate is hard to occur.

이 때문에, 본 실시 형태에서는, 종래의 배플판이 마련되어 있던, 처리실(4)의 내벽(측벽(4a)의 내측 부분)과 탑재대(23)의 사이의 위치에, 개구부를 갖지 않는 복수의 구획 부재(50)를 접지하여 마련하고, 이들 구획 부재(50)의 인접하는 것 끼리를, 그들 사이에 배기 영역(42)에 이르는 개구(60)가 형성되도록 이간하여 배치한다. 이에 의해, 고 파워의 바이어스용 고주파 전력을 탑재대(23)에 인가하여도, 구획 부재(50) 근방에서의 글로우 방전을 억제할 수 있고, 또한 배기 영역(42)에 플라즈마가 침입하여 자동 압력 제어 밸브(APC) 등의 배기 경로에 마련된 부재에서의 방전(아킹)을 억제할 수 있다. 또한, 이와 같이 소망하지 않는 방전을 억제할 수 있는 것에 의해, 처리 영역(41)에 생성된 플라즈마를 전체적으로 안정시킬 수 있다.Therefore, in this embodiment, a plurality of partition members (not shown) having no opening are provided at positions between the inner wall of the process chamber 4 (the inner portion of the side wall 4a) and the mounting table 23, And the adjacent ones of the partition members 50 are arranged so as to be spaced apart from each other such that an opening 60 reaching the exhaust region 42 is formed therebetween. As a result, glow discharge in the vicinity of the partition member 50 can be suppressed even when a high-frequency bias high-frequency power is applied to the stage 23, plasma enters the exhaust region 42, It is possible to suppress the discharge (arcing) in the member provided in the exhaust path such as the control valve APC or the like. In addition, by suppressing such undesired discharge, the plasma generated in the processing region 41 can be stabilized as a whole.

또한, 종래의 펀칭 구멍이나 슬릿 등의 개구부를 가지는 배플판은, 원래 원형의 기판을 취급하는 반도체 처리 장치 등에 있어서 기판의 주연부로부터 균등하게 배기하는 것을 목적으로 하여 발달해 온 기술이며, 직사각형 기판을 처리하는 직사각형의 처리실에 있어서는, 주연부로부터 균등하게 배기하는 것보다도, 오히려 처리실의 4 코너로 기류를 가이드하여 4 코너로부터 배기하는 쪽이 구조상 유리하다. 따라서, 직사각형 기판을 처리하는 처리 장치에 있어서는, 이 점으로부터도 개구를 갖지 않는 구획 부재에 의해 4 코너에 배기를 위한 개구를 마련하는 구성이 바람직하다.Conventionally, a baffle plate having openings such as punching holes and slits has been developed for the purpose of uniformly discharging from the periphery of a substrate in a semiconductor processing apparatus or the like handling an original circular substrate, and a rectangular substrate In the case of a rectangular processing chamber to be treated, it is advantageous in terms of structure to guide the airflow to the four corners of the processing chamber rather than exhausting it from four corners rather than exhausting it uniformly from the peripheral portion. Therefore, in the processing apparatus for processing the rectangular substrate, it is preferable to provide an opening for exhausting the four corners by the partition member having no opening from this point.

다음에, 본 발명의 다른 실시 형태에 대해 설명한다. 도 4는 본 발명의 다른 실시 형태와 따른 플라즈마 처리 장치를 나타내는 수직 단면도, 도 5는 본 발명의 다른 실시 형태와 따른 플라즈마 처리 장치를 나타내는 수평 단면도, 도 6은 그 플라즈마 처리 장치에 있어서의 구획 부재와 차폐 부재의 위치 관계를 나타내는 사시도이다. 이 플라즈마 처리 장치는, 인접하는 구획 부재(50)의 사이에 형성되는 개구(60)의 하방 위치에 차폐 부재(52)가 마련되어 있는 것 외에는, 종전의 실시 형태와 마찬가지로 구성되어 있다.Next, another embodiment of the present invention will be described. FIG. 4 is a vertical sectional view showing a plasma processing apparatus according to another embodiment of the present invention, FIG. 5 is a horizontal sectional view showing a plasma processing apparatus according to another embodiment of the present invention, and FIG. 6 is a sectional view And the positional relationship of the shielding member. This plasma processing apparatus is configured similarly to the previous embodiment except that the shielding member 52 is provided below the opening 60 formed between the adjacent partitioning members 50. [

구체적으로는, 차폐 부재(52)는 금속 등의 도전성 재료로 이루어지는 판재로 구성되고, 처리실(4)의 내벽(측벽(4a)의 내측 부분)과 탑재대(23)의 사이의 4 코너로서, 구획 부재(50)의 하방 위치에 각각 배치되어 있다. 차폐 부재(52)는, 평면에서 보았을 경우에, 그 적어도 일부가 구획 부재(50)와 겹치도록 배치되어, 개구(60)를 차폐하도록 되어 있다. 또한, 차폐 부재(52)는, 접지선(52a)에 의해 접지 전위에 접속되어 있다. 또한, 차폐 부재(52)를 본체 용기(1) 또는 구획 부재(50)를 거쳐서 접지해도 좋다.Specifically, the shielding member 52 is made of a plate made of a conductive material such as a metal, and has four corners between the inner wall of the process chamber 4 (the inner side portion of the side wall 4a) and the mount table 23, And are disposed below the partition member 50, respectively. At least a part of the shielding member 52 is arranged to overlap with the partitioning member 50 so as to shield the opening 60 when viewed in a plan view. Further, the shielding member 52 is connected to the ground potential by the ground line 52a. The shielding member 52 may be grounded via the main body container 1 or the partition member 50. [

이와 같이, 구획 부재(50)의 하방 위치에, 개구(60)를 차폐하도록, 접지된 차폐 부재(52)를 마련함으로써, 배기 경로를 처리 영역(41)에 존재하는 플라즈마로부터 차폐할 수 있어, 자동 압력 제어 밸브(APC) 등의 배기 경로에 마련된 부재에서의 방전(아킹)을 보다 확실히 억제할 수 있다. 이에 의해, 처리 영역(41)에 생성된 플라즈마의 전체적인 안정성을 보다 높일 수 있다.By providing the grounded shielding member 52 so as to shield the opening 60 at the lower position of the partition member 50 as described above, the exhaust path can be shielded from the plasma present in the processing region 41, Discharge (arcing) in a member provided in an exhaust path such as an automatic pressure control valve (APC) can be suppressed more reliably. Thereby, the overall stability of the plasma generated in the processing region 41 can be further enhanced.

또한, 차폐 부재(52)는 개구(60)를 완전하게 차폐하는 것이 아니라, 개구(60)의 일부를 차폐해도 어느 정도의 차폐 효과를 얻을 수 있다. 또한, 차폐 부재(52)는, 구획 부재(50)와 상이한 높이 위치에 마련되어 있으면 좋고, 구획 부재(50)의 상방 위치에 마련해도 좋다.Further, the shielding member 52 can achieve a shielding effect to some extent, even if a part of the opening 60 is shielded, rather than completely shielding the opening 60. [ The shielding member 52 may be provided at a position different from that of the partitioning member 50 and may be provided at a position above the partitioning member 50. [

다음에, 실험예에 대해 설명한다. Next, an experimental example will be described.

여기에서는, 구획 부재를 마련한 유도 결합형의 플라즈마 처리 장치를 이용하여, 바이어스용 고주파 전력의 파워(바이어스 파워)를 변화시켜 O2 애싱을 행했을 때에 있어서의 자동 압력 제어 밸브(APC)에서의 아킹 발생의 유무를 파악했다. 여기에서는, 플라즈마 처리 장치로서, 플로팅 상태의 구획 부재를 마련한 것, 접지한 구획 부재를 마련한 것(도 1 및 도 2에 나타내는 실시 형태), 접지한 구획 부재 외에 접지한 차폐 부재를 마련한 것(도 4 및 도 5에 나타내는 실시 형태)의 3 종류를 이용하여 베이스로 되는 조건을 O2 가스 유량: 1000sccm, 압력: 20mTorr, 플라즈마 생성용 고주파 전력 파워를 40kW로 하여 실험을 행했다.Here, by using an inductively coupled plasma processing apparatus provided with a partition member, the power (bias power) of bias high-frequency power is varied to obtain O 2 The presence or absence of arcing in the automatic pressure control valve APC at the time of performing ashing was determined. Here, the plasma processing apparatus is a plasma processing apparatus in which a partition member in a floating state is provided, a partition member in which a ground is provided (an embodiment shown in Figs. 1 and 2), and a shield member in contact with the ground 4, and the embodiment shown in Fig. 5), the experiment was performed under the condition that the O 2 gas flow rate was 1,000 sccm, the pressure was 20 mTorr, and the high frequency power for generating plasma was 40 kW.

그 결과, 도 7에 나타낸 바와 같이, 구획 부재가 플로팅 상태의 경우에는, 바이어스 파워가 30kW에 이르면 자동 압력 제어 밸브(APC)에서 아킹이 발생한 것에 대해서, 구획 부재를 접지함으로써, 바이어스 파워가 40kW에서도 자동 압력 제어 밸브(APC)에서 아킹이 발생하지 않는 것이 확인되었다. 또한, 접지한 구획 부재를 마련한 후에 접지한 차폐 부재를 마련함으로써, 바이어스 파워가 50kW에서도 자동 압력 제어 밸브(APC)에서 아킹이 발생하지 않는 것이 확인되었다.As a result, as shown in Fig. 7, when the partition member is floating, when the bias power reaches 30 kW, arcing occurs in the automatic pressure control valve APC, and the partition member is grounded, It was confirmed that arcing did not occur in the automatic pressure control valve (APC). Further, it was confirmed that arcing did not occur in the automatic pressure control valve (APC) even when the bias power was 50 kW by providing the grounded shielding member after providing the grounded partitioning member.

또한, 본 발명은 상기 실시 형태로 한정되는 일 없이 여러 가지로 변형 가능하다. 예를 들면, 상기 실시 형태에서는, 바이어스용 고주파 전력이 인가되는 전극의 대향 전극의 면적이 작은 유도 결합형의 플라즈마 처리 장치에 본 발명을 특히 효과적으로 적용할 수 있는 것을 나타냈지만, 이것에 한정하지 않고, 마이크로파를 이용한 플라즈마 처리 장치에 있어서도 마찬가지로 효과적으로 본 발명을 적용할 수 있고, 또한, 바이어스용 고주파 전력이 인가되는 전극의 대향 전극의 면적이 비교적 큰 용량 결합형(평행 평판형)의 플라즈마 처리 장치이더라도 적용 가능하다.Further, the present invention is not limited to the above-described embodiment, but can be modified in various ways. For example, in the above embodiment, the present invention can be effectively applied to an inductively coupled plasma processing apparatus in which the area of the counter electrode of the electrode to which bias high-frequency power is applied is small. However, the present invention is not limited thereto (Parallel plate type) plasma processing apparatus having a comparatively large area of the counter electrode of the electrode to which the high frequency power for bias is applied can be similarly applied to the plasma processing apparatus using microwaves Applicable.

또한, 상기 실시 형태에서는, 유도 결합형의 플라즈마 처리 장치로서 처리실의 상부에 유전체창을 개재하여 고주파 안테나가 마련된 경우에 대해 나타냈지만, 유전체창이 아니라 금속창을 개재하여 고주파 안테나가 마련된 경우에 대해서도 적용할 수 있다. 이 경우, 처리 가스의 공급은, 대들보 구조 등의 십자 형상의 샤워 케이스로부터는 아니고 금속창에 가스 샤워를 마련하여 공급해도 좋다.In the above-described embodiment, a case where a high frequency antenna is provided with a dielectric window on the upper part of the processing chamber is shown as an inductively coupled plasma processing apparatus. However, the present invention is also applicable to a case where a high frequency antenna is provided through a metal window instead of a dielectric window can do. In this case, the supply of the process gas may be performed by supplying a gas shower to a metal window instead of a cross-shaped shower case such as a girder structure.

또한, 상기 실시 형태에서는 본 발명을 플라즈마 에칭이나 플라즈마 애싱을 실시하는 장치에 적용했을 경우에 대해 나타냈지만, CVD 성막 등의 다른 플라즈마 처리 장치에 적용할 수 있다. 또한, 상기 실시 형태에서는, 기판으로서 FPD용의 직사각형 기판을 이용한 예를 나타냈지만, 다른 직사각형 기판을 처리하는 경우에도 적용 가능한 것으로 하며, 직사각형에 한정하지 않고, 예를 들면 반도체 웨이퍼 등의 원형의 기판에도 적용 가능하다. 또한, 상기 실시 형태에서는, 인접하는 구획 부재 사이의 개구를 처리실의 4 코너에 형성한 예에 대해 나타냈지만, 이것에 한정하는 것은 아니고, 기판 처리 내용에 따른 기류의 최적화를 위해서 4 코너 이외에 개구를 마련했을 경우에도 적용 가능하다. 또한, 구획 부재의 형상도 직사각형에 한정하지 않고, 예를 들면, 기판이 원형이고 처리실이나 탑재대가 원형인 경우에는, 원호 형상으로 형성할 수 있다.
In the above embodiment, the present invention is applied to an apparatus for performing plasma etching or plasma ashing. However, the present invention can be applied to other plasma processing apparatuses such as CVD film forming apparatuses. In the above-described embodiment, the rectangular substrate for FPD is used as the substrate. However, the present invention is not limited to the rectangular shape. For example, the rectangular substrate may be a circular substrate such as a semiconductor wafer Lt; / RTI > In the above embodiment, the openings between the adjacent partition members are formed at the four corners of the process chamber. However, the present invention is not limited to this example. For the optimization of the flow of air according to the substrate processing contents, It is applicable even if it is provided. Further, the shape of the partition member is not limited to a rectangular shape. For example, when the substrate is circular and the treatment chamber or the mounting table is circular, it can be formed into an arc shape.

1 : 본체 용기
2 : 유전체벽(유전체 부재)
3 : 안테나실
4 : 처리실
13 : 고주파 안테나
14 : 정합기
15 : 고주파 전원
16 : 급전 부재
19 : 급전선
20 : 처리 가스 공급계
22 : 단자
23 : 탑재대
30 : 배기구
31 : 배기 배관
32 : 자동 압력 제어 밸브(APC)
33 : 진공 펌프
41 : 처리 영역
42 : 배기 영역
50 : 구획 부재
50a, 52a : 접지선
52 : 차폐 부재
60 : 개구
100 : 제어부
101 : 유저 인터페이스
102 : 기억부
G : 기판
1: Body container
2: dielectric wall (dielectric member)
3: Antenna room
4: Treatment room
13: High frequency antenna
14: Matching machine
15: High frequency power source
16:
19: feeder line
20: Process gas supply system
22: terminal
23: Mounting table
30: Exhaust
31: Exhaust piping
32: Automatic pressure control valve (APC)
33: Vacuum pump
41: processing area
42: exhaust area
50: partition member
50a, 52a: ground wire
52: shield member
60: opening
100:
101: User Interface
102:
G: substrate

Claims (16)

기판을 수용하여 플라즈마 처리를 실시하는 처리실과,
상기 처리실내에서 기판이 탑재되는 탑재면을 가지는 탑재대와,
상기 처리실내에 처리 가스를 공급하는 처리 가스 공급계와,
상기 처리실내를 배기하는 배기계와,
상기 탑재대에 탑재된 기판에 대해서 플라즈마 처리를 행하기 위한 플라즈마를 생성하는 플라즈마 생성 기구와,
상기 탑재대에 바이어스용의 고주파 전력을 인가하기 위한 고주파 전원과,
상기 탑재면의 하방 위치에 마련되어, 상기 처리실을, 기판에 대해서 플라즈마 처리를 행하는 처리 영역과 상기 배기계에 연결되는 배기 영역으로 구획하는, 도전성 재료로 이루어지고 개구부를 갖지 않는 복수의 구획 부재를 갖고,
상기 복수의 구획 부재는, 접지 전위에 접속되고, 인접하는 것 끼리가, 그 사이에, 상기 처리 영역에 공급된 처리 가스를 상기 배기 영역에 유도하는 개구가 형성되도록 이간하여 배치되어 있고,
상기 구획 부재와 상이한 높이 위치에, 평면에서 보았을 경우에 상기 개구의 적어도 일부를 차폐하도록 마련되고, 도전성 재료로 이루어짐과 아울러 개구부를 갖지 않고, 또한 접지 전위에 접속된 차폐 부재를 더 가지는
것을 특징으로 하는 플라즈마 처리 장치.
A processing chamber for accommodating the substrate and performing a plasma process;
A mounting table having a mounting surface on which the substrate is mounted in the processing chamber;
A processing gas supply system for supplying a processing gas to the processing chamber;
An exhaust system for exhausting the processing chamber,
A plasma generation mechanism for generating a plasma for performing a plasma treatment on a substrate mounted on the stage,
A high frequency power source for applying a high frequency power for bias to the stage,
And a plurality of partition members which are provided at a lower position of the mounting surface and are partitioned by the processing chamber into a processing region for performing a plasma process with respect to the substrate and an exhaust region connected to the exhaust system,
The plurality of partition members are connected to the ground potential and are disposed so as to be spaced apart from each other so as to form an opening therebetween to guide the process gas supplied to the process region to the exhaust region,
Further comprising a shielding member which is made of a conductive material and has no opening portion and is connected to the ground potential, the shielding member being provided at a height position different from the partitioning member so as to shield at least a part of the opening when viewed from a plane
And the plasma processing apparatus.
삭제delete 제 1 항에 있어서,
상기 차폐 부재는, 상기 구획 부재의 하방 위치에 마련되는 것을 특징으로 하는 플라즈마 처리 장치.
The method according to claim 1,
Wherein the shielding member is provided at a position below the partitioning member.
제 1 항 또는 제 3 항에 있어서,
상기 차폐 부재는, 평면에서 보았을 경우에 상기 개구의 전부를 차폐하도록 마련되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
The method according to claim 1 or 3,
Wherein the shielding member is provided so as to shield the entirety of the opening when viewed in a plan view.
제 1 항 또는 제 3 항에 있어서,
상기 구획 부재는, 상기 처리실의 내벽과 그것에 대향하는 상기 탑재대의 측벽의 사이에 마련되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
The method according to claim 1 or 3,
Wherein the partition member is provided between an inner wall of the treatment chamber and a side wall of the mounting table facing the inner wall of the treatment chamber.
제 5 항에 있어서,
상기 처리실은 평면 형상이 직사각형 형상의 공간을 갖고, 상기 탑재대는 평면 형상이 직사각형 형상을 이루고, 상기 구획 부재는 상기 탑재대의 각 측벽에 대응하여 마련되고, 상기 개구는 상기 직사각형 형상의 공간의 코너부에 형성되는 것을 특징으로 하는 플라즈마 처리 장치.
6. The method of claim 5,
Wherein the processing chamber has a rectangular shape in plan view, the mounting table has a rectangular shape in plan view, the partition member is provided corresponding to each side wall of the mount table, the opening is formed in a corner portion of the rectangular space Is formed in the plasma processing apparatus.
제 1 항 또는 제 3 항에 있어서,
상기 플라즈마 생성 기구는, 상기 처리 영역에 유도 결합 플라즈마를 생성하기 위해 고주파 안테나를 가지는 것을 특징으로 하는 플라즈마 처리 장치.
The method according to claim 1 or 3,
Wherein the plasma generating mechanism has a high frequency antenna for generating inductively coupled plasma in the processing region.
제 7 항에 있어서,
상기 고주파 안테나는, 상기 처리실의 상부에 유전체창을 개재하여 설치되는 것을 특징으로 하는 플라즈마 처리 장치.
8. The method of claim 7,
Wherein the high frequency antenna is provided with a dielectric window on an upper portion of the processing chamber.
제 7 항에 있어서,
상기 고주파 안테나는, 상기 처리실의 상부에 금속창을 개재하여 설치되는 것을 특징으로 하는 플라즈마 처리 장치.
8. The method of claim 7,
Wherein the high frequency antenna is provided on a top of the treatment chamber with a metal window interposed therebetween.
기판을 수용하여 플라즈마 처리를 실시하는 처리실과, 상기 처리실내에서 기판이 탑재되는 탑재면을 가지는 탑재대와, 상기 처리실내에 처리 가스를 공급하는 처리 가스 공급계와, 상기 처리실내를 배기하는 배기계와, 상기 탑재대에 탑재된 기판에 대해서 플라즈마 처리를 행하기 위한 플라즈마를 생성하는 플라즈마 생성 기구와, 상기 탑재대에 바이어스용의 고주파 전력을 인가하기 위한 고주파 전원을 가지는 플라즈마 처리 장치에 있어서, 상기 처리실에 공급된 처리 가스를 상기 배기계에 유도하는 배기 구조로서,
상기 탑재면의 하방 위치에 마련되어, 상기 처리실을, 기판에 대해서 플라즈마 처리를 행하는 처리 영역과 상기 배기계에 연결되는 배기 영역으로 구획하는, 도전성 재료로 이루어지고 개구부를 갖지 않는 복수의 구획 부재를 갖고,
상기 복수의 구획 부재는, 접지 전위에 접속되고, 인접하는 것 끼리가, 그 사이에, 상기 처리 영역에 공급된 처리 가스를 상기 배기 영역에 유도하는 개구가 형성되도록 이간하여 배치되어 있고,
상기 구획 부재와 상이한 높이 위치에, 평면에서 보았을 경우에 상기 개구의 적어도 일부를 차폐하도록 마련되고, 도전성 재료로 이루어짐과 아울러 개구부를 갖지 않고, 또한 접지 전위에 접속된 차폐 부재를 더 가지는
것을 특징으로 하는 배기 구조.
A process gas supply system for supplying a process gas to the process chamber, a process gas supply system for supplying a process gas to the process chamber, And a high frequency power supply for applying a high frequency power for bias to the table, wherein the plasma processing apparatus comprises: a plasma generator for generating plasma for performing a plasma process on a substrate mounted on the mount table; An exhaust structure for leading a process gas supplied to a process chamber to the exhaust system,
And a plurality of partition members which are provided at a lower position of the mounting surface and are partitioned by the processing chamber into a processing region for performing a plasma process with respect to the substrate and an exhaust region connected to the exhaust system,
The plurality of partition members are connected to the ground potential and are disposed so as to be spaced apart from each other so as to form an opening therebetween to guide the process gas supplied to the process region to the exhaust region,
Further comprising a shielding member which is made of a conductive material and has no opening portion and is connected to the ground potential, the shielding member being provided at a height position different from the partitioning member so as to shield at least a part of the opening when viewed from a plane
And an exhaust structure.
삭제delete 제 10 항에 있어서,
상기 차폐 부재는, 상기 구획 부재의 하방 위치에 마련되는 것을 특징으로 하는 배기 구조.
11. The method of claim 10,
Wherein the shielding member is provided at a lower position of the partitioning member.
제 10 항 또는 제 12 항에 있어서,
상기 차폐 부재는, 평면에서 보았을 경우에 상기 개구의 전부를 차폐하도록 마련되어 있는 것을 특징으로 하는 배기 구조.
13. The method according to claim 10 or 12,
Wherein the shielding member is provided so as to shield all of the openings when viewed in a plan view.
제 10 항 또는 제 12 항에 있어서,
상기 구획 부재는, 상기 처리실의 내벽과 그것에 대향하는 상기 탑재대의 측벽의 사이에 마련되어 있는 것을 특징으로 하는 배기 구조.
13. The method according to claim 10 or 12,
Wherein the partition member is provided between an inner wall of the treatment chamber and a side wall of the mounting table opposed thereto.
제 14 항에 있어서,
상기 처리실은 평면 형상이 직사각형 형상의 공간을 갖고, 상기 탑재대는 평면 형상이 직사각형 형상을 이루고, 상기 구획 부재는 상기 탑재대의 각 측벽에 대응하여 마련되고, 상기 개구는 상기 직사각형 형상의 공간의 코너부에 형성되는 것을 특징으로 하는 배기 구조.
15. The method of claim 14,
Wherein the processing chamber has a rectangular shape in plan view, the mounting table has a rectangular shape in plan view, the partition member is provided corresponding to each side wall of the mount table, the opening is formed in a corner portion of the rectangular space Is formed in the exhaust gas passage.
제 10 항 또는 제 12 항에 있어서,
상기 플라즈마 생성 기구는, 상기 처리 영역에 유도 결합 플라즈마를 생성하기 위해 고주파 안테나를 가지는 것을 특징으로 하는 배기 구조.
13. The method according to claim 10 or 12,
Wherein the plasma generating mechanism has a high frequency antenna for generating an inductively coupled plasma in the processing region.
KR1020150060272A 2014-05-12 2015-04-29 Plasma processing apparatus, and exhaust structure to be used in the apparatus KR101858316B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014098808A JP6305825B2 (en) 2014-05-12 2014-05-12 Plasma processing apparatus and exhaust structure used therefor
JPJP-P-2014-098808 2014-05-12

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020170133384A Division KR101891445B1 (en) 2014-05-12 2017-10-13 Plasma processing apparatus, and exhaust structure to be used in the apparatus

Publications (2)

Publication Number Publication Date
KR20150129608A KR20150129608A (en) 2015-11-20
KR101858316B1 true KR101858316B1 (en) 2018-05-15

Family

ID=54577589

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020150060272A KR101858316B1 (en) 2014-05-12 2015-04-29 Plasma processing apparatus, and exhaust structure to be used in the apparatus
KR1020170133384A KR101891445B1 (en) 2014-05-12 2017-10-13 Plasma processing apparatus, and exhaust structure to be used in the apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020170133384A KR101891445B1 (en) 2014-05-12 2017-10-13 Plasma processing apparatus, and exhaust structure to be used in the apparatus

Country Status (4)

Country Link
JP (1) JP6305825B2 (en)
KR (2) KR101858316B1 (en)
CN (2) CN107845558B (en)
TW (1) TWI657476B (en)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017207144A1 (en) * 2016-06-03 2017-12-07 Evatec Ag Plasma etch chamber and method of plasma etching
KR102612248B1 (en) * 2016-09-05 2023-12-12 세메스 주식회사 Apparatus and Method for processing a substrate
JP6969182B2 (en) * 2017-07-06 2021-11-24 東京エレクトロン株式会社 Plasma processing equipment
JP6896565B2 (en) * 2017-08-25 2021-06-30 東京エレクトロン株式会社 Inner wall and substrate processing equipment
JP6967954B2 (en) * 2017-12-05 2021-11-17 東京エレクトロン株式会社 Exhaust device, processing device and exhaust method
CN109037019B (en) * 2018-07-03 2020-04-28 深圳市华星光电半导体显示技术有限公司 Dry etching apparatus
JP7166147B2 (en) * 2018-11-14 2022-11-07 東京エレクトロン株式会社 Plasma processing equipment
JP7224192B2 (en) 2019-01-22 2023-02-17 東京エレクトロン株式会社 Plasma processing equipment
JP7232705B2 (en) * 2019-05-16 2023-03-03 東京エレクトロン株式会社 Plasma processing equipment
JP7308711B2 (en) 2019-09-26 2023-07-14 東京エレクトロン株式会社 Plasma processing equipment
JP7437985B2 (en) * 2020-03-16 2024-02-26 東京エレクトロン株式会社 Substrate processing equipment and substrate processing method
JP7418285B2 (en) * 2020-05-27 2024-01-19 東京エレクトロン株式会社 Substrate processing equipment, its manufacturing method, and exhaust structure
CN113838730A (en) * 2020-06-08 2021-12-24 中微半导体设备(上海)股份有限公司 Gas shield ring, plasma processing apparatus and method for regulating and controlling polymer distribution
CN112233962B (en) * 2020-09-17 2023-08-18 北京北方华创微电子装备有限公司 Collecting assembly sleeved on base and semiconductor chamber
JP7446190B2 (en) * 2020-09-23 2024-03-08 東京エレクトロン株式会社 Plasma processing equipment and plasma generation method
CN112708865B (en) * 2021-03-29 2021-07-09 上海陛通半导体能源科技股份有限公司 Coating equipment for improving film uniformity
JP2023137352A (en) * 2022-03-18 2023-09-29 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008135739A (en) 2006-11-15 2008-06-12 Applied Materials Inc Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radiation distribution

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3150058B2 (en) * 1994-12-05 2001-03-26 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US5891350A (en) * 1994-12-15 1999-04-06 Applied Materials, Inc. Adjusting DC bias voltage in plasma chambers
CN1290156C (en) * 2001-08-20 2006-12-13 东京毅力科创株式会社 Dry developing method
JP4330315B2 (en) * 2002-03-29 2009-09-16 東京エレクトロン株式会社 Plasma processing equipment
JP4255747B2 (en) * 2003-05-13 2009-04-15 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
CN101207001B (en) * 2006-12-22 2010-05-19 北京北方微电子基地设备工艺研究中心有限责任公司 Exhaust device and reaction chamber containing the same
JP5168907B2 (en) * 2007-01-15 2013-03-27 東京エレクトロン株式会社 Plasma processing apparatus, plasma processing method, and storage medium
KR20090024522A (en) * 2007-09-04 2009-03-09 주식회사 유진테크 Substrate processing unit
JP5256866B2 (en) * 2008-02-05 2013-08-07 東京エレクトロン株式会社 Processing equipment
JP5230225B2 (en) * 2008-03-06 2013-07-10 東京エレクトロン株式会社 Lid parts, processing gas diffusion supply device, and substrate processing device
JP5217569B2 (en) * 2008-03-31 2013-06-19 東京エレクトロン株式会社 Plasma processing equipment
JP5350043B2 (en) * 2009-03-31 2013-11-27 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
KR101083448B1 (en) * 2009-10-29 2011-11-14 주식회사 뉴파워 프라즈마 Multi wafer processing chamber
JP2012182349A (en) * 2011-03-02 2012-09-20 Japan Steel Works Ltd:The Plasma processing apparatus, and plasma processing method of subject to be processed
JP2013105664A (en) * 2011-11-15 2013-05-30 Tokyo Electron Ltd High frequency antenna circuit and inductively coupled plasma treatment apparatus
JP5593418B2 (en) * 2013-05-08 2014-09-24 東京エレクトロン株式会社 Processing vessel and plasma processing apparatus

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008135739A (en) 2006-11-15 2008-06-12 Applied Materials Inc Plasma confinement baffle and flow equalizer for enhanced magnetic control of plasma radiation distribution

Also Published As

Publication number Publication date
CN107845558A (en) 2018-03-27
CN105097405B (en) 2018-01-30
KR101891445B1 (en) 2018-08-23
JP2015216260A (en) 2015-12-03
JP6305825B2 (en) 2018-04-04
TWI657476B (en) 2019-04-21
TW201606845A (en) 2016-02-16
KR20150129608A (en) 2015-11-20
CN105097405A (en) 2015-11-25
CN107845558B (en) 2019-10-25
KR20170119319A (en) 2017-10-26

Similar Documents

Publication Publication Date Title
KR101891445B1 (en) Plasma processing apparatus, and exhaust structure to be used in the apparatus
KR102121655B1 (en) Plasma processing apparatus
KR101925972B1 (en) Plasma processing apparatus and exhaust structure thereof
KR101870483B1 (en) Inductively coupled plasma processing apparatus
JP2004055895A (en) Inductively coupled plasma processing apparatus
KR101768761B1 (en) High-frequency plasma processing apparatus and high-frequency plasma processing method
KR102485714B1 (en) Plasma processing apparatus
KR101666933B1 (en) Antenna for inductively coupled plasma processing apparatus
KR101695380B1 (en) Inductively Coupled Plasma Processing Apparatus
KR102214790B1 (en) Plasma processing apparatus
KR102310388B1 (en) Plasma processing apparatus
KR100627785B1 (en) Induction coupling type plasma processing apparatus
JP7437985B2 (en) Substrate processing equipment and substrate processing method
KR102500590B1 (en) Plasma processing apparatus
KR20080058625A (en) Apparatus for treating substrates

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant