TW201517120A - 使用化學機械平坦化形成阻劑陣列之方法及設備 - Google Patents

使用化學機械平坦化形成阻劑陣列之方法及設備 Download PDF

Info

Publication number
TW201517120A
TW201517120A TW103130852A TW103130852A TW201517120A TW 201517120 A TW201517120 A TW 201517120A TW 103130852 A TW103130852 A TW 103130852A TW 103130852 A TW103130852 A TW 103130852A TW 201517120 A TW201517120 A TW 201517120A
Authority
TW
Taiwan
Prior art keywords
resist
array
nodes
activation energy
pattern
Prior art date
Application number
TW103130852A
Other languages
English (en)
Other versions
TWI634588B (zh
Inventor
Christopher Dennis Bencher
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201517120A publication Critical patent/TW201517120A/zh
Application granted granted Critical
Publication of TWI634588B publication Critical patent/TWI634588B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/015Temperature control
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/11Lapping tools
    • B24B37/20Lapping pads for working plane surfaces
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0277Electrolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Geometry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

提供用於使用化學機械平坦化在待圖案化的材料上形成阻劑陣列之方法、設備及系統。阻劑陣列可包括兩種不同材料之排列,該等不同材料經調適以相對於彼此不同地對活化能作出反應以賦能選擇性移除該等材料之一(例如,一材料為反應性的及另一材料為非反應性的;一材料為弱反應性的及另一材料為強反應性的;一材料在一範圍為反應性的及另一材料在相反的範圍為反應性的)。第一材料可能被佈置作為在第二材料之間的孤立節點。節點之子集可能是從在陣列中的節點之中選擇出來的,且所選擇的節點可能被曝露於活化能以活化節點且從阻劑陣列產生光罩。揭示許多附加態樣。

Description

使用化學機械平坦化形成阻劑陣列之方法及設備 【相關申請案之交叉引用】
本申請案主張申請於2013年9月5日,標題為「METHODS AND APPARATUS FOR FORMING A RESIST ARRAY USING CHEMICAL MECHANICAL PLANARIZATION」的同在申請中的美國臨時專利申請案第61/874,349號之優先權,該申請案在此併入本文用於多種目的。
本發明之實施例一般而言係關於電子半導體裝置製造,且更特定言之係針對圖案化方法、系統及設備。
在過去數十年中,微影技術已經成為半導體工業的關鍵賦能元素及驅動元素之一。微影術的改良引起用在積體電路(integrated circuit:IC)技術中每個功能的費用大致一半的改良。半導體技術中的驅動力之根本原因為,在以指數方式減少電晶體大小的同時保持印刷矽晶圓之費用大致恆定的 能力,因此能夠按被稱為摩爾定律(Moore’s law)的速度,顯著提高每一晶片可印刷的電晶體數目。已用光學方法印刷積體電路,其中在透鏡及成像材料技術上有所改良,以及使用波長的減小推動微影技術之穩步改良。然而,多年來,許多人已經預言到了光微影技術之結束。已經提出及研發許多技術以改良光微影術之效能,一些人成功了;但是費用及複雜性也迅速增長。已提出及研發了多種替代技術,但迄今為止,無一成功。之所以如此很大程度上是因為在現存的光學技術中推進遞增改良,相對於轉變至新技術,更為經濟。需要在使製程在生產中經濟、可行的情況下,改良微影術之效能(例如,解析度)的方法及設備。
本發明方法及設備提供在基板上將材料圖案化。在一些實施例中,方法可能包括使用化學機械研磨(chemical-mechanical polishing:CMP)在待圖案化的材料上形成阻劑陣列,阻劑陣列包括第一材料及第二材料之排列,第一材料被佈置作為在第二材料之間的孤立節點,其中第一和第二材料經調適成相對於彼此不同地對活化能作出反應,以賦能選擇性移除第一和第二材料之一;從陣列中的節點中選擇節點之子集,以及將所選擇的節點曝露於活化能以活化節點且從阻劑陣列產生光罩。
在一些實施例中,提供使用阻劑陣列形成的電子裝置。電子裝置可能包括使用阻劑陣列(該阻劑陣列使用CMP形成)在第一材料中圖案化的結構,該阻劑陣列包括第二材 料及第三材料之排列,其中其中第二和第三材料經調適成相對於彼此不同地對活化能作出反應,以賦能選擇性移除第二和第三材料之一,第二材料被佈置為在第三材料之間的孤立節點,從陣列中的節點中選擇節點之子集,且選擇的節點已經曝露於活化能以活化節點且從阻劑陣列產生一光罩。
在一些實施例中,提供平坦化阻劑陣列之系統。系統可以包括:研磨頭組件,該研磨頭組件包括熱控制系統且經調適以固持基板;以及研磨墊,該研磨墊佈置在平臺上且經調適以在研磨墊及研磨頭組件相對彼此旋轉的同時被固持與基板相抵,其中操作熱控制系統以控制基板上的阻劑之溫度。
提供許多其他態樣。根據下列詳細描述、附加專利申請範圍及附圖,本發明之其他的特徵、實施例及態樣將變得更完全顯而易見。
100‧‧‧基板
102‧‧‧硬光罩材料
104‧‧‧阻劑
106‧‧‧阻劑節點
108‧‧‧活化能
112‧‧‧活化能源
114‧‧‧活化能源
116‧‧‧選擇的節點
118‧‧‧曝露區域
120‧‧‧節點
1000‧‧‧實例方法
1002‧‧‧步驟
1004‧‧‧步驟
1006‧‧‧步驟
1100‧‧‧系統
1102‧‧‧研磨頭組件
1104‧‧‧研磨頭臂
1106‧‧‧研磨墊
1108‧‧‧平臺
1110‧‧‧馬達
1112‧‧‧熱控制系統
1114‧‧‧可密封腔室
1116‧‧‧減量系統
1118‧‧‧控制器
第1A圖及第1B圖為描述根據本發明之實施例的基板(該基板包括在硬光罩中的溝槽圖案)之放大的頂部平面示意圖及側面截面示意圖。
第2A圖及第2B圖為描述根據本發明之實施例的基板(該基板包括在塗有阻劑的硬光罩中的溝槽圖案)之放大的頂部平面示意圖及側面截面示意圖。
第3A圖及第3B圖為描述根據本發明實施例的基板(該基板包括在硬光罩中的溝槽圖案,其中用阻劑填充溝槽)之放大的頂部平面示意圖及側面截面示意圖。
第4A圖及第4B圖為描述根據本發明之實施例的經由對阻劑接線之挑選的部分施加活化能的接線切割之放大頂部平面示意圖及側面截面示意圖。
第5圖為描述根據本發明之實施例的接線切割之非對稱照明的放大的頂部平面示意圖。
第6A圖及第6B圖為描述根據本發明之實施例的基板(該基板包括在硬光罩中的柵格陣列圖案)之放大的頂部平面示意圖及側面截面示意圖。
第7A圖及第7B圖為描述根據本發明之實施例的基板(該基板包括在塗有阻劑的硬光罩中的柵格陣列圖案)之放大的頂部平面示意圖及側面截面示意圖。
第8A圖及第8B圖為描述根據本發明實施例的基板(該基板包括在硬光罩中之柵格陣列圖案,其中用阻劑填充陣列節點)之放大的頂部平面示意圖及側面截面示意圖。
第9A圖及第9B圖為描述根據本發明之實施例,在阻劑陣列上之通孔切割之放大的頂部平面圖示意圖及側面截面示意圖,其中經由曝露於電子(例如,電子束直寫(Electron Beam Direct Write:EbDW)掃描)挑選節點。
第9C圖及第9D圖為描述根據本發明之實施例,在阻劑陣列上之通孔切割之放大的頂部平面圖示意圖及側面截面示意圖,其中經由曝露於光子(例如,極紫外線(Extreme Ultraviolet:EUV)曝露)挑選的節點。
第10圖為描述根據本發明的方法之實例實施例的流程圖。
第11圖為描述根據本發明之實施例,對形成阻劑圖案及/或結構有用的系統之實例的示意圖。
本發明之實施例提供在不減少產量至不實用的程度的情況下,使用阻劑陣列以改良微影術之解析度效能的用於微影術之方法及設備。前邊緣生產微影術使用在習用光繞射極限下操作之光投影印刷。主圖案或光罩之影像(通常減小四或五倍)在已經塗有一層光敏感性的材料(例如,阻劑)的基板上投影。藉由曝露於光能或其他能量改變阻劑之溶解度或選擇性,使得在顯影(例如,類似照片)中浮現圖案。然後剩餘的阻劑圖案被用於隨後的製程步驟,諸如蝕刻或植入摻雜。
習知使用微影術涉及在阻劑毯覆層(例如,覆蓋整體基板之阻劑薄層)上寫圖案。當前光微影術提供支援38奈米技術之解析度。然而在深紫外線(deep ultraviolet:DUV)微影術到達其解析度極限之點,似乎沒有清晰的下一代圖案化微影技術能同時具有更好解析度與可實行的產出率優勢。大部分替代技術(例如,極紫外線(extreme ultraviolet:EUV)、直寫、奈米刻印等等)不夠成熟,且用於生產可能也太昂貴。例如,對DUV微影術、EUV及電子束直寫(E-beam Direct Write:EbDW)的替代技術尤其可能受產量問題的困擾。從技術觀點來看,有可能用先進研究技術將特徵圖案化至原子尺寸。然而,該等奈米微影技術方法會很緩慢,很昂貴,且用於生產是不可實行的。緩慢的產量的一原因為對光子或電子之大的 曝露劑量的要求;低劑量引起散粒雜訊(shot noise)或不良統計抽樣,這導致接線粗糙或者孔大小之均勻性不良。為減少劑量要求,有可能使用光阻劑之化學放大,但此舉依酸擴散而定以產生導致溶解度分佈模糊的級聯化學反應。
然而,藉由使用其他非光學方法(諸如雙重圖案化及經由自對準的雙重圖案化(self-aligned double patterning:SADP)方法的間距劃分)已經達成持續的設計縮小。該等方法可用於在硬光罩材料中形成溝槽及柵格陣列圖案,然後根據本發明之實施例,該等材料可用於產生用於接線切割及通孔切割的光罩。至於切割接線,本發明之實施例在一方向放鬆解析度及疊層要求,且在另一(關鍵)方向允許照明之特殊最佳化及疊層監控。根據本發明之實施例,塗覆在硬光罩中所形成的溝槽圖案上的阻劑被限制在該硬光罩的溝槽壁之間,且使用化學機械研磨(chemical-mechanical polishing:CMP)將頂表面平坦化與硬光罩齊平。產生的空中影像強度斜度(即,對比度,例如稱為正規化影像對數斜度(normalized image log-slope:NILS))因此在受限制的方向被放鬆。在通孔切割情況下,再一次使用CMP,塗覆至在硬光罩中形成的柵格陣列圖案的阻劑被平坦化與該硬光罩之頂表面齊平,且在硬光罩孔的內部,該阻劑在各個方向被限制。產生的空中影像強度斜度、劑量及疊層被在各個方向被放鬆。另外,因為藉由硬光罩阻劑實體上被包裝在側面上,故從化學放大光阻劑(chemically amplified photo-resists:CAR)不會發生酸擴散誘發之模糊。此情況使吾人能抑或使用高度放大阻劑抑或使 用長曝光後烘烤(放大反應時間)而不用擔心模糊。該等高度放大製程然後能夠使電子束直寫或EUV暴露劑量很小,使得其產量在商業上可行。
所使用的阻劑可以為光阻劑,諸如JSR AIM5484(若使用193nm曝光燈)、JSR NXE12-174(若使用13nm曝光燈)、或ZEP520或化學放大PMMA(若使用電子束曝露工具)。可以經由旋塗製程塗覆阻劑至硬光罩及基板。硬光罩可以為任何數目之習用半導體材料,諸如SiO2或Si3N4或無定形碳。
本發明之實施例使用為光反應、電子束反應、X射線反應、EUV反應、離子植入反應、或者其他定向能量源反應性的阻劑。在阻劑限制在硬光罩溝槽或孔中之後,進行曝露製程以在阻劑陣列之內「選擇」一部分阻劑接線及/或阻劑節點子集用於圖案轉印。
因此,本發明之實施例基於使用CMP製程產生小間距光阻圖案之概念。此舉允許產生觸點或接線的週期陣列,該等觸點或接線的週期陣列此處可被稱為陣列。本發明之實施例之一些優勢包括提供好的解決方案減少接線及觸點之間距的方法,同時具有良好的疊層、解析度、接線邊緣粗糙度(line edge roughness:LER)、線寬粗糙度(line width roughness:LWR)、臨界尺寸均勻性(critical dimension uniformity:CDU)、暴露劑量之減少及更多優勢。實施例亦提供補充方法以在「隨機存取位置」「切割」或「修剪」長接線(或稠密觸點)之週期圖案以便允許任意長度之小圖案(或觸點之稀 疏陣列)。修剪製程可能伴隨有光微影術,且該製程受益於修剪採用比修剪過的陣列低的解析度之事實。
本發明之實施例將使用CMP產生限制在硬光罩之內的阻劑的有序陣列與隨機存取定位之益處組合,以致可減少寫劑量及準確度,進而提供高產量及高圖案品質。本發明之實施例使用阻劑節點之預形成陣列用於產生所需要的特徵,而不是在習用微影術中使用的阻劑毯覆薄片。
在一些實施例中,結合CMP之諸如多個圖案化、奈米刻印範本、等等之方法可用於形成阻劑節點之陣列(亦即,阻劑陣列)。阻劑陣列包括(觸點、接線或其他形狀之)雙材料陣列,使得一材料對寫入器(例如,活性材料)起反應,而另一材料不起反應(例如,非活性材料,例如,硬光罩)。
在一些實施例中,相對強的化學放大阻劑(chemically amplified resist:CAR)可以被用作反應性或活性材料,使得僅使用相對小劑量的能量來活化大面積上的阻劑。類似地,藉由CAR之擴散效應,用於活化劑量放置的疊層容許度被大大放鬆,將效應擴散至阻劑節點之邊界。因此,在陣列中每一離散的阻劑節點實質上具有藉由僅用小劑量寫(即使施加不精確)就能被切換的雙阻光狀態。以很低劑量使用EUV掃描器,所需要的圖案可能被寫入阻劑節點之陣列中。此舉使得能用EUV掃描器獲得高產量。同樣地,作為一替代實施例,在相對的低的劑量、以相對低的劑量準確度,及在相對低的位置精確度使用EbDW掃描器,使得能夠用這樣一EbDW掃描器使阻劑陣列之圖案化獲得高產量。
本發明之實施例提供許多的優勢。使用硬光罩以產生阻劑節點陣列提供精確的對準,控制CD、LFR及LWR且提供改良的解析度。此舉賦能打斷阻劑解析度、LER及阻劑靈敏度之間的結合關係。這為提高活性阻劑材料之化學放大鋪平道路以允許使用減少的寫劑量且允許生產量增加。本發明之實施例繞過限制微影術解析度之阻劑點散佈函數(point-spread function:PSF)的問題。對用光子曝露(如在EUV中),及藉由電子曝露(如在EbDW中),使用較低劑量之活化能均為可實行的。在兩種情況中,可具有獲得較高產量及/或使用較低能量的益處。另外,本發明之實施例允許以低束流使用EbDW,因此允許更快束掃描及更低束電壓。本發明之實施例亦允許在印刷間距尺寸之預先界定柵格上使用EbDW。此舉允許使用顯著減少數量之平行束以用EbDW實施合理的產出率。
或者,避免了對多遍掃描圖案的需要,且因此,產量顯著提高,同時顯著減少待轉印到寫入束的資訊量。事實上,在一些實施例中,每阻劑節點僅一位元(或更少)可用來描述待寫入阻劑節點之陣列的圖案。
現在轉到附圖,在第1A圖到第5圖描述經受本發明之實例方法實施例之製程步驟的基板。在第1A圖及第1B圖中,分別以頂部鳥瞰圖及放大的部分側面剖視圖圖示基板100,其中硬光罩材料102之預形成溝槽圖案層疊於基板100頂部上。使用範本刻印方法、經由自對準雙圖案化(double patterning:SADP)方法及其他方法(諸如干涉微影術、雙曝露 或直接自裝配)可以形成硬光罩材料102之溝槽圖案。
在第2A圖及第2B圖中,帶有圖案化的硬光罩材料102之基板100再一次分別以頂部鳥瞰圖及放大部分側面剖視圖圖示,但現在是阻劑層104塗覆到基板100及圖案化的硬光罩材料102。阻劑104填充溝槽100'且塗佈圖案化的硬光罩材料102之頂表面。
在第3A圖及第3B圖中,使用CMP,阻劑104之頂表面已經被平坦化與圖案化的硬光罩材料102之頂表面齊平。在一些實施例中,如以下將要更詳細地描述,可以改變阻劑104以增強或改良CMP製程。例如,可以冷卻阻劑104以使阻劑剛性更大,且防止在CMP製程中使用的研磨墊積滿被移除的材料。可使用改變阻劑104或CMP製程本身以提高效能的其他方法。
注意阻劑104可以為對相對低的活化能劑量可反應的化學放大阻劑。在一些實施例中,選擇硬光罩材料102以使得硬光罩材料102為惰性的且不對活化能作出反應,因此選擇性地在阻劑接線104與圍繞的硬光罩材料102之間產生蝕刻。換言之,阻劑陣列可能包括兩種不同材料102、104之排列,不同材料102、104經調適以相對於彼此不同地對活化能作出反應以賦能僅選擇性移除該等材料之一(例如,一材料為反應性的及另一材料為非反應性的;一材料為弱反應性的及另一材料為強反應性的;一材料在一範圍或方向為反應性的及另一材料在相反的範圍或方向為反應性的;等等)。
可以使用硬光罩及阻劑102、104之其他圖案。例 如,可使用阻劑104之六角形的、五角形的、八角形的、圓形的、菱形的、緊湊的、拉長的或任何其他形狀的節點。而且,柵格可能為直角柵格、三角柵格、六角形柵格、五角形柵格、八角形柵格或任何其他形狀/類型之節點排列,此取決於基板100所需要的圖案之設計。
在一些實施例中,阻劑104可為許多化學放大阻劑(諸如N-第三丁氧基羰基(tert-butoxycarbonyl:t-BOC)保護的PMMA阻劑(該阻劑包含與包括聚苯乙烯的硬光罩材料102的嵌段共聚物中形成的光酸產生劑))中的任一者。在該實例中,阻劑104在能量反應的範圍且硬光罩材料102在非反應的範圍。
在其他實施例中,阻劑104可為許多化學放大阻劑(諸如在與聚苯乙烯嵌段共聚物中形成的t-BOC保護的PMMA阻劑)中的任一者。光酸產生劑可能被塗覆至整個阻劑陣列表面且在能量曝露期間,僅t-BOC保護的PMMA範圍「反應的」及可顯影(例如,可溶解)以進行圖案轉印。
現在轉至第4A圖及第4B圖,顯示活化能108被施加至阻劑104被選擇的部分以活化所選擇的部分產生曝露的(例如,活化的)阻劑108接線切割。注意如第5圖中更詳細所示,X軸方向的對比度(例如,NILS)容許度要求被放鬆且相對高的對比度(例如,強NILS)僅在Y軸方向使用。因此,活化能源112、114用最佳化的NILS僅在Y軸方向(有利於在切割形狀之頂部及底部上的NILS)在接線切割處(活化能108)提供不對稱的、各向異性的照明。藉由硬光罩材料 102及CMP製程,側面在X軸方向自對準。在下一步中(未顯示),阻劑之活化的部分(或在替代實施例中,阻劑之未活化的部分)被移除以形成最終的所要圖案,該圖案然後可以被使用(例如)作為蝕刻或其他製程的光罩。
現轉至第6A圖到第9D圖,描述經受本發明之第二實例方法實施例之製程步驟的基板。在第6A圖及第6B圖中,分別以頂部鳥瞰圖及放大的部分側面剖視圖圖示基板100,其中硬光罩材料102之預形成的柵格陣列圖案層疊於基板100頂部上。使用範本刻印方法、經由自對準雙圖案化(double patterning:SADP)之間距劃分方法及其他方法(諸如干涉微影術、雙曝露或直接自裝配)可以形成硬光罩材料102之溝槽圖案。
在第7A圖及第7B圖中,帶有圖案化的硬光罩材料102之基板100再一次分別以頂部鳥瞰圖及放大的部分側面剖視圖圖示,但現在是阻劑層104塗覆到基板100及圖案化的硬光罩材料102。阻劑104填充孔100"且塗佈圖案化的硬光罩材料102之頂表面。
在第8A圖及第8B圖中,使用CMP,阻劑104之頂表面已經被平坦化與圖案化的硬光罩材料102之頂表面齊平。在一些實施例中,如以下將要更詳細地描述,可以改變阻劑104以增強或改良CMP製程。例如,可以冷卻阻劑104以使阻劑剛性更大,且防止在CMP製程中使用的研磨墊積滿被移除的材料。亦可使用改變阻劑104或CMP製程本身以改良效能的其他方法。
注意阻劑104可以為對相對低的活化能劑量可反應的化學放大阻劑。在一些實施例中,選擇硬光罩材料102以使得硬光罩材料102不對活化能作出反應,因此在阻劑104節點與圍繞的硬光罩材料102之間選擇性地產生蝕刻。換言之,阻劑陣列可包括兩種不同材料102、104之排列,不同材料102、104經調適以相對於彼此不同地對活化能作出反應以能夠僅選擇性移除該等材料之一(例如,一材料為反應性的及另一材料為非反應性的;一材料為弱反應性的及另一材料為強反應性的;一材料在一範圍或方向為反應性的及另一材料在相反的範圍或方向為反應性的;等等)。
可使用硬光罩及阻劑102、104之其他圖案。例如,可使用阻劑104之正方形的、六角形的、五角形的、八角形的、圓形的、卵形的、菱形的、緊湊的、拉長的或任何其他形狀的節點。此外,柵格可能為直角柵格、三角柵格、六角形柵格、五角形柵格、八角形柵格或任何其他形狀/類型之節點排列,此取決於基板100所要的圖案之設計。
在一些實施例中,阻劑104可能為許多化學放大阻劑(諸如N-第三丁氧基羰基(tert-butoxycarbonyl:t-BOC)保護的PMMA阻劑(該阻劑包含在與包括聚苯乙烯的硬光罩材料102之嵌段共聚物中形成的光酸產生劑))中的任一者。在該實例中,阻劑104在能量反應的範圍中且硬光罩材料102在不反應的範圍中。
在其他實施例中,阻劑104可為許多化學放大阻劑(諸如在與聚苯乙烯之嵌段共聚物形成的t-BOC保護的 PMMA阻劑)中的任一者。光酸產生劑可能被塗覆至整體阻劑陣列表面且在能量曝露期間,僅t-BOC保護的PMMA範圍「反應」及可顯影(例如,可溶解)以進行圖案轉印。
現在轉至第9A圖及第9B圖,圖示活化能108被施加至所選擇的阻劑104節點以活化該等選擇的節點以經由切割產生曝露的(例如,活化的)阻劑108。在下一步驟(未圖示)中,阻劑之活化的部分(或在替代實施例中,阻劑之未活化的部分)被移除以形成最終的所需要的圖案,該圖案然後可以被使用(例如)作為蝕刻或其他製程之光罩。
如第9A圖中可見,獨立選擇的節點116經辨識在電子束掃描阻劑104之阻劑節點的每一行時用EbDW活化。對於使用EbDW掃描以活化阻劑104之實施例,電子束能量之劑量可能在(例如)每節點大約10至大約108電子範圍之內。可使用其他劑量。例如,對於高解析度,習知可能需要在30uC/cm2至100uC/cm2範圍內的劑量以獲得好的統計結果且避免散粒雜訊。然而,根據本發明之實施例,吾人可以使用僅大約0.5uC/cm2到大約5uC/cm2的劑量及依靠極大放大的阻劑或長的放大烘烤以在限制的孔內完成阻劑之化學溶解度切換。
如待活化的每個所選擇的節點116上的實心圈所示,當電子束快速地越過所選擇的節點116時,電子束(電子束點藉由僅覆蓋每一節點一部分的該等實心圈表示)接通,及當電子束越過未選擇的節點時,電子束斷開。因此,活化製程類似於二元製程,其中阻劑之圖案(帶有所需要的 解析度、LFR、WFR及CDU)僅從阻劑節點之陣列中被選擇出來,而不是完全藉由活化能界定。
或者,如第9C圖中所見,阻劑104之所選擇節點的圖案經辨識以曝露至低劑量DUV/EUV能量而被活化。注意藉由大體環繞所選擇的節點之虛線交叉影線指示的曝露區域118不必需包括所選擇的節點之全部區域。換言之,根據本發明之實施例,所選擇的節點中僅一部分需要曝露以便活化整個節點。注意,例如,標有元件符號120之節點僅由帶有活化能的曝露區域118部分覆蓋。對於使用EUV曝露以活化阻劑材料之實施例,EUV之劑量可能在(例如)大約0.011000mJ/cm2至大約1000mJ/cm2範圍之內。可使用其他劑量。例如,對於高解析度,習知可能需要在20mJ/cm2至100mJ/cm2範圍內的劑量以獲得好的統計結果且避免散粒雜訊。然而,根據本發明之實施例,吾人可以使用僅大約0.5mJ/cm2到大約5mJ/cm2的劑量及依靠極大放大的阻劑或長的放大烘烤以在限制的孔內完成阻劑之化學溶解度切換。
在第9D圖中,藉由帶有交叉對角線圖案的陣列節點指示曝露的(例如,活化的)阻劑節點106且藉由帶有棋盤形圖案的陣列節點指示阻劑材料104之未曝露的阻劑節點。使用適當的顯影劑溶液可以顯影阻劑節點(例如,移除曝露的阻劑節點106材料)。然後可以蝕刻基板100且第9D圖中曝露的/顯影的阻劑節點106之區域變成蝕刻圖案。例如,經由由活化/移除所選擇的節點106形成的開口可蝕刻基板100之至少一材料層。
對於任一上述實施例,不同類型之材料可用於阻劑。所選擇的材料可致使阻劑為正阻劑或負阻劑。換言之,在當活化時所選擇的/曝露的節點變得可溶解的情況下,可使用正阻劑,且一旦顯影,則隨後蝕刻圖案;或在所選擇的/曝露的節點變得穩定(且在顯影期間其餘節點隨後被洗淨)的情況下,可使用負阻劑,且在蝕刻步驟之後,僅節點下的材料餘留。
轉至第10圖,描述流程圖,該流程圖描述根據本發明之實施例的實例方法1000。在基板上待蝕刻的一或多種材料的一或多個層上產生阻劑陣列(1002)。阻劑陣列下的層可包括金屬層、矽、硬光罩層、半導體層、介電質層、有機層或聚合物及/或類似層中的一或更多者。
阻劑陣列包括對相對低劑量的活化能反應的均勻間隔的化學放大阻劑節點之圖案及不對活化能作出反應的非活性的材料的交叉且均勻間隔的柵格接線圖案。節點填充柵格接線之間的間隔。因此,藉由柵格接線,節點與彼此隔離。柵格及節點圖案可使用例如SADP及CMP方法形成。
換言之,可能使用SADP製程以在第一硬光罩中產生稠密的孔陣列,且可塗覆阻劑毯覆以填充所有孔。(若使用正阻劑,僅需要保持敞開的孔將被曝露至活化能,且若使用負阻劑,僅需要保持關閉的孔將被曝露至活化能)。CMP可用於使得阻劑之頂表面與硬光罩之頂表面齊平。
在一些實施例中,在CMP製程期間可以冷卻阻劑,例如使用冷卻的卡盤以冷卻阻劑至低於玻璃轉移溫度以允許 阻劑更容易被平坦化,且預防CMP研磨墊之積料。大部分習用的光阻劑具有50攝氏度至100攝氏度的玻璃轉移溫度,但是為了充分地進一步硬化聚合物,使狀態更具玻璃狀,且抵消CMP製程之摩擦熱,將阻劑冷卻至大約20攝氏度到大約-50攝氏度以使該阻劑剛性更大及更適合於CMP製程是有利的。
在替代實施例中,弱溶劑(諸如具有1重量%濃度之丙二醇甲基醚乙酸酯(propylene glycol methyl ether acetate:PGMEA))可用於十分微弱地溶解聚合物阻劑(此舉作為移除及平坦化製程之一部分),以及防止研磨墊積滿聚合物。在一些實施例中,尤其在使用聚合物溶劑化學物質的實施例中,可以加熱阻劑而不是冷卻阻劑以幫助增強阻劑的受控移除。在CMP之後,激發/活化所選擇的節點且一旦顯影所需要的圖案,可以蝕刻基板以在陣列之下圖案化第二硬光罩。
將低劑量活化能施加至獨立選擇的阻劑節點以曝露該等節點(1004)。例如,可使用EUV曝露。或者,可使用EbDW能量。在一些實施例中,可使用其他能量及/或阻劑材料。所選擇的阻劑節點共同形成表示待在層中陣列之下形成的所要結構之形狀的圖案。任一數目之形狀可從包括觸點/通孔墊或孔、導線、切割接線、裝置形狀等等的節點產生。
繼活化的節點在適當的顯影劑中顯影之後,蝕刻基板,且阻劑節點圖案被轉印至底層材料(1006)。在一些替代實施例中,多個陣列層可能以等於柵格接線寬度的已知的偏移來堆疊,以允許更複雜或連續的圖案被轉印至底層材料。
現轉至第11圖,提供描述根據本發明之實施例的實 例系統1100之側視圖的示意圖。系統1100包括由研磨頭臂1104支撐的研磨頭組件1102,操作研磨頭臂1104以定位研磨頭組件1102於研磨墊1106上,研磨墊1106藉由平臺1108支撐且在平臺1108上旋轉。平臺1108由馬達1110驅動旋轉。在操作中,操作研磨頭組件1102以牢固地固持基板100、旋轉基板100、且在CMP處理期間將基板100壓在旋轉的研磨墊1106上。換言之,當研磨墊1106在平臺1108上旋轉時,頭1102旋轉且將基板100下推與研磨墊106相抵。
在一些實施例中,研磨頭組件1102可包括熱控制系統1112,操作熱控制系統1112以(例如)降低基板100及基板100上的材料之溫度。在替代實施例中,可加熱阻劑(而不是冷卻阻劑)以接近阻劑的玻璃轉移溫度(例如,50攝氏度至120攝氏度)以使弱溶劑製程移除容易。如此,可以操作熱控制系統以提高基板100及在基板100上的材料之溫度。在從基板100移除(例如,藉由冷卻阻劑低於玻璃轉移溫度以保持阻劑為玻璃或者藉由將溶劑併入製程中來防止研磨墊1106之積料(即,積滿聚合物))阻劑材料以研磨阻劑與硬光罩之頂表面齊平中,熱控制系統1112可用於改良CMP效能。
在一些實施例中,諸如,例如,在研磨漿中使用化學溶劑以幫助移除阻劑的實施例中,系統1100可以包括可密封腔室1114以包含CMP製程之煙霧及其他副產物。此類化學製品可以發出存在安全危害的煙霧或其他副產物。在該等實施例中,可以將可密封腔室1114排空至減量系統1116以 處理任何危險材料。
每一以上元件都可耦接至控制器1118且由控制器1118操作,操作控制器1118(例如,處理器、可編程式邏輯陣列、嵌入式控制器、電腦等等)以執行指令(例如,軟體、程式、命令、信號等等)來執行本發明之方法實施例,及尤其如上相對於第10圖中的流程圖所描述的方法。
因此,雖然已結合本發明實例實施例揭示本發明,但應瞭解其他實施例可在隨後之專利申請範圍所界定的本發明之精神及範圍內。
102‧‧‧硬光罩材料/材料/硬光罩
104‧‧‧阻劑/阻劑層/阻劑接線
108‧‧‧活化能
112‧‧‧活化能源
114‧‧‧活化能源

Claims (20)

  1. 一種用於圖案化在一基板上的材料之方法,該方法包含以下步驟:使用化學機械研磨(chemical-mechanical polishing:CMP)在待圖案化的該材料上形成一阻劑陣列,該阻劑陣列包括一第一材料及一第二材料之一排列,該第一材料經佈置作為在該第二材料之間的孤立節點,其中該第一和該第二材料經調適以相對於彼此不同地對活化能做出反應以能夠選擇性移除該第一材料和該第二材料之一者;從該陣列中的該等節點中選擇一節點子集;將該等所選擇的節點曝露至活化能以活化該等節點且從該阻劑陣列產生一光罩;以及使用從該阻劑陣列產生的該光罩蝕刻待圖案化的該材料。
  2. 如請求項1所述之方法,其中該第一材料和該第二材料之一者為一化學放大阻劑,其中該第一材料為一光敏感性的或電子束敏感性的化學放大阻劑及該第二材料為一主要為惰性的硬光罩。
  3. 如請求項1所述之方法,其中該第一材料和該第二材料之一者為一非化學放大阻劑,其中該第一材料為一光敏感性的或電子束敏感性的化學放大阻劑及該第二材料為一主要為惰性的硬光罩。
  4. 如請求項3所述之方法,其中,繼該非化學放大阻劑之該CMP平坦化之後,藉由旋轉澆鑄添加一化學反應增大成份以在該CMP平坦化之後轉換該非化學放大阻劑為一化學放大阻劑。
  5. 如請求項1所述之方法,其中該選擇的節點子集形成待轉印至待圖案化的該材料中的一圖案。
  6. 如請求項1所述之方法,其中該活化能為極紫外線(extreme ultra-violet:EUV)光的形式,且使用一EUV曝露製程被施加,或其中該活化能為一電子束的形式,且使用一電子束直寫(e-beam direct write:EbDW)製程被施加。
  7. 如請求項1所述之方法,其中該第一材料為一高度化學放大阻劑,且其中該活化能為極紫外線(extreme ultra-violet:EUV)光形式並使用一低劑量EUV曝露製程以高達Eo<5mJ/cm2的一劑量被施加,或其中該活化能為一電子束形式且使用一低劑量EbDW製程以高達Eo<5uC/cm2的一劑量被施加。
  8. 如請求項1所述之方法,其中該選擇的節點子集形成待轉印至待圖案化的該材料中的一圖案,該圖案呈觸點佈局、接線切割、及導線中之至少一者之一排列形式。
  9. 如請求項1所述之方法,其中該阻劑陣列形成為一直角柵格、一矩形柵格、一三角柵格、一六角形柵格、及一八角形柵格中的至少一者。
  10. 一種使用一阻劑陣列形成的電子裝置,該電子裝置包含:使用以CMP形成的一阻劑陣列的一第一材料中圖案化的一結構,該阻劑陣列包括一第二材料及一第三材料之一排列,其中該第二及第三材料經調適成對相對於彼此不同地對活化能做出反應,以能夠選擇性移除該第二材料和該第三材料之一者,該第二材料被佈置為在該第三材料之間的孤立節點,從該陣列中的該等節點中選擇節點之一子集,且該等選擇的節點已經曝露於活化能以活化該等節點並從該阻劑陣列產生一光罩,其中使用從該阻劑陣列形成的該光罩藉由蝕刻形成該結構,其中該第二材料為一化學放大阻劑,以及其中該選擇的節點子集形成待轉印至待圖案化的該第一材料的一圖案。
  11. 如請求項10所述之電子裝置,其中該活化能為極紫外線(extreme ultra-violet:EUV)光及一電子束中之至少一者的形式, 其中使用一EUV曝露製程及一電子束直寫(e-beam direct write:EbDW)製程中之至少一者施加該活化能,以及其中該第一材料為一光敏感性的或電子束敏感性的化學放大阻劑且該第二材料為一主要為惰性的硬光罩。
  12. 如請求項10所述之電子裝置,其中該第二材料為一化學放大阻劑,其中該活化能為EUV光的形式,且使用一低劑量EUV曝露製程施加該活化能。
  13. 如請求項10所述之電子裝置,其中該第二材料為一化學放大阻劑,其中該活化能為一電子束的形式,且使用一低劑量EbDW製程施加該活化能。
  14. 如請求項10所述之電子裝置,其中該選擇的節點子集形成待轉印至待圖案化的該材料的一圖案,該圖案呈觸點佈局之一排列的形式。
  15. 如請求項10所述之電子裝置,其中該選擇的節點子集形成待轉印至待圖案化的該材料的一圖案,該圖案呈切割接線之一排列形式。
  16. 如請求項10所述之電子裝置,其中該選擇的節點子集形成待轉印至待圖案化的該材料的一圖案,該圖案呈導線之一排列形式。
  17. 一種用於平坦化一阻劑陣列的系統,該系統包含:一研磨頭組件,該研磨頭組件包括一熱控制系統且經調適以固持一基板;以及一研磨墊,佈置在一平臺上,且當該研磨墊及該研磨頭組件相對於彼此旋轉時該研磨墊經調適以與該基板相抵而被固持,其中操作該熱控制系統以控制在該基板上的該阻劑之溫度。
  18. 如請求項17所述之系統,其中操作該熱控制系統以冷卻在該基板上的該阻劑。
  19. 如請求項17所述之系統,其中該研磨漿包括用於溶解該阻劑之一溶劑作為該聚合物移除製程的一部分,且該系統包括一溶劑蒸氣減量控制裝置。
  20. 如請求項17所述之系統,其中操作該熱控制系統以在該平坦化製程期間加熱及軟化該阻劑以用於移除。
TW103130852A 2013-09-05 2014-09-05 使用化學機械平坦化形成阻劑陣列之方法及設備 TWI634588B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361874349P 2013-09-05 2013-09-05
US61/874,349 2013-09-05

Publications (2)

Publication Number Publication Date
TW201517120A true TW201517120A (zh) 2015-05-01
TWI634588B TWI634588B (zh) 2018-09-01

Family

ID=52628935

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103130852A TWI634588B (zh) 2013-09-05 2014-09-05 使用化學機械平坦化形成阻劑陣列之方法及設備

Country Status (3)

Country Link
US (1) US10014184B2 (zh)
TW (1) TWI634588B (zh)
WO (1) WO2015035088A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108292593A (zh) * 2015-09-30 2018-07-17 东京毅力科创株式会社 使用极紫外光刻对衬底进行图案化的方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102374206B1 (ko) 2017-12-05 2022-03-14 삼성전자주식회사 반도체 장치 제조 방법

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7189435B2 (en) 2001-03-14 2007-03-13 University Of Massachusetts Nanofabrication
US7625694B2 (en) 2004-05-06 2009-12-01 Micron Technology, Inc. Selective provision of a diblock copolymer material
US20050250052A1 (en) 2004-05-10 2005-11-10 Nguyen Khe C Maskless lithography using UV absorbing nano particle
JP4732038B2 (ja) 2005-07-05 2011-07-27 東京応化工業株式会社 化合物、ポジ型レジスト組成物およびレジストパターン形成方法
KR100731144B1 (ko) * 2005-12-30 2007-06-22 동부일렉트로닉스 주식회사 더미 산화막 형성 방법
CN100499069C (zh) * 2006-01-13 2009-06-10 中芯国际集成电路制造(上海)有限公司 使用所选掩模的双大马士革铜工艺
US7452816B2 (en) 2006-07-26 2008-11-18 Micron Technology, Inc. Semiconductor processing method and chemical mechanical polishing methods
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8907456B2 (en) * 2007-03-21 2014-12-09 Olambda, Inc. Multi-material hard mask or prepatterned layer for use with multi-patterning photolithography
US8293460B2 (en) * 2008-06-16 2012-10-23 Applied Materials, Inc. Double exposure patterning with carbonaceous hardmask
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP4826841B2 (ja) 2009-01-15 2011-11-30 信越化学工業株式会社 パターン形成方法
US20100279435A1 (en) 2009-04-30 2010-11-04 Applied Materials, Inc. Temperature control of chemical mechanical polishing
US8398868B2 (en) 2009-05-19 2013-03-19 International Business Machines Corporation Directed self-assembly of block copolymers using segmented prepatterns
US8758987B2 (en) 2009-09-02 2014-06-24 Micron Technology, Inc. Methods of forming a reversed pattern in a substrate
US8802347B2 (en) 2009-11-06 2014-08-12 International Business Machines Corporation Silicon containing coating compositions and methods of use
EP2783389B1 (en) * 2011-11-21 2021-03-10 Brewer Science, Inc. Structure comprising assist layers for euv lithography and method for forming it
US20130200498A1 (en) 2012-02-03 2013-08-08 Applied Materials, Inc. Methods and apparatus for lithography using a resist array
US8791024B1 (en) * 2013-05-14 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method to define multiple layer patterns using a single exposure
US9625815B2 (en) * 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
WO2015047320A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Self-aligned via and plug patterning for back end of line (beol) interconnects
US9236292B2 (en) * 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108292593A (zh) * 2015-09-30 2018-07-17 东京毅力科创株式会社 使用极紫外光刻对衬底进行图案化的方法
CN108292593B (zh) * 2015-09-30 2023-02-17 东京毅力科创株式会社 使用极紫外光刻对衬底进行图案化的方法

Also Published As

Publication number Publication date
WO2015035088A1 (en) 2015-03-12
US20160343578A1 (en) 2016-11-24
TWI634588B (zh) 2018-09-01
US10014184B2 (en) 2018-07-03

Similar Documents

Publication Publication Date Title
JP6022469B2 (ja) 基板のダブルパターニング方法
KR102005640B1 (ko) 사면 오염으로부터 웨이퍼를 보호하는 반도체 방법
TWI614805B (zh) 結合不同圖案材料的光微影技術
TWI662360B (zh) 藉由使用光劑之臨界尺寸控制
JP5567248B2 (ja) ゲートパターンを形成するための二重露光二重レジスト層プロセス
KR101800996B1 (ko) 기판 상의 콘택 개구 패터닝 방법
KR20160063318A (ko) Beol 패턴 커팅 및 플러깅을 위한 노출 활성화된 화학적으로 증폭된 dsa
CN106325002A (zh) 光刻技术显影成分及用于光刻技术图案化的方法
JP6538549B2 (ja) パターン形成方法
TW201723669A (zh) 使用極紫外光微影技術之基板圖案化方法
JP2007508717A (ja) トレンチを有する複合的パターニング方法及びその装置
JP2000331928A (ja) リソグラフ方法
TWI634588B (zh) 使用化學機械平坦化形成阻劑陣列之方法及設備
US11573494B2 (en) Critical dimension (CD) uniformity of photoresist island patterns using alternating phase shifting mask
TWI721231B (zh) 次解析度基板圖案化方法
US9633820B2 (en) Method for forming resist film and charged particle beam writing method
JP2012109322A (ja) パターン形成方法
US12019370B2 (en) Method and system for manufacturing a semiconductor device
US11682559B2 (en) Method to form narrow slot contacts
US20230251570A1 (en) Selective Deprotection via Dye Diffusion
CN115524944A (zh) 用于制造半导体装置的方法和系统
KR20130030869A (ko) 이중 노광을 이용한 미세 패턴 형성방법
JPS5848919A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees