TW201505958A - 藉由嵌段共聚物的自我組裝設計微影特徵之方法 - Google Patents

藉由嵌段共聚物的自我組裝設計微影特徵之方法 Download PDF

Info

Publication number
TW201505958A
TW201505958A TW103125749A TW103125749A TW201505958A TW 201505958 A TW201505958 A TW 201505958A TW 103125749 A TW103125749 A TW 103125749A TW 103125749 A TW103125749 A TW 103125749A TW 201505958 A TW201505958 A TW 201505958A
Authority
TW
Taiwan
Prior art keywords
block copolymer
domain
self
polymer type
adjusting
Prior art date
Application number
TW103125749A
Other languages
English (en)
Other versions
TWI568664B (zh
Inventor
Sander Frederik Wuister
Bart Laenens
Davide Ambesi
Original Assignee
Asml Netherlands Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv filed Critical Asml Netherlands Bv
Publication of TW201505958A publication Critical patent/TW201505958A/zh
Application granted granted Critical
Publication of TWI568664B publication Critical patent/TWI568664B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00031Regular or irregular arrays of nanoscale structures, e.g. etch mask layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0147Film patterning
    • B81C2201/0149Forming nanoscale microstructures using auto-arranging or self-assembling material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • Nanotechnology (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Architecture (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本發明揭示一種用於一可自我組裝嵌段共聚物特徵之設計或驗證方法,該嵌段共聚物特徵包括具有一第一聚合物類型之一第一域及具有一第二聚合物類型之一第二域,該方法包括:基於該第二聚合物類型之長度或基於該第二聚合物類型之該長度而演算的該嵌段共聚物特徵內之該第一域之位置之一不確定度,調整一嵌段共聚物特徵之自我組裝程序之一參數或驗證一嵌段共聚物特徵之一置放。

Description

藉由嵌段共聚物的自我組裝設計微影特徵之方法
本發明係關於一種藉由嵌段共聚物的自我組裝設計微影特徵之形成且判定使用嵌段共聚物而形成之特徵之位置之不確定度的方法。
在用於器件製造之微影中,一直需要縮減微影圖案中之特徵之大小,以便增加給定基板區域上之特徵之密度。具有處於奈米尺度之臨界尺寸(CD)之較小特徵的圖案允許在較大程度上集中器件或電路結構,從而在電子器件及其他器件之大小縮減及製造成本方面得到潛在改良。在投影光微影中,針對較小特徵之推進已引起諸如浸潤微影及極紫外線(EUV)微影之技術之開發。
作為一替代例,所謂壓印微影通常涉及使用「印模(stamp)」(常常被稱作壓印模板(imprint template))以將圖案轉印至基板上。壓印微影之優點為:特徵之解析度不受(例如)輻射源之發射波長或投影系統之數值孔徑限制。取而代之,解析度主要限於壓印模板上之圖案密度。
對於投影光微影及壓印微影兩者,需要提供(例如)壓印模板或其他基板之表面之高解析度圖案化。使用嵌段共聚物(BCP)之自我組裝已被認為是用於將特徵解析度增加至比可藉由先前微影方法而獲得之尺寸小的尺寸之潛在方法,或被認為是用於製備壓印模板之電子束微 影之替代例。
可自我組裝BCP為有用於奈米製作之化合物,此係因為其可在低於某一溫度(有序-無序轉變溫度To/d)的情況下冷卻時經歷有序-無序轉變,從而引起具有不同化學性質之共聚物嵌段之相分離以形成尺寸為數十奈米或甚至小於10奈米之有序化學相異域。可藉由操控共聚物之不同嵌段類型之分子量及組合物來控制該等域之大小及形狀。該等域之間的界面可具有大約1奈米至5奈米之線寬粗糙度,且可藉由對共聚物之嵌段之化學組合物進行改質來操控該等界面。
將BCP薄膜用作自我組裝模板之可行性係由Chaikin及Register等人(Science 276,1401(1997))示範。將尺寸為20奈米之圓點及孔之緻密陣列自聚(苯乙烯-嵌段-異戊二烯)薄膜轉印至氮化矽基板。
BCP包含不同嵌段,每一嵌段通常包含一或多個相同單體且沿著聚合物鏈並排地配置。每一嵌段可含有其各別類型之許多單體。因此,舉例而言,A-B BCP可具有該(或每一)A嵌段中之複數個類型A單體,及該(或每一)B嵌段中之複數個類型B單體。舉例而言,合適BCP之實例為具有聚苯乙烯(PS)單體(疏水性嵌段)及聚甲基丙烯酸甲酯(PMMA)單體(親水性嵌段)之共價鍵聯式嵌段之聚合物。具有疏水性/親水性不同之嵌段之其他BCP可有用。舉例而言,諸如(A-B-C)BCP之三嵌段共聚物可有用,如可為交替或週期性BCP,例如,[-A-B-A-B-A-B-]n或[-A-B-C-A-B-C]m,其中n及m為整數。該等嵌段可藉由共價鍵以直鏈或分支鏈方式(例如,星形或分支鏈組態)相互連接。
BCP可在自我組裝後就形成許多不同相,此取決於嵌段之體積分率、每一嵌段類型內之聚合度(亦即,每一各別嵌段內之每一各別類型之單體的數目)、溶劑之選用用途,及表面相互作用。當在薄膜中應用幾何限制時,幾何限制可引起可限制所形成相之額外邊界條件。一般而言,實務上在自我組裝式BCP薄膜中觀測到球體(例如,立方 體)相、圓柱形(例如,四邊形或六邊形)相及層狀相(亦即,具有立方體、六邊形或層狀空間填充對稱性之自我組裝式相)。
所觀測之相類型可取決於不同聚合物嵌段之相對分子體積分率。舉例而言,80:20之分子體積比率將提供配置於較高體積嵌段之連續域中的低體積嵌段之不連續球體域的立方體相。隨著體積比率縮減至70:30,將形成圓柱形相,其中不連續域為較低體積嵌段之圓柱。在50:50之比率下,形成層狀相。在比率為30:70的情況下,可形成倒轉圓柱形相,且在20:80之比率下,可形成倒轉立方體相。
用作可自我組裝聚合物之合適BCP包括(但不限於)聚(苯乙烯-b-甲基丙烯酸甲酯)、聚(苯乙烯-b-2-乙烯吡啶酮)、聚(苯乙烯-b-丁二烯)、聚(苯乙烯-b-二茂鐵基二甲基甲矽烷)、聚(苯乙烯-b-環氧乙烷)、聚(環氧乙烷-b-異戊二烯)。符號「b」表示「嵌段」。儘管此等嵌段共聚物為二嵌段共聚物實例,但將顯而易見,自我組裝亦可使用三嵌段、四嵌段或其他多嵌段共聚物。
一種用以將聚合物(諸如,BCP)之自我組裝導引或引導至基板表面上的方法被稱為表面起伏磊晶(graphoepitaxy)。此方法涉及藉由在使用由抗蝕劑建構之一或多個特徵(或自抗蝕劑轉印至基板表面上之一或多個特徵,或轉印至沈積於基板表面上之膜堆疊上之一或多個特徵)的情況下在基板上進行拓撲預圖案化來導引BCP之自我組織。使用預圖案化以形成罩殼或「凹座」,罩殼或「凹座」包含基板基底,及抗蝕劑之側壁,例如,一對對置側壁(或形成於膜中之側壁,或形成於基板中之側壁)。
通常,表面起伏磊晶模板之特徵之高度大約為待排序之BCP層之厚度,因此可為(例如)約20奈米至約150奈米。
層狀自我組裝式BCP可形成微影特徵之平行線性圖案,其具有凹座中之不同聚合物嵌段域之鄰近線。舉例而言,若BCP為在聚合物鏈 內具有A嵌段及B嵌段之二嵌段共聚物,則BCP可在每一凹座中自我組裝成一有序層,該層包含A嵌段之規則間隔的第一域,其與B嵌段之第二域交替。
相似地,圓柱形自我組裝式BCP可形成微影特徵之有序圖案,其包含由第二連續域環繞之圓柱形不連續第一域。舉例而言,若BCP為在聚合物鏈內具有A嵌段及B嵌段之二嵌段共聚物,則A嵌段可組裝成在圓形凹座內且由B嵌段之連續域環繞的圓柱形不連續域。替代地,A嵌段可組裝成橫越線性凹座規則地間隔且由B嵌段之連續域環繞的圓柱形不連續域。
因此,表面起伏磊晶可用以導引層狀相或圓柱形相之自我組織,使得BCP圖案將凹座之側壁之間隔再分成離散共聚物圖案之域。
在用以實施BCP自我組裝在奈米製作中之使用的程序中,作為表面起伏磊晶模板之部分,可運用中性定向控制層而對基板進行改質,以誘發自我組裝圖案相對於基板之較佳定向。對於用於可自我組裝聚合物層中之一些BCP,在該等嵌段中之一者與基板表面之間可存在可引起定向之優先相互作用。舉例而言,對於聚苯乙烯(PS)-b-PMMA BCP,PMMA嵌段將優先地濕潤氧化物表面(亦即,具有與氧化物表面之高化學親和性),且此情形可用以誘發自我組裝式圖案定向成實質上平行於該表面之平面。舉例而言,可藉由如下操作來誘發實質上垂直定向:將中性定向層沈積至表面上,從而致使基板表面對嵌段兩者呈中性,換言之,中性定向層針對每一嵌段具有相似化學親和性,使得嵌段兩者以相似方式來濕潤該表面處之中性定向層。「垂直定向」意謂每一嵌段之域將並排地定位於基板表面處,其中不同嵌段之鄰近域之間的界面區實質上垂直於該表面之平面。
在用於使具有A嵌段及B嵌段(其中A具親水性性質且B具疏水性性質)之二嵌段共聚物對準之表面起伏磊晶模板中,表面起伏磊晶圖 案可包含疏水性側壁特徵,其中中性定向基底係在該等疏水性特徵之間。B域可優先地橫靠疏水性特徵而組裝,其中A嵌段及B嵌段之若干交替域係遍及表面起伏磊晶模板之牽制特徵之間的中性定向區而對準。
舉例而言,可藉由使用藉由羥基末端基或某一其他反應性端基之反應而共價地鍵聯至基板以在基板表面處氧化的無規共聚物刷來創製中性定向層。在用於中性定向層形成之其他配置中,可使用可交聯無規共聚物或適當矽烷(亦即,具有諸如(三)氯矽烷或(三)甲氧基矽烷之經取代反應性矽烷(亦被稱為矽烷基)端基之分子)以藉由充當基板表面與可自我組裝聚合物層之間的中間層而致使表面呈中性。此矽烷基中性定向層通常將作為單層而存在,而可交聯聚合物通常不作為單層而存在,且可具有通常小於或等於約40奈米或小於或等於約20奈米之層厚度。
可自我組裝BCP薄層可沈積至具有如上文所闡明之表面起伏磊晶模板之基板上。用於沈積可自我組裝聚合物之合適方法為旋塗,此係因為此程序能夠提供經良好界定之均一可自我組裝聚合物薄層。經沈積之可自我組裝聚合物膜之合適層厚度為大約10奈米至150奈米。
在BCP膜之沈積之後,該膜仍可無序或僅部分地有序,且可需要一或多個額外步驟以增進及/或完成自我組裝。舉例而言,可自我組裝聚合物可在自我組裝之前在溶劑中沈積為溶液,其中溶劑係(例如)藉由蒸發而移除。
BCP之自我組裝為許多小組份(BCP)之組裝引起較大更複雜結構(自我組裝式圖案中之奈米大小特徵)之形成的程序。缺陷自然地起因於控制聚合物之自我組裝之物理學。自我組裝受到A-B BCP之A/A、B/B及A/B(或B/A)嵌段對之間的相互作用差(亦即,相互化學親和性差)驅動,其中用於相分離之驅動力係由針對在考慮中之系統之佛-赫 (Flory-Huggins)理論描述。表面起伏磊晶之使用可極大地縮減缺陷形成。佛-赫相互作用參數(chi值)及BCP嵌段之聚合度(N值)為影響相分離及供發生特定BCP之自我組裝之尺寸的BCP之參數。
對於經歷自我組裝之聚合物,可自我組裝聚合物將展現有序-無序溫度To/d。可藉由用於評估聚合物之有序/無序狀態之任何合適技術(諸如,差示掃描熱量測定(differential scanning calorimetry,DSC))來量測To/d。若在低於此溫度的情況下發生層形成,則分子將經驅動以自我組裝。在高於溫度To/d的情況下,將形成無序層,其中來自無序A/B域之熵貢獻勝過起因於該層中之相鄰A-A嵌段對與B-B嵌段對之間的有利相互作用之焓貢獻。可自我組裝聚合物亦可展現玻璃轉變溫度Tg,在低於Tg的情況下聚合物有效地不動,且在高於Tg的情況下共聚物分子仍可在層內相對於相鄰共聚物分子而再定向。合適地藉由差示掃描熱量測定(DSC)來量測玻璃轉變溫度。
可藉由退火部分地移除如上文所闡明的在有序化期間形成之缺陷。諸如向錯(其為違反旋轉對稱性之線缺陷,例如,其中在指向矢(director)之定向上存在缺陷)之缺陷可藉由與具有相反正符號之另一其他缺陷或向錯配對予以消減。可自我組裝聚合物之鏈行動性可為用於判定缺陷遷移及消減之因素,且因此,可在鏈行動性高但自我組裝式有序圖案不會丟失的溫度下進行退火。此溫度暗示比用於聚合物之有序/無序溫度To/d高或低至多幾℃的溫度。
可將有序化及缺陷消減組合成單一退火程序,或可使用複數個程序,以便提供具有不同化學類型之域(不同嵌段類型之域)之有序圖案的自我組裝式聚合物(諸如,BCP)層。
為了將諸如器件架構或拓撲之圖案自自我組裝式聚合物層轉印至經沈積有自我組裝式聚合物之基板中,通常將藉由所謂突破性蝕刻(breakthrough etching)來移除第一域類型以將第二域類型之圖案提供 於基板之表面上,其中基板裸露於第二域類型之特徵之間。可使用乾式蝕刻或反應性離子蝕刻技術來蝕刻具有平行圓柱形相域之圖案。除了適合於平行圓柱形相域之蝕刻之技術以外,或作為對適合於平行圓柱形相域之蝕刻之技術的替代例,具有層狀相域之圖案亦可利用濕式蝕刻技術。
在突破性蝕刻之後,可藉由使用蝕刻方式之所謂轉印蝕刻(transfer etching)來轉印圖案,該蝕刻方式受到第二域類型抵抗且因此在基板表面中形成已使該表面裸露之凹座。
已發現可存在自我組裝式嵌段共聚物特徵之域內之位置之不確定度。
根據一實施例,可判定該不確定度。根據一實施例,該不確定度或一關聯參數可用於一經圖案化基板、組件或器件之設計及/或製造中。詳言之,該不確定度或其關聯參數之一指示允許一基板上之一特徵之置放之更多信賴。
根據一實施例,提供一種用於一可自我組裝嵌段共聚物特徵之設計方法,該嵌段共聚物特徵包含一包含一第一聚合物類型之第一域及一包含一第二聚合物類型之第二域,該方法包含:基於該第二聚合物類型之長度或基於該第二聚合物類型之該長度而演算的該嵌段共聚物特徵內之該第一域之位置之一不確定度,調整用以導引該嵌段共聚物特徵之該嵌段共聚物之自我組裝的一模板之一設計或調整該嵌段共聚物之一實體參數。
根據一實施例,提供一種用於一可自我組裝嵌段共聚物特徵之設計或驗證方法,該嵌段共聚物特徵包含一包含一第一聚合物類型之第一域及一包含一第二聚合物類型之第二域,該方法包含:基於該第二聚合物類型之長度或基於該第二聚合物類型之該長度而演算的該嵌 段共聚物特徵內之該第一域之位置之一不確定度來演算該嵌段共聚物特徵內之該第一域之該位置之一不確定度;及基於該不確定度,調整一嵌段共聚物特徵之自我組裝程序之一參數或驗證一嵌段共聚物特徵之一置放。
根據一實施例,提供一種用於一模板之各別部分處之複數個鄰近可自我組裝嵌段共聚物特徵之設計方法,該模板用以導引該等嵌段共聚物特徵之嵌段共聚物之自我組裝,該等嵌段共聚物特徵分別包含一包含一第一聚合物類型之第一域及一包含一第二聚合物類型之第二域,該方法包含:基於該等模板部分中之一者之一經設計自我組裝部位與彼經設計自我組裝部位處之該自我組裝式嵌段共聚物特徵內之該第一域之位置之間的一偏移或基於該偏移而演算的該嵌段共聚物特徵內之該第一域之該位置之一不確定度,調整該模板之一設計或調整該嵌段共聚物之一實體參數。
根據一實施例,提供一種用於一模板之各別部分處之複數個鄰近可自我組裝嵌段共聚物特徵之設計或驗證方法,該模板用以導引該等嵌段共聚物特徵之嵌段共聚物之自我組裝,該等嵌段共聚物特徵分別包含一包含一第一聚合物類型之第一域及一包含一第二聚合物類型之第二域,該方法包含:基於該等模板部分中之一者之一經設計自我組裝部位與彼經設計自我組裝部位處之該自我組裝式嵌段共聚物特徵內之該第一域之位置之間的一偏移來演算該等嵌段共聚物特徵中之一者內之該第一域之該位置之一不確定度;及基於該不確定度,調整一嵌段共聚物特徵之自我組裝程序之一參數或驗證一嵌段共聚物特徵之一置放。
根據一實施例,提供一種用於包含一嵌段共聚物特徵之自我組裝之一圖案化程序之設計或驗證方法,該嵌段共聚物特徵包含一包含一第一聚合物類型之第一域及一包含一第二聚合物類型之第二域,該 方法包含基於該第二聚合物類型之長度來調整該程序之一參數。
根據一實施例,提供一種包含電腦可讀指令之電腦程式,該等電腦可讀指令經組態以使一電腦進行如本文所描述之一方法。
根據一態樣,提供一種攜載如本文所描述之一電腦程式之電腦可讀媒體。
根據一態樣,提供一種裝置,該裝置包含儲存處理器可讀指令之一記憶體,及經配置以讀取及執行儲存於該記憶體中之指令之一處理器,其中該等處理器可讀指令包含經配置以控制電腦以進行如本文所描述之一方法的指令。
本發明之一或多個態樣可在對於熟習此項技術者而言適當時與本文所描述之任一或多個其他態樣組合,及/或與本文所描述之任一或多個特徵組合。
1‧‧‧基板
2‧‧‧抗蝕劑層/抗蝕劑
5‧‧‧接觸孔凹座
6‧‧‧嵌段共聚物(BCP)層
7‧‧‧圓柱形嵌段共聚物(BCP)特徵
8‧‧‧類型A聚合物域
9‧‧‧類型B聚合物域
11‧‧‧x間距
12‧‧‧y間距
13‧‧‧x間距
14‧‧‧y間距
15‧‧‧幾何中心/經設計自我組裝部位
16‧‧‧位移
17‧‧‧點
18‧‧‧點
19‧‧‧曲線
60‧‧‧電腦
61‧‧‧中央處理單元(CPU)
62‧‧‧記憶體
63‧‧‧硬碟機
64‧‧‧輸入/輸出(I/O)介面
65‧‧‧顯示器
66‧‧‧鍵盤
67‧‧‧滑鼠
68‧‧‧網路介面
69‧‧‧匯流排
101‧‧‧步驟
102‧‧‧步驟
103‧‧‧步驟
104‧‧‧步驟
105‧‧‧步驟
106‧‧‧步驟
200‧‧‧線
202‧‧‧線
204‧‧‧線
300‧‧‧步驟
302‧‧‧步驟
304‧‧‧步驟
306‧‧‧步驟
308‧‧‧步驟
310‧‧‧步驟
312‧‧‧步驟
314‧‧‧步驟
901‧‧‧點
902‧‧‧線
將參看隨附圖來描述本發明之特定實施例,在該等圖中:圖1A至圖1E示意性地描繪藉由表面起伏磊晶而至基板上的A-B嵌段共聚物之有向自我組裝;圖2示意性地描繪一基板上之複數個A-B嵌段共聚物特徵;圖3A為諸如圖1所展示的單嵌段共聚物特徵之形成之實例化學性質的示意性實例;圖3B為諸如圖1所展示的單嵌段共聚物特徵之形成之實例機械性質的示意圖;圖4A及圖4B示意性地描繪A-B嵌段共聚物特徵,其中藉由將電位施加至該特徵來控制聚合物域之位置;圖5為判定嵌段共聚物特徵內之圖4之聚合物域之位置之不確定度之方法的流程圖;圖6為依據聚合物域之位移而變化的圖4所描繪之嵌段共聚物特 徵之能量的示意性曲線圖;圖7為聚合物域具有不同位移之機率的示意性曲線圖;圖8為依據嵌段共聚物特徵之直徑而變化的該嵌段共聚物特徵內之聚合物域之位置之所演算不確定度的示意性曲線圖;圖9為針對各種接觸孔(通孔)大小的嵌段共聚物特徵內之聚合物域之位置之不確定度(置放誤差)相對於類型B至類型A嵌段共聚物之比率的示意性曲線圖;圖10描繪嵌段共聚物特徵內之聚合物域之位置之不確定度(置放誤差)相對於該嵌段共聚物之聚合物之長度之平方根的曲線圖;圖11A示意性地描繪諸如圖2所展示的兩個鄰近嵌段共聚物特徵中每一者內之聚合物域的預期置放;圖11B示意性地描繪諸如圖11A所展示的兩個鄰近嵌段共聚物特徵中每一者內之聚合物域的置放誤差;圖12為將一單嵌段共聚物特徵(頂部)之形成之實例機械性質與諸如圖2所展示的兩個鄰近嵌段共聚物特徵(底部)之形成之實例機械性質進行比較的示意圖;圖13描繪兩個實質上相等鄰近嵌段共聚物特徵中每一者內之聚合物域之不確定度(置放誤差)相對於該等嵌段共聚物特徵內之聚合物域之位置與與該等鄰近嵌段共聚物特徵中每一者相關聯的凹座之經設計自我組裝部位之間的偏移之平方根的曲線圖;圖14為設計自我組裝程序及其組件之方法的示意圖;及圖15為適合於實施一實施例之電腦的示意性描繪。
圖1A及圖1B分別以平面圖及橫截面展示被應用使用BCP之自我組裝之微影程序的基板1之部分。抗反射塗層可存在於基板1之表面上。抗反射塗層(若存在)可為有機材料,諸如,來自Brewer Science公 司(Missouri,Rolla)之ARC 29。抗反射塗層可為無機材料,諸如,SiC或SiON。中性層可提供於抗反射塗層上。抗蝕劑層2經施加至基板1。抗蝕劑層2可(例如)為光致抗蝕劑。在一實施例中,抗蝕劑為負型色調經顯影抗蝕劑(由有機顯影劑顯影之抗蝕劑)。此類型之抗蝕劑通常與用於嵌段共聚物之旋塗中之有機溶劑相容。抗蝕劑層2經圖案化以形成接觸孔(通孔)凹座5以用於嵌段共聚物之自我組裝中。凹座5可藉由光微影、壓印微影或另一微影程序而形成。出於自我組裝之目的,凹座5無需處於抗蝕劑中。舉例而言,凹座5可藉由(例如)蝕刻通過抗蝕劑2中之開口而形成於下部層中(諸如,圖1A及圖1B所展示)。在下文中,為方便起見,凹座5被描述且被描繪為在抗蝕劑2中,但其無需在抗蝕劑2中。
在圖1C中,BCP層6已沈積於基板1及抗蝕劑2上。BCP層6被展示為在凹座5內且在抗蝕劑2之頂部上具有均一厚度。在分別展示橫截面圖及平面圖之圖1D及圖1E中,BCP層6已被熱退火(藉此造成發生BCP材料之自我組裝)。熱退火程序造成BCP材料之再分佈,其中BCP材料係自抗蝕劑2上方之區輸送至凹座5中。為簡單起見,所有BCP材料已被描繪為經輸送至凹座5中。然而,實務上,一些BCP材料可保持於抗蝕劑2上方之區中。代替熱退火,可使用溶劑退火(溶劑使嵌段共聚物膨脹,藉此增加其遷移率使得嵌段共聚物之重排係可能的)。
使用BCP材料會允許組份聚合物材料之域自我組裝於BCP特徵內。舉例而言,可看出經沈積於凹座5內之BCP已形成聚合物之相異域。類型A聚合物域8形成為(例如)圓柱且由連續類型B聚合物域9環繞。類型A聚合物域8及類型B聚合物域9在凹座5內形成BCP特徵7。在一實施例中,類型B聚合物域9形成為(例如)圓柱且由連續類型A聚合物域8環繞。
如上文所提及,中性定向層可提供於基板上。中性定向層可針 對類型A聚合物嵌段及類型B聚合物嵌段具有相似化學親和性,使得嵌段兩者以相似方式來濕潤該中性定向層。此情形可增進形成具有垂直定向(亦即,實質上垂直於基板之表面)之類型A及B聚合物之域。中性定向層可具有大於該兩個聚合物域8及9中之一者之表面能量且小於該兩個聚合物域8及9中之另一者之表面能量的表面能量。
為了導引自我組裝,藉由(例如)一或多個壁之間隔來控制橫向尺寸。凹座5之寬度(例如,直徑)可(例如)為大約70奈米。凹座5之寬度可(例如)在大約20奈米與大約100奈米之間的範圍內。凹座5內部之類型A聚合物域8之寬度(例如,直徑)可(例如)為大約30奈米。凹座5內部之類型A聚合物域8之寬度(例如,直徑)可(例如)在大約5奈米與大約50奈米之間的範圍內。
BCP材料厚度亦影響自我組裝程序。凹座5內之BCP層之厚度可經最佳化以用於形成類型A及類型B聚合物之相異域。
複數個BCP特徵7可形成於單一基板1上。舉例而言,複數個圓柱形BCP特徵(諸如,圖1所描繪之圓柱形BCP特徵7)之形成可形成於基板1上。圖2以平面圖示意性地描繪已被應用使用BCP之自我組裝之微影程序的基板1之較大部分。抗蝕劑層2經施加至基板1。抗蝕劑層2係運用複數個凹座5而圖案化。BCP層經沈積於基板1上,且熱退火程序應用於該BCP層。各別BCP特徵7形成於凹座5中每一者內。BCP特徵7各自包含類型A聚合物域8及類型B聚合物域9。類型A聚合物域8形成為(例如)圓柱且由連續類型B聚合物域9環繞。類型A聚合物域8可(例如)包含聚甲基丙烯酸甲酯(PMMA)單體之嵌段。類型B聚合物域9可(例如)包含聚苯乙烯(PS)單體之嵌段。供用作可自我組裝聚合物之合適嵌段共聚物包括(但不限於)聚(苯乙烯-b-甲基丙烯酸甲脂)、聚(苯乙烯-b-2-乙烯吡啶酮)、聚(苯乙烯-b-丁二烯)、聚(苯乙烯-b-二茂鐵基二甲基甲矽烷)、聚(苯乙烯-b-環氧乙烷)、聚(環氧乙烷-b-異戊二烯)、 聚(苯乙烯-b二甲基矽氧烷)、聚(苯乙烯-b-乳酸)、聚(二甲基矽氧烷-b-乳酸)。符號「b」表示「嵌段」。儘管此等前述嵌段共聚物為二嵌段共聚物,但自我組裝亦可使用三嵌段、四嵌段或其他多嵌段共聚物。
BCP特徵7可進一步包含定位於類型B聚合物域9之周邊之周圍的第二類型A聚合物域(例如,PMMA單體之嵌段)。舉例而言,該第二類型A聚合物域可與凹座5之壁接觸。為簡單起見,第二類型A聚合物域在諸圖中未被描繪,然而,應瞭解,除了所描繪之彼等聚合物域以外的一或多個額外聚合物域可存在於任何給定BCP特徵7中。
BCP特徵7在x及y方向兩者上以實質上規則間隔而定位。BCP特徵7之分離度及大小判定BCP特徵7之間的間距。該間距被定義為BCP特徵7之一個重複單位之寬度(亦即,特徵寬度加特徵間間隔)。圖2描繪BCP特徵7之間的x間距11及y間距12。亦描繪類型A聚合物域8之間的x間距13及y間距14。類型A聚合物域之位置及間距係依據凹座5之位置及間距及BCP特徵7內之類型A聚合物域之位置。
類型A聚合物域定位於基板上之準確度為使用自我組裝嵌段共聚物之器件製造中之顯著因素。舉例而言,可藉由將第一複數個特徵圖案化至基板1上來製造器件。第一複數個特徵可(例如)對應於圖2所描繪之類型A聚合物域8。此可(例如)藉由將抗蝕劑2、類型A聚合物域8及類型B聚合物域9曝光至蝕刻程序來達成。蝕刻程序可移除類型A聚合物域8。因此,蝕刻程序曝光類型A聚合物域8下方的基板1之區。抗蝕劑2及類型B聚合物域9可抵抗蝕刻程序且可保持於適當位置。可執行另外蝕刻程序以蝕刻基板之經曝光區,藉此將對應於類型A聚合物域8之特徵圖案化至基板上。此等特徵可(例如)形成積體電路之接觸孔。
可隨後自基板移除抗蝕劑2及類型B聚合物域9,且可將新抗蝕劑層2沈積至基板1上。可接著藉由在新抗蝕劑層2中形成第二複數個凹 座5而將第二複數個特徵圖案化至基板1上。可接著將BCP層6沈積至基板1上且使其曝光至退火程序,藉此形成包含第二複數個類型A聚合物域8之第二複數個BCP特徵7。可接著執行一或多個蝕刻程序以將第二複數個特徵圖案化至基板1上。第二複數個特徵對應於第二複數個類型A聚合物域8。
當使用BCP製造器件時,舉例而言,需要使複數個特徵之一特徵相對於該複數個特徵之另一特徵準確地對準。另外或替代地,舉例而言,需要使第一複數個特徵相對於第二複數個特徵準確地對準。
複數個特徵之一特徵相對於該複數個特徵之另一特徵之對準之準確度及/或第一複數個特徵之一或多個特徵相對於第二複數個特徵之一或多個特徵之對準之準確度係取決於與基板1上之類型A聚合物域8之定位相關聯之不確定度。此不確定度可限制在製造器件時可達成的最小特徵大小及間距分離度。舉例而言,與基板1上之類型A聚合物域8之定位相關聯之不確定度的減低可允許使較小特徵待圖案化至該基板1上。
因此,不確定度之知識可允許在具有較小特徵間距分離度的情況下使特徵待圖案化至基板上。因此,需要使用BCP之器件之設計及製造使用與類型A聚合物域之定位相關聯的不確定度之知識。
一般而言,可使用除了上文所描述之彼等方法及特徵以外的方法及特徵來製造器件。舉例而言,相比於圖1及圖2所描繪之經均一配置圓柱形形狀具有另一形狀及/或組態的BCP特徵可經圖案化至基板上。實際上,雖然此描述考慮接觸孔形成之實例(例如,接觸孔收縮,其中運用微影技術而印刷之接觸孔形狀經填充有嵌段共聚物以形成較小接觸孔),但本文所論述之實施例之應用不限於接觸孔形成。
與基板1上之類型A聚合物域8之定位相關聯之不確定度σA為與凹座5中之一或多者之定位相關聯之不確定度σlith及與BCP特徵7內之一 或多個類型A聚合物域8之定位相關聯之不確定度σDSA的組合。凹座5之定位之不確定度σlith係歸因於用以形成凹座5之微影程序之不確定度。BCP特徵7內之類型A聚合物域8之定位之不確定度σDSA係歸因於有向自我組裝程序之不確定度及程序變化。經組合不確定度σA係由方程式(1)給出:
在微影應用中,可在使用自我組裝嵌段共聚物而製造之器件之設計中使用值3σA(可假定幾乎所有特徵將落在其所要位置之3σA內)。不確定度σlith可被熟知用於用以形成凹座5之微影程序。然而,不確定度σDSA可取決於數個不同變數。舉例而言,不確定度σDSA可取決於凹座5之大小及形狀、所使用之類型A聚合物、所使用之類型B聚合物,及BCP材料中之類型A聚合物至類型B聚合物之數量之比率。不確定度σDSA可(例如)為大約2奈米。圓形接觸孔形狀凹座5中之類型A聚合物域8之位置可以高斯量變曲線圍繞凹座5之中心而分佈。
可經由實驗來判定不確定度σDSA。舉例而言,複數個BCP特徵7可形成於基板1上。可接著針對該複數個BCP特徵7中每一者來量測該複數個BCP特徵7內之類型A聚合物域之位置。可使用測定位置以使用已知統計方法來演算σDSA
另外或替代地,可使用模擬來計算不確定度σDSA。可經由模擬BCP特徵來判定不確定度σDSA。可使用實施於電腦上之軟體來模擬BCP特徵。舉例而言,由化學統一語言介面(CULGI B.V.,荷蘭,萊頓)出售之動態密度功能理論(DDFT)軟體套件可用以模擬BCP特徵。一般而言,利用基於自洽場理論(SCFT)之熱力學理論之任何軟體可用以模擬BCP特徵。BCP特徵7之行為可經受大數目個相互作用分子。
然而,BCP特徵7可經模擬為熱力學系統,且可經由統計機制來 判定BCP特徵之宏觀屬性。舉例而言,在不受到任何理論束縛的情況下,圖3A為諸如圖1所展示的單嵌段共聚物特徵之形成之實例化學性質的示意性實例。在此狀況下,圖3A之右側描繪形成為(例如)圓柱且由凹座5內之連續類型B聚合物域9環繞之類型A聚合物域8。類型A聚合物域8可(例如)包含聚甲基丙烯酸甲酯(PMMA)單體。類型B聚合物域9可(例如)包含聚苯乙烯(PS)單體。在此狀況下,第二類型A聚合物域(例如,PMMA)定位於類型B聚合物域9之周邊周圍。該第二類型A聚合物域係與凹座5之壁接觸。在圖3A之左側,嵌段共聚物特徵之形成之一般化學性質係經由右側所描繪之線A-A被描繪。另外,在不希望受到任何理論束縛的情況下,圖3B為諸如圖1所展示的單嵌段共聚物特徵之形成之實例機械性質的示意圖。圖3A之嵌段共聚物特徵被描繪為機械彈簧系統。在此狀況下,類型A聚合物域8被描繪為由表示類型B聚合物域9之彈簧連接至凹座5之壁之塊狀物。因此,當檢視嵌段共聚物時嵌段共聚物特徵之位置之不確定度可被理解為具有彈簧常數kspring之彈簧。
圖4A示意性地描繪形成於凹座5內部之BCP特徵7,其在此實施例中為圓形。BCP特徵7包含類型A聚合物域8及類型B聚合物域9。類型A聚合物域8形成為圓柱且由連續類型B聚合物域9環繞。類型A聚合物可優先地實質上朝向凹座5之幾何中心15組裝(但其可取決於凹座5之形狀及性質而優先地組裝至不同部位;在下文中域8經設計成優先地自我組裝之凹座5中之部位被稱作經設計自我組裝部位)。類型B聚合物可優先地組裝以便環繞類型A聚合物域8。如上文所描述,BCP特徵7可進一步包含環繞類型B聚合物域且優先地橫靠凹座5之邊界而組裝之第二類型A聚合物域(該第二類型A聚合物域係自圖4A省略)。BCP特徵7可進一步包含一或多個其他聚合物域,其可為類型A聚合物域、類型B聚合物域或其他類型聚合物域(例如,類型C聚合物域)。
圖4A所描繪之類型B域9內之類型A域8之位置為在BCP特徵鬆弛成最小能態時類型A域8傾向於之位置。此狀態可等效地被認為是最小化BCP特徵之Gibbs自由能之狀態,且因此為熱力學平衡之狀態。
儘管類型A聚合物優先地實質上朝向凹座5之經設計自我組裝部位(例如,幾何中心15)而組裝,但BCP特徵7可佔據除了最小能態以外的能態(例如,歸因於在退火期間存在之熱能)。在除了最小能態以外的能態中,類型A聚合物域可組裝於自凹座5之經設計自我組裝部位15位移之位置處。換言之,存在類型A聚合物域可組裝於自凹座5之經設計自我組裝部位15位移之位置處之非零機率。類型A聚合物域8組裝於經位移位置處之機率可由類型A聚合物域8將組裝於與凹座5之經設計自我組裝部位15不同的位移處之機率之分佈表示。此分佈可被稱作類型A聚合物域8之位移之機率分佈。此機率分佈可用以演算不確定度σDSA
圖5之流程圖描繪用於演算BCP特徵內之類型A聚合物域之位置之不確定度σDSA的方法。使用實施於電腦上之軟體(例如,上文所提及之DDFT軟體套件)來模擬BCP特徵。在步驟101處,演算類型A聚合物域8之最小能量位置。最小能量位置為在BCP特徵7之能態下之類型A聚合物域8之位置,該能態實質上為最小能態。在最小能態下,BCP特徵7處於熱力學平衡。最小能量位置可(例如)處於BCP特徵7之經設計自我組裝部位(例如,幾何中心)。BCP特徵7之模擬可經設計成最小化BCP特徵7之能量。因此,藉由允許模擬以最小化BCP特徵7之能量且一旦能量被最小化就記錄BCP特徵7內之類型A聚合物域8之位置來找到最小能量位置。
在步驟102處,將電位施加至BCP特徵7之模擬。該電位可(例如)包含BCP特徵之化學電位之增加。該模擬可具有與BCP特徵7相關聯之固有化學電位。可藉由增加模擬中之固有化學電位來施加該電位。 化學電位可在經模擬BCP特徵7中之特定位置處增加。此情形可將類型A聚合物域8吸引朝向電位被施加之位置(例如,化學電位增加之位置)。此情形引起類型A聚合物域8自最小能量位置位移。類型A聚合物域8之位移可為橫向位移,亦即,聚合物域8可僅在x及/或y方向上位移且可不在朝向或遠離基板1之方向上位移。
一般而言,當存在用以模擬BCP特徵(諸如,經模擬BCP特徵7)之軟體時,此模擬軟體將依預設而模擬具有最小能量之BCP特徵。因而,對於經模擬BCP特徵7,此軟體可將類型A聚合物域8定位於最小能量位置中。將電位施加至BCP特徵7之模擬會擾動自其預設狀態之模擬且造成類型A聚合物域8自最小能量位置位移。
圖4B示意性地描繪在將電位施加至BCP特徵7之後形成於凹座5內部之BCP特徵7。施加至BCP特徵7之電位引起類型A域自BCP特徵7之經設計自我組裝部位15之位移16。施加至BCP特徵之電位亦造成BCP特徵7之能量增加,因此干擾BCP特徵之熱力學平衡。
在圖5之步驟103處,自經模擬BCP特徵7釋放電位。釋放電位會允許BCP特徵7之模擬返回至其預設狀態,且因此,BCP特徵7鬆弛至熱力學平衡且返回至最小能態。BCP特徵7之模擬可在一系列反覆中返回至最小能態。對於每一反覆,使能量縮減達一小量,且演算針對彼能量的類型A聚合物域8之位置。
在步驟104處,在BCP特徵7鬆弛至最小能態時記錄BCP特徵7之複數個能量。該等能量可被記錄為自最小能態之能量差△E。能量差可按比例調整達因數kT,其中k為波茲曼常數,且T為BCP特徵7之溫度。因此,可記錄經按比例調整能量差△E/kT。亦在BCP特徵7之複數個能量中每一者處記錄類型A聚合物域8自最小能量位置之位移。
DDFT軟體套件之輸出可為展示BCP特徵之影像。可藉由捕捉並分析自DDFT軟體套件輸出之影像來量測類型A聚合物域8自最小能量 位置之位移。可使用任何合適之影像分析軟體來進行此情形。
圖6為依據類型A聚合物域8自最小能量位置之位移而變化的能量△E/kT與BCP特徵7之最小能態之經按比例調整差的示意性曲線圖。圖6之點17表示BCP特徵7呈類型A聚合物域8係自最小能量位置位移之狀態。圖6之點18表示BCP特徵7呈最小能態。圖6之曲線19表示BCP特徵7之能量及類型A聚合物域8在電位被釋放之後返回至最小能量位置所沿著的位移路徑。藉由在步驟104中記錄BCP特徵7之能量及類型A聚合物域之位移來判定曲線19。BCP特徵7之行為可為包含充當熵彈簧(entropic spring)之BCP特徵7之聚合物之結果。
將電位施加至BCP特徵7之模擬實務上可使類型A聚合物域8位移超過圖6所描繪之點17。然而,可在記錄BCP特徵7之能量及類型A聚合物域之位移之前在釋放電位之後執行模擬之一或多個步驟。舉例而言,點17可表示記錄BCP特徵7之能量及類型A聚合物域之位移之第一點。然而,在施加電位時類型A聚合物域可已位移超過點17。在釋放電位與記錄BCP特徵7之能量及類型A聚合物域8之位移之間的模擬之一或多個步驟可幫助確保已自模擬完全移除電位。
對於任何給定模擬,BCP特徵7僅沿著曲線19的一半而返回。BCP特徵7返回所沿著的該一半曲線19係藉由類型A聚合物域之位移之方向予以判定。類型A聚合物域之位移之方向係藉由電位被施加之位置予以判定。在圖6所展示之實例中,BCP特徵7及曲線19實質上對稱,且因此,完整曲線19可自單一模擬予以判定。非對稱BCP特徵可需要執行複數個模擬。舉例而言,複數個模擬可各自包含在不同方向上施加造成類型A聚合物域8位移之電位。該等模擬可接著允許針對用於複數個模擬中之位移方向中每一者建構依據類型A聚合物域8之位移而變化的BCP特徵7之能態之曲線19。
在圖5之步驟105處,演算類型A聚合物域處於自最小能態之不同 位移d之機率p之分佈。圖7為此機率分佈之示意性曲線圖。機率分佈表示類型A聚合物域8在無電位被施加至BCP特徵7時將組裝於自最小能量位置之不同位移處之機率。舉例而言,自圖7之曲線19來演算該機率分佈。
包含BCP特徵7之大數目個分子之間的相互作用引起對BCP特徵7之行為之無規性之元素。此情形引起BCP特徵7甚至在未施加電位時將採用除了最小能態以外的能態之非零機率。對於給定複數個BCP特徵7,不同BCP特徵7可採用數個不同能態。由複數個BCP特徵7採用之能態之分佈可被認為遵循波茲曼分佈。能量狀態之分佈引起類型A聚合物域8自最小能量位置之位移d之分佈。此情形引起任何給定BCP特徵7將具有自最小能量位置位移之類型A聚合物域之機率之分佈。對於圖5所描繪之圓柱形BCP特徵7,可根據方程式(2)來演算機率分佈:
其中p(d)為類型A聚合物域8具有自最小能量位置之位移d之機率,△E(d)/kT為當類型A聚合物域8具有位移d時之能量與基態能量之經按比例調整差,且c為正規化常數。正規化常數c經設定成確保機率分佈之積分等於1。函數△E(d)/kT係在步驟104期間予以判定,且係由圖6所展示之曲線19表示。在步驟104期間之△E(d)/kT之判定允許使用方程式(2)橫越位移d範圍來演算機率分佈p(d)。圖7展示機率分佈p(d)之演算結果。
在圖5之步驟106處,自機率分佈p(d)演算BCP特徵7內之類型A聚合物域之位置之不確定度σDSA。舉例而言,可藉由將高斯分佈擬合至機率分佈來演算不確定度σDSA。依據位移d而變化的機率p之高斯分佈係由方程式(3)給出:
其中s為高斯分佈之標準差。BCP特徵7內之類型A聚合物域8之定位之不確定度σDSA可被認為等於方程式(3)之高斯分佈之標準差s
可藉由將高斯分佈擬合至機率分佈來判定標準差s且因此判定不確定度σDSA。可使用已知數值方法將高斯分佈擬合至機率分佈以判定最小化高斯分佈與機率分佈之間的差之s之值。舉例而言,數值方法可包含執行高斯分佈與機率分佈之間的最小平方擬合。自最小平方擬合導出之標準差s之值可被認為係不確定度σDSA
若圖6之曲線19為極佳拋物線(亦即,△E/kT=mx 2 ,其中m為常數),則機率分佈p(d)為高斯分佈,且因此經擬合高斯分佈將與機率分佈極佳地擬合。
圖8為依據嵌段共聚物特徵7之直徑而變化的該嵌段共聚物特徵7內之類型A聚合物域8之位置之所演算3σDSA不確定度的示意性曲線圖。使用本文所揭示之方法來判定點901。圖9展示隨著BCP特徵7之直徑減低3σDSA不確定度減低。線902為至點901之二次擬合。
圖9為針對各種接觸孔大小的以奈米為單位之不確定度(置放誤差)相對於類型B至類型A嵌段共聚物之比率(在此狀況下,聚甲基丙烯酸甲酯(PMMA)至聚苯乙烯(PS)之比率)的示意性曲線圖。線200表示83奈米之接觸孔大小,線202表示63奈米之接觸孔大小,且線204表示53奈米之接觸孔大小。使用本文所揭示之方法來判定線200、202及204之值。圖9展示不確定度大體上隨著類型B至類型A嵌段共聚物之嵌段共聚物比率減低而減低(亦即,自在不連續域為較低體積嵌段之圓柱的情況下形成之圓柱形相至不連續域為較高體積嵌段之圓柱的經倒轉圓柱形相)。另外,如由圖8支援,不確定度大體上隨著接觸孔之大小減低而減低。然而,如圖9可看出,在一些情況下,舉例而言, 不確定度在類型B至類型A嵌段共聚物之特定比率下針對較小接觸孔相比於在類型B至類型A嵌段共聚物之不同比率下針對較大接觸孔可較大。另外,若採用用於嵌段共聚物特徵之令人滿意的置放之目標不確定度(例如,如由點線標記之1奈米),則可看出,可在大約50:50之比率下為63奈米之接觸孔大小或更小的情況下且在圓柱形(65:35)相與倒轉實體(35:65)相之間的比率下為53奈米之接觸孔大小的情況下獲得小於目標不確定度之不確定度。可藉由外插或內插來獲得在其他接觸孔大小下之不確定度相對於類型B至類型A嵌段共聚物之各種比率。
然而,具有用以判定不確定度(置放誤差)之簡單方法將有益。圖10描繪圖9中所獲得的以奈米為單位之置放誤差(不確定度)相對於聚苯乙烯(PS)-PMMA嵌段共聚物之PS長度(以珠粒數目為單位)之平方根的曲線圖。如可看出,已發現,存在基本上線性擬合。因此,在使用此相關性的情況下,可基於嵌段共聚物之PS長度來預測置放誤差。雖然在本文中對PS-PMMA嵌段共聚物中之PS之長度進行參考,但相關性針對嵌段共聚物中之其他聚合物應成立。
在不受到任何理論束縛的情況下,可在考量到聚合物可被視為塊狀物-彈簧類型系統中之彈簧時針對單一接觸孔來解釋此相關性。返回參看圖3B,聚合物之彈簧常數kspring可被表達為:
其中k為波茲曼常數;T為溫度;N為單體(例如,PS)片段之數目;且b為聚合物之Kuhn長度(遍及該長度聚合物被認為係勁性的)。聚合物之(自由)長度可被表達為Nb。為了判定置放誤差,可在標準高斯中將機率函數ρ表達為:ρ=exp(-△E/kT)=exp(-½ x22) (5)
其中σ為置放誤差且x為位置。△自由能△E可被表達為: △E/kT=½ Kspring x2 (6)
自方程式(5)及(6),可導出置放誤差與彈簧常數之間的關係:Kspring=1/σ2 (7)
當組合方程式(4)及(7)時獲得置放誤差與聚合物長度之間的關係且該關係得到:
方程式(8)預測出單一接觸孔中之置放誤差係與N之平方根成比例,N為PS片段(珠粒)之數目。因此,對於單嵌段共聚物特徵,置放誤差係與PS長度成比例,或更通常係與嵌段共聚物之聚合物之長度成比例。
現在,將考慮彼此鄰近之複數個嵌段共聚物特徵,亦即,該等嵌段共聚物特徵中之一者之聚合物與該等嵌段共聚物特徵中之另一者之聚合物相互作用(例如,其中該等嵌段共聚物特徵共用一凹座)。圖11A示意性地描繪兩個鄰近嵌段共聚物特徵(例如,接觸孔)中每一者內之聚合物域的預期置放。對於忽視如上文所論述之置放誤差之時刻,吾人可預期兩個鄰近嵌段共聚物特徵中每一者中之聚合物域形成於各別凹座部分之經設計自我組裝部位(例如,中心或中心部分)處,該部位係藉由域8在各別十字形上之置放來指示。實務上,存在與鄰近嵌段共聚物特徵(例如,接觸孔)內之一或兩個聚合物域相關聯的自各別凹座部分之經設計自我組裝部位之偏移,而不管置放誤差。圖11B示意性地描繪圖11A之兩個鄰近嵌段共聚物特徵中每一者內之聚合物域的偏移。該等聚合物域中之一者或兩者傾向於相對於凹座部分之經設計自我組裝部位(例如,中心或中心部分)向內前進(該等部位自身可歸因於(例如)誤差或隨機效應而具有其自有之置放誤差)。彼向內移動之量為偏移△x=XDSA-Xlitho(且與任何置放誤差分離)。
在不束縛於任何理論的情況下,域8可向內前進之原因係歸因於域8(例如,PMMA域)之間的域9(例如,PS域)之勁度。此勁度低於凹座5之壁與各別域8之間的域9之勁度。
圖12為將一單嵌段共聚物特徵(頂部)之形成之實例機械性質與兩個鄰近嵌段共聚物特徵(底部)之形成之實例機械性質進行比較的示意圖。在單嵌段共聚物特徵及雙嵌段共聚物特徵之實例中,Kspring_1及Kspring_2實質上恆定。因此,若在自圖12之頂部所展示的單嵌段共聚物特徵(例如,單一接觸孔)前進至圖12之底部所展示的兩個鄰近嵌段共聚物特徵(例如,兩個接觸孔)時存在域8相對於凹座5之移位,則其很可能係歸因於較弱Kspring_3。此情形暗示較弱Kspring_2可改良置放。
如圖13所展示,標繪以奈米為單位之不確定度(置放誤差)σ2相對於以奈米為單位之△X會得到基本上線性關係。因此,可在知道單嵌段共聚物特徵之置放誤差(σ1)及鄰近嵌段共聚物特徵之域8相對於其針對凹座之經設計自我組裝部位之偏移(△X)的情況下判定兩個鄰近嵌段共聚物特徵之不確定度(置放誤差)。
在具有不確定度σDSA的情況下,可自經判定不確定度σDSA及根據方程式(1)之已知不確定度σlith演算與基板1上之類型A聚合物域8之定位相關聯之經組合不確定度σA。對於微影應用,可使用值3σA(可假定幾乎所有特徵落在所要位置之3σA內)。因此,用以判定σDSA不確定度的本文所揭示之方法可因此用以判定可預期在使用自我組裝嵌段共聚物之器件製造之實務實施中發生的不確定度。
可在使用可自我組裝嵌段共聚物之組件(例如,器件)之設計及/或製造期間考量嵌段共聚物特徵之經判定定位不確定度。在BCP特徵內之聚合物域之定位中的σA及/或σDSA不確定度之知識可用以設計待形成於基板上之特徵之圖案。該知識亦可用以設計包含特徵之圖案之組件。舉例而言,可達成具有縮減之特徵大小及/或特徵分離度之特 徵之圖案。舉例而言,BCP特徵之寬度可經縮減以便縮減在BCP特徵內之聚合物域之定位中之σA及/或σDSA不確定度。
一旦進行設計而考量BCP特徵之所演算機率分佈,特徵之圖案就可形成於基板上。特徵之圖案可(例如)形成組件(例如,積體電路)之部分。
參看圖14,描繪在使用可自我組裝嵌段共聚物之組件(例如,器件)之設計及/或製造中併入有不確定度之使用的設計程序之實施例。在此程序中,可在製造之前預測嵌段共聚物如何與用以導引該嵌段共聚物之自我組裝之圖案(例如,經印刷微影圖案)相互作用。另外,該程序可使能夠演算逆問題,即:知道嵌段共聚物特徵應位於何處,判定(例如)應使用之嵌段共聚物之類型及/或待用以導引嵌段共聚物之自我組裝之圖案。
在步驟300處,提供一或多個自我組裝式嵌段共聚物特徵之所要配置/圖案之初始設計。亦可提供關於以何種方式可在該程序期間重新設計初始設計之多個約束(規則)中之一者。舉例而言,此一或多個規則可指示特定嵌段共聚物特徵可移位多少且仍令人滿意地定位。在步驟300處,可提供待使用之特定嵌段共聚物。亦可提供關於以何種方式可在該程序期間重新設計嵌段共聚物之多個約束(規則)中之一者。舉例而言,此一或多個規則可指示嵌段共聚物之一聚合物相對於嵌段共聚物之另一聚合物的比率可改變多少,而不管可將一或多個聚合物添加至該嵌段共聚物、自該嵌段共聚物移除一或多個聚合物,抑或在該嵌段共聚物中取代一或多個聚合物,等等。
在步驟302處,判定用於基板上之用以導引嵌段共聚物之自我組裝之模板的設計。有向自我組裝嵌段共聚物模型係用以將初始設計解譯至有向自我組裝嵌段共聚物模板。可存在關於以何種方式可在該程序期間設計或重新設計模板之多個約束(規則)中之一者。舉例而言, 此一或多個規則可指示凹座之壁之多少部分可接近該壁之另一部分或另一壁,等等。在一實施例中,用於模板設計之有向自我組裝嵌段共聚物模型為不顯著依賴於計算上重模擬之快速模型或規則集合。在用於模板設計之快速模型或規則集合之一實施例中,模型可包括複數個不同標準嵌段共聚物特徵圖案及針對每個此圖案之用以形成彼圖案之一關聯模板凹座形狀。該模型可接著分析輸入所要嵌段共聚物特徵圖案以識別複數個不同標準嵌段共聚物特徵圖案中之一或多者且接著將關聯模板凹座形狀添加至總模板凹座形狀設計。舉例而言,如圖14中看出,可識別步驟300處之設計中之經隔離接觸孔,且將關聯圓形模板凹座形狀添加至步驟302處之模板設計。相似地,可識別步驟300處之設計中之該對鄰近接觸孔,且可將重疊圓形凹座形狀之配置添加至步驟302處之模板設計,參見(例如)2012年9月7日申請之全文以引用方式併入本文中的美國專利申請公開案第2012/0331428號。美國專利申請公開案第2012/0331428號中公開的所描述之技術中之一或多者可併入於本文所描述之方法中。在一實施例中,用於模板設計之快速模型或規則集合並不執行任何模擬,而是實情為獨佔地依賴於查找及/或公式演算。在一實施例中,用於模板設計之快速模型或規則集合為以規則為基礎之模型,亦即,其(相似於以規則為基礎之OPC)依賴於簡單幾何規則以判定待應用之設計及/或修改,以區別於依賴於廣泛微影模擬及反覆以進行設計及/或修改(比如以模型為基礎之OPC)。
在步驟304處,判定用以在基板上創製用以導引嵌段共聚物之自我組裝之模板的(例如,壓印模板、光學微影光罩、電子束寫入器等等之)圖案化器件之圖案。且在步驟306處,模擬/演算圖案化器件圖案之轉印及印刷以獲得基板上之用以導引嵌段共聚物之自我組裝之經印刷模板。一或多個已知計算微影產品(諸如,ASML超光速粒子計算微影軟體產品)可用以演算圖案且模擬/演算圖案之轉印及印刷。舉例 而言,在圖案化器件為光學微影光罩的情況下,光學近接校正產品可用以演算用於光罩之光罩圖案,包括應用一或多個光罩增強技術(RET)(諸如,散射條,襯線等等);且源光罩最佳化(SMO)可最佳化照明參數或使照明參數與光罩圖案匹配,此皆旨在將圖案化器件之圖案印刷至基板上之用以導引嵌段共聚物模板之自我組裝之所要模板中。可存在關於可在該程序期間設計或重新設計圖案化器件之圖案及/或圖案化器件圖案之轉印及印刷(例如,抗蝕劑選擇、照明等等)之方式的多個約束(規則)中之一者。
在步驟308處,針對施加至步驟306處所判定之經印刷圖案之嵌段共聚物之經模擬/所演算自我組裝來執行置放誤差驗證分析。在一實施例中,使用本文所描述之方法中之一或多者來模擬及/或演算一或多個嵌段共聚物特徵之置放誤差,且將該置放誤差與一臨限值進行比較以判定該一或多個嵌段共聚物特徵之置放是否可接受。在一實施例中,置放誤差臨限值小於或等於5奈米、小於或等於4奈米、小於或等於3奈米、小於或等於2奈米,或小於或等於1奈米。有向自我組裝嵌段共聚物模型係用以定量並核對一或多個嵌段共聚物特徵之置放誤差,且視情況判定該一或多個自我組裝式嵌段共聚物特徵之預期尺寸。在一實施例中,用於置放誤差驗證之有向自我組裝嵌段共聚物模型為不顯著依賴於計算上重模擬之快速模型或集合或規則。在用於置放誤差驗證之快速模型或規則集合之一實施例中,基於用於各種給定嵌段共聚物之嚴格及/或基板模擬來校準各種單嵌段共聚物特徵(例如,具有變化之大小之接觸孔)之置放誤差及尺寸。可基於上文關於圖11至圖13所論述之公式方法來外插鄰近特徵之置放誤差。舉例而言,基於查找表及/或公式演算,可驗證(1)置放誤差與第二聚合物類型之BCP長度之屬性之間的關係(圖10)及(2)偏移(=圖11B中之X_DSA與X_litho之間的差)與置放誤差之間的關係(圖13)。在一實施例中, 用於置放誤差驗證之快速模型或規則集合並不執行任何模擬,而是實情為獨佔地依賴於查找及/或公式演算。在一實施例中,用於置放誤差驗證之快速模型或規則集合為以規則為基礎之模型,亦即,其(相似於以規則為基礎之OPC)依賴於簡單幾何規則以判定待應用之設計及/或修改,以區別於依賴於廣泛微影模擬及反覆以進行設計及/或修改(比如以模型為基礎之OPC)。
在點310處,若判定出置放誤差係在臨限值內,則可在312處接受設計及一或多個關聯之自我組裝參數(例如,嵌段共聚物類型、自我組裝模板設計,等等),且自我組裝可使用(例如)用於模板之設計、在設計程序期間所選擇之嵌段共聚物等等而繼續進行。
若判定出置放誤差不在臨限值內,則可在314處修改設計及/或一或多個關聯之自我組裝參數(例如,嵌段共聚物類型、自我組裝模板設計,等等)。該等修改可採取眾多形式,且在圖14所描繪之計算設計程序中之各種接面處。在一實施例中,可需要共最佳化兩個或兩個以上參數調整,且彼等參數中之一或多者可比另一者更重地加權。舉例而言,調整可涉及模板之形狀之調整及嵌段共聚物之實體參數之調整,且因此,可在或不在將模板之形狀之調整加權高於或低於嵌段共聚物之實體參數之調整的情況下使用任何已知最佳化技術來執行任一參數被調整之量。可基於置放誤差之量或關聯參數(例如,聚合物類型之偏移量及/或長度)來進行此等調整中任一者。
在一實施例中,設計及/或一或多個關聯之自我組裝參數之調整可包含調整嵌段共聚物之實體參數。舉例而言,調整實體參數可包含調整嵌段共聚物中之第一聚合物類型之量與第二聚合物類型之量之間的比率。在一實施例中,調整實體參數包含將一另外聚合物類型添加至嵌段共聚物或自嵌段共聚物移除一聚合物類型。在一實施例中,調整實體參數可包含調整嵌段共聚物之聚合物類型之長度。在一實施例 中,調整實體參數可包含調整第一聚合物類型與第二聚合物類型之間的排斥性或第二聚合物類型與用以導引嵌段共聚物特徵之嵌段共聚物之自我組裝之模板之一部分之間的排斥性。可(例如)在步驟308處進行對嵌段共聚物之調整,且接著程序使用經調整嵌段共聚物而自步驟308繼續進行。替代地,若模板設計步驟涉及嵌段共聚物之實體性質,則可(例如)在步驟302處進行對嵌段共聚物之調整,且接著程序使用經調整嵌段共聚物而自步驟302繼續進行。
在一實施例中,設計及/或一或多個關聯之自我組裝參數之調整可包含調整用以在基板上創製用以導引嵌段共聚物之自我組裝之模板的(例如,壓印模板、光學微影光罩、電子束寫入器等等之)圖案化器件之圖案之設計。舉例而言,對於光學光罩,諸如襯線或散射條之一或多個光罩增強技術可基於不確定度應用於光罩圖案。另外或替代地,設計及/或一或多個關聯之自我組裝參數之調整可包含調整圖案化器件圖案之轉印及印刷之參數。舉例而言,對於光學光罩,可調整照明形狀、照明強度等等。可(例如)在步驟304及/或306處進行此等調整,且接著程序使用適用調整而自其繼續進行。
在一實施例中,設計及/或一或多個關聯之自我組裝參數之調整可包含調整用以導引嵌段共聚物特徵之嵌段共聚物之自我組裝之模板之設計。在一實施例中,調整模板包含調整模板之凹座部分之形狀。舉例而言,相似於光罩增強技術之一或多個模板增強技術可基於不確定度應用於模板圖案。例如參看2012年9月7日申請之全文以引用方式併入本文中的美國專利申請公開案第2012/0331428號。替代地或另外,可將與先前經應用不同的基本形狀應用於特定嵌段共聚物特徵。舉例而言,可針對步驟300所展示之設計之經隔離接觸孔而將大量重疊之雙圓凹座形狀或正方形凹座形狀應用於步驟302處之模板設計中,來代替如步驟302處之模板設計所展示圓形凹座形狀。可(例如) 在步驟302處進行此等調整,且接著程序使用適用調整而自其繼續進行。
在一實施例中,設計及/或一或多個關聯之自我組裝參數之調整可包含調整步驟300處所展示之嵌段共聚物特徵之圖案之設計。換言之,因為可能置放誤差對於實際上待創製之嵌段共聚物特徵過大,所以可需要重新設計嵌段共聚物特徵之基本設計。因此,舉例而言,嵌段共聚物特徵之圖案之佈局可在特徵大小、特徵間距、特徵佈局等等方面改變。可(例如)在步驟300處進行此等調整,且接著程序使用適用調整而自其繼續進行。
在一實施例中,設計及/或一或多個關聯之自我組裝參數之調整可包含將用以導引嵌段共聚物特徵之嵌段共聚物之自我組裝的模板之一部分之排斥性調整至第一聚合物類型及/或第二聚合物類型。
在一實施例中,可單獨地執行步驟308處所執行之置放誤差驗證分析。換言之,置放誤差驗證可用作模板、嵌段聚合物等等之特定組合是否將滿足用於置放誤差之臨限值之檢查。分析可接著(例如)向使用者提供此組合通過或未通過置放誤差驗證分析之指示。另外,可將該組合通過或未通過之量供應給使用者或供應至另一程序。舉例而言,該量可用於後續層之疊對演算中。
如本文所描述,可獲得嵌段共聚物特徵內之第一域之位置之不確定度之指示。該不確定度可接著用於經圖案化基板、組件或器件之設計及/或製造中。詳言之,不確定度之指示允許特徵於基板上之置放之較多信賴。因此,可在考量嵌段共聚物特徵內之第一域之位置之不確定度的情況下製造特徵之圖案。
在一實施例中,可自嵌段共聚物特徵內之第一域之位置之不確定度判定基板上之第一域之位置之不確定度。以此方式,可提供額外資訊以用於基板、組件或器件之設計及/或製造中。
在一實施例中,第一域之位置之所演算不確定度可用以設計凹座之圖案以用於預圖案化基板。設計凹座之圖案以用於預圖案化基板可包含模擬微影程序。在一實施例中,該方法可進一步包含基於凹座之經設計圖案來預圖案化基板。舉例而言,該設計可用以將複數個凹座壓印至基板上,該等凹座適合於接收嵌段共聚物以用於自我組裝嵌段共聚物製造方法中。
在一實施例中,可基於嵌段共聚物特徵內之第一域之位置之所演算不確定度來設計用於使用自我組裝嵌段共聚物之製造之組件。舉例而言,該組件可包含經圖案化基板、器件架構或拓撲,等等。在一實施例中,該方法可進一步包含根據使用自我組裝嵌段共聚物之設計而在基板上形成包含組件之特徵之圖案。該方法可進一步包含一或多個額外步驟,諸如。突破性蝕刻(例如,以移除第一域或第二域)及/或轉印蝕刻。
雖然設計程序已在實現自我組裝式嵌段共聚物特徵中之一者之所要配置/圖案方面予以描述,但該程序可擴充成進一步包括諸如蝕刻等等之後續程序步驟之模擬及/或模型化。此等另外程序步驟之結果可在適當時後饋或前饋至該程序中,以進一步改進初始設計、嵌段共聚物之類型、用以導引嵌段共聚物之自我組裝之圖案,等等。
雖然已描述類型A聚合物域之位置之不確定度,但術語類型A聚合物域應不限於特定類型之聚合物域。術語類型A聚合物域及類型B聚合物域係僅僅用以在參看諸圖之不同聚合物域之間進行區分。
可根據一實施例使用(例如,模擬及設計)如上文所描述的凹座、BCP特徵及/或除圓柱形以外的第一域形狀。舉例而言,可使用特徵內之聚合物域之定位之不確定度來模擬及設計球體、橢圓形、矩形、層狀、立方體、四邊形或六邊形特徵。可使用聚合物域特徵位置不確定度來模擬及設計由類線性渠溝特徵接合之圓柱形特徵。
對最大或最小能量之任何參考可未必對應於絕對最大或最小能量。最大或最小能量可代替地僅指局域最大或最小能量。對處於最大或最小能量之任何參考應不限於精確地處於最大或最小能量,而是僅僅實質上處於最大或最小能量。
如上文所提及,用以形成凹座之側壁之抗蝕劑之使用意欲為一實例,而非一限制性特徵。舉例而言,可藉由基板自身之圖案化或經沈積或經生長至基板上之層之圖案化來提供凹座。凹座自身可由BCP材料之自我組裝提供。
圖15展示電腦60。電腦60可實施本文所描述之方法中任一者,包括實施BCP特徵之模擬,及/或使用本文所描述之方法中任一者來演算與具有BCP特徵之聚合物域之定位相關聯之不確定度。電腦60包含一中央處理單元(central processing unit,CPU)61,該CPU 61經組態以讀取並執行儲存於可採取隨機存取記憶體之形式的記憶體62中的指令。記憶體62儲存供CPU 61執行之指令及由彼等指令使用之資料。舉例而言,在使用中,BCP特徵及/或關聯之參數(例如,嵌段共聚物類型、BCP特徵設計形狀等等)之數位表示可連同適合於使電腦進行如本文所描述之方法之指令儲存於記憶體62中。
該電腦60可進一步包含呈硬碟機63之形式的儲存器。BCP特徵及/或關聯之參數之數位表示可儲存於硬碟機63上。電腦60可進一步包含一輸入/輸出(input/output,I/O)介面64,結合該電腦60而使用的一或多個周邊器件連接至該I/O介面64。舉例而言,可提供顯示器65以便顯示自電腦60之輸出。舉例而言,顯示器65可顯示BCP特徵之表示。另外,顯示器65可顯示藉由諸如圖6、圖7、圖8、圖9、圖10及/或圖13之曲線圖之經模擬BCP特徵之處理或諸如圖2所展示之基板之設計而產生的一或多個影像。一或多個輸入器件可連接至介面64。此輸入器件可包括允許使用者與電腦60互動之鍵盤66及/或滑鼠67。
可提供網路介面68以允許電腦60待連接至適當電腦網路以便自其他計算器件接收資料及/或將資料傳輸至其他計算器件。CPU 61、記憶體62、儲存器63、I/O介面64及網路介面68係由匯流排69連接在一起。
本發明之態樣可以任何方便形式予以實施。舉例而言,一實施例可由一或多個適當電腦程式實施,該一或多個適當電腦程式可在可為有形載體媒體(例如,磁碟)或無形載體媒體(例如,通信信號)之適當載體媒體上進行。可使用可具體採取可程式化電腦之形式的合適裝置來實施本發明之實施例,該可程式化電腦執行經配置以實施如本文所描述之方法之電腦程式。
雖然上文已描述本發明之特定實施例,但應瞭解,可以與所描述之方式不同的其他方式來實踐本發明。以上描述意欲為說明性而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離附加申請專利範圍之範疇的情況下對所描述之本發明進行修改。
101‧‧‧步驟
102‧‧‧步驟
103‧‧‧步驟
104‧‧‧步驟
105‧‧‧步驟
106‧‧‧步驟

Claims (24)

  1. 一種用於一可自我組裝嵌段共聚物特徵之設計方法,該嵌段共聚物特徵包含一包含一第一聚合物類型之第一域及一包含一第二聚合物類型之第二域,該方法包含:基於該第二聚合物類型之長度或基於該第二聚合物類型之該長度而演算的該嵌段共聚物特徵內之該第一域之位置之一不確定度,調整一嵌段共聚物特徵之自我組裝程序之一參數。
  2. 如請求項1之方法,其中該調整係基於位置之該不確定度,且位置之該不確定度係與該第二聚合物類型之該長度之平方根成比例。
  3. 如請求項1或2之方法,其中該第二聚合物類型之該長度為該第二聚合物類型之珠粒之一數目。
  4. 如請求項1或2之方法,其中該調整包含:調整該嵌段共聚物之一實體參數。
  5. 如請求項4之方法,其中調整該實體參數包含:調整該嵌段共聚物中之該第一聚合物類型之量與該第二聚合物類型之量之間的一比率。
  6. 如請求項4之方法,其中調整該實體參數包含:將一另外聚合物類型添加至該嵌段共聚物或自該嵌段共聚物移除一聚合物類型。
  7. 一種用於一可自我組裝嵌段共聚物特徵之設計或驗證方法,該嵌段共聚物特徵包含一包含一第一聚合物類型之第一域及一包含一第二聚合物類型之第二域,該方法包含:基於該第二聚合物類型之長度或基於該第二聚合物類型之該長度而演算的該嵌段共聚物特徵內之該第一域之位置之一不確 定度來演算該嵌段共聚物特徵內之該第一域之該位置之一不確定度;及基於該不確定度,調整一嵌段共聚物特徵之自我組裝程序之一參數或驗證一嵌段共聚物特徵之一置放。
  8. 如請求項7之方法,其中位置之該不確定度係與該第二聚合物類型之該長度之平方根成比例。
  9. 如請求項7或8之方法,其中該調整包含:調整該嵌段共聚物之一實體參數。
  10. 如請求項9之方法,其中調整該實體參數包含:調整該嵌段共聚物中之該第一聚合物類型之量與該第二聚合物類型之量之間的一比率。
  11. 如請求項9之方法,其中調整該實體參數包含:將一另外聚合物類型添加至該嵌段共聚物或自該嵌段共聚物移除一聚合物類型。
  12. 一種用於一模板之各別部分處之複數個鄰近可自我組裝嵌段共聚物特徵之設計方法,該模板用以導引該等嵌段共聚物特徵之嵌段共聚物之自我組裝,該等嵌段共聚物特徵分別包含一包含一第一聚合物類型之第一域及一包含一第二聚合物類型之第二域,該方法包含:基於該等模板部分中之一者之一經設計自我組裝部位與彼經設計自我組裝部位處之該自我組裝式嵌段共聚物特徵內之該第一域之位置之間的一偏移或基於該偏移而演算的該嵌段共聚物特徵內之該第一域之該位置之一不確定度,調整該模板之一設計或調整該嵌段共聚物之一實體參數。
  13. 如請求項12之方法,其中該調整係基於位置之該不確定度,且位置之該不確定度係與該偏移之平方根成比例。
  14. 如請求項12或13之方法,其中該調整係基於位置之該不確定度,且位置之該不確定度係進一步基於在不具有該一或多個其他鄰近可自我組裝嵌段共聚物特徵的該模板之該嵌段共聚物特徵之各別部分處的該嵌段共聚物特徵內之該第一域之該位置之一不確定度。
  15. 如請求項12或13之方法,其中該調整包含:調整該嵌段共聚物之一實體參數。
  16. 如請求項15之方法,其中調整該實體參數包含:調整該嵌段共聚物中之該第一聚合物類型之量與該第二聚合物類型之量之間的一比率。
  17. 如請求項15之方法,其中調整該實體參數包含:將一另外聚合物類型添加至該嵌段共聚物或自該嵌段共聚物移除一聚合物類型。
  18. 一種用於一模板之各別部分處之複數個鄰近可自我組裝嵌段共聚物特徵之設計或驗證方法,該模板用以導引該等嵌段共聚物特徵之嵌段共聚物之自我組裝,該等嵌段共聚物特徵分別包含一包含一第一聚合物類型之第一域及一包含一第二聚合物類型之第二域,該方法包含:基於該等模板部分中之一者之一經設計自我組裝部位與彼經設計自我組裝部位處之該自我組裝式嵌段共聚物特徵內之該第一域之位置之間的一偏移來演算該等嵌段共聚物特徵中之一者內之該第一域之該位置之一不確定度;及基於該不確定度,調整一嵌段共聚物特徵之自我組裝程序之一參數或驗證一嵌段共聚物特徵之一置放。
  19. 如請求項18之方法,其中位置之該不確定度係與該偏移之平方根成比例。
  20. 如請求項18或19之方法,其中位置之該不確定度係進一步基於在不具有該一或多個其他鄰近可自我組裝嵌段共聚物特徵的該模板之該嵌段共聚物特徵之各別部分處的該嵌段共聚物特徵內之該第一域之該位置之一不確定度。
  21. 如請求項18或19之方法,其中該調整包含:調整該嵌段共聚物之一實體參數。
  22. 如請求項21之方法,其中調整該實體參數包含:調整該嵌段共聚物中之該第一聚合物類型之量與該第二聚合物類型之量之間的一比率。
  23. 如請求項21之方法,其中調整該實體參數包含:將一另外聚合物類型添加至該嵌段共聚物或自該嵌段共聚物移除一聚合物類型。
  24. 一種用於包含一嵌段共聚物特徵之自我組裝之一圖案化程序之設計或驗證方法,該嵌段共聚物特徵包含一包含一第一聚合物類型之第一域及一包含一第二聚合物類型之第二域,該方法包含基於該第二聚合物類型之長度來調整該程序之一參數。
TW103125749A 2013-08-06 2014-07-28 藉由嵌段共聚物的自我組裝設計微影特徵之方法 TWI568664B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361862853P 2013-08-06 2013-08-06
US201361874875P 2013-09-06 2013-09-06

Publications (2)

Publication Number Publication Date
TW201505958A true TW201505958A (zh) 2015-02-16
TWI568664B TWI568664B (zh) 2017-02-01

Family

ID=51136502

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103125749A TWI568664B (zh) 2013-08-06 2014-07-28 藉由嵌段共聚物的自我組裝設計微影特徵之方法

Country Status (3)

Country Link
US (1) US10884333B2 (zh)
TW (1) TWI568664B (zh)
WO (1) WO2015018590A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014191163A1 (en) * 2013-05-30 2014-12-04 Asml Netherlands B.V. Method of simulating formation of lithography features by self-assembly of block copolymers
US10339260B2 (en) 2013-09-06 2019-07-02 Asml Netherlands B.V. Methodology to generate guiding templates for directed self-assembly
KR20160084437A (ko) 2013-11-08 2016-07-13 에이에스엠엘 네델란즈 비.브이. 지향성 자가­조립을 위해 가이딩 템플릿을 생성하는 방법론
WO2015109224A1 (en) * 2014-01-16 2015-07-23 Brewer Science Inc. High-chi block copolymers for directed self-assembly
JP6267143B2 (ja) * 2015-03-05 2018-01-24 東京エレクトロン株式会社 基板処理方法、プログラム、コンピュータ記憶媒体及び基板処理システム
US9836556B2 (en) * 2015-03-30 2017-12-05 Mentor Graphics Corporation Optical proximity correction for directed-self-assembly guiding patterns
US9768059B1 (en) * 2016-04-07 2017-09-19 International Business Machines Corporation High-chi block copolymers for interconnect structures by directed self-assembly
US11161281B2 (en) 2017-12-22 2021-11-02 International Business Machines Corporation Structure and method for monitoring directed self-assembly pattern formation
US10830724B2 (en) 2017-12-22 2020-11-10 International Business Machines Corporation Micro-capacitance sensor array containing spaced apart first and second overlapping and parallel electrode plates for sensing analytes
US10586013B2 (en) 2018-01-30 2020-03-10 International Business Machines Corporation Calibration of directed self-assembly models using programmed defects of varying topology

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6893705B2 (en) * 2001-05-25 2005-05-17 Massachusetts Institute Of Technology Large area orientation of block copolymer microdomains in thin films
JP2005032058A (ja) 2003-07-08 2005-02-03 Nippon Zeon Co Ltd 高分子材料の相分離構造を予測する方法及びその予測方法により高分子材料を製造する方法
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US8394483B2 (en) * 2007-01-24 2013-03-12 Micron Technology, Inc. Two-dimensional arrays of holes with sub-lithographic diameters formed by block copolymer self-assembly
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US8404124B2 (en) * 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US8336003B2 (en) 2010-02-19 2012-12-18 International Business Machines Corporation Method for designing optical lithography masks for directed self-assembly
US8691925B2 (en) 2011-09-23 2014-04-08 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions of neutral layer for directed self assembly block copolymers and processes thereof

Also Published As

Publication number Publication date
TWI568664B (zh) 2017-02-01
US10884333B2 (en) 2021-01-05
WO2015018590A1 (en) 2015-02-12
US20160178999A1 (en) 2016-06-23

Similar Documents

Publication Publication Date Title
TWI568664B (zh) 藉由嵌段共聚物的自我組裝設計微影特徵之方法
TWI587074B (zh) 設計特徵引導模板之方法、判定特徵引導模板之特性之方法、設計特徵引導模板之幾何特性之方法、特徵引導模板、電腦可讀非暫時性媒體、電腦裝置及積體電路
TWI526777B (zh) 用於藉由嵌段共聚物之自我組裝在一基板上提供微影特徵之方法
Stoykovich et al. Remediation of line edge roughness in chemical nanopatterns by the directed assembly of overlying block copolymer films
Ji et al. Directed self-assembly of block copolymers on chemical patterns: A platform for nanofabrication
TWI529816B (zh) 藉嵌段共聚物之自組裝而在一基板上提供具間隔的微影特徵之方法
TWI546617B (zh) 藉由嵌段共聚物之自組裝而在基板上提供微影特徵之方法
US9279191B2 (en) Pattern forming method
TW201021922A (en) Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement
TWI587073B (zh) 用於產生定向自組裝之引導模板之方法
Doerk et al. Pattern placement accuracy in block copolymer directed self-assembly based on chemical epitaxy
US10418245B2 (en) Method for integrated circuit manufacturing with directed self-assembly (DSA)
JP5813607B2 (ja) パターン形成方法及びリソグラフィ原版の製造方法
Ito et al. Silica imprint templates with concave patterns from single-digit nanometers fabricated by electron beam lithography involving argon ion beam milling
US10127336B2 (en) Method of simulating formation of lithography features by self-assembly of block copolymers
Nicaise et al. Self-assembly of block copolymers by graphoepitaxy
TWI546616B (zh) 藉由嵌段共聚物之自組裝而在基板上提供間隔的微影特徵之方法
Higo et al. High Throughput 16 NM Nanogap by Variable Shaped Beam Method Using F7000S-VD02 EB Lithography
Reilly et al. Combining physical resist modeling and self-consistent field theory for pattern simulation in directed self-assembly
Tung Block Copolymer Directed Self-Assembly for Patterning Memory and Logic
Tsikrikas et al. Electron beam lithography simulation for the patterning of extreme ultraviolet masks
Yi Directed Self-Assembly for Nanofabrication and Device Integration
Raptis et al. Simulation of electron beam exposure and resist processing for nano-patterning