TW201433789A - 半導體裝置之檢測圖案設計及其利用方法 - Google Patents

半導體裝置之檢測圖案設計及其利用方法 Download PDF

Info

Publication number
TW201433789A
TW201433789A TW102106043A TW102106043A TW201433789A TW 201433789 A TW201433789 A TW 201433789A TW 102106043 A TW102106043 A TW 102106043A TW 102106043 A TW102106043 A TW 102106043A TW 201433789 A TW201433789 A TW 201433789A
Authority
TW
Taiwan
Prior art keywords
semiconductor device
conductive
pattern
dielectric layer
electron beam
Prior art date
Application number
TW102106043A
Other languages
English (en)
Other versions
TWI480543B (zh
Inventor
Yen Chuang
Che-Lun Hung
Hsiao-Leng Li
Original Assignee
Macronix Int Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Macronix Int Co Ltd filed Critical Macronix Int Co Ltd
Priority to TW102106043A priority Critical patent/TWI480543B/zh
Priority to CN201410059737.0A priority patent/CN104008984A/zh
Publication of TW201433789A publication Critical patent/TW201433789A/zh
Application granted granted Critical
Publication of TWI480543B publication Critical patent/TWI480543B/zh

Links

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Automation & Control Theory (AREA)

Abstract

提供用以檢測於半導體、半導體裝置或基板中之缺陷的方法及系統。也提供具有新形測試圖案及/或設計之半導體、半導體裝置或基板。具有複數個線路圖案之半導體、半導體裝置或基板產生一反應。複數個線路圖案對應於一反應刺激物(如電子束輻射)。反應刺激物可以包括一電子束輻射,且影像資料可被收集及處理以產生用以指出表面及/或內部缺陷之影像。

Description

半導體裝置之檢測圖案設計及其利用方法
本發明是有關於一種半導體裝置。特別是,有關於本發明是有關於一種新型檢測圖案設計及一種使用此檢測圖案設計以辨識於沉積金屬層中之缺陷的方法。
半導體裝置之製造通常是需要建立(creating)導電金屬之線路圖案,以相互連接半導體裝置的不同層。這可能涉及一種「鑲嵌式製程」。因此,線路圖案可能會形成於半導體裝置之一表面的一介電層上或於半導體裝置之一表面的一介電層內。舉例來說,線路圖案可以由蝕刻一絕緣層並填充一導電金屬來製成。一選擇性移除製程(例如是機械性研磨或化學機械性研磨)可以被用於確保導電金屬設置於已蝕刻之線路圖案中。
半導體產業之持續趨勢是朝向高裝置密度卻不影響 裝置之執行效能。形成於基板(整體視為晶片)上之半導體的裝置尺寸持續地被縮小至次微米(sub-micron)等級以達成此目的。舉例來說,相連線路的寬度及間隔、接觸孔的間隔及直徑,以及幾何表面(例如是角落或邊緣)皆為持續變得更小得特徵。當導電金屬(例如是銅)被沉積於這些窄/小之相連線路時,導電金屬可能會不完全地填充於溝槽之全部直徑內而導致一間隙(gap)或一凹處(pit)的形成。
再者,由於此種情況,銅具有於周圍介電層或絕緣層間形成橋接線(氧化物橋接線)的傾向。這導致導電線/層之導電性的增加。並且,氧化物橋接線(oxide bridge)可能會引起自導電線/層到介電層的不完全分裂(incomplete separation)。這導致介電層或氧化層之汙染。已汙染之介電層可能使介電層的絕緣特性降低,而導致短路或漏電流。
用以檢測缺陷(例如是間隙、凹處或氧化物橋接線)之傳統方法通常侷限於只能夠檢測表面缺陷之光學檢測裝置。用以檢測內部缺陷之其他方法通常需要冗長且耗時的缺陷檢測技術。測試半導體導電層中缺陷之改善方法是此領域中所需要的。此外,為了減少有關於測試測量之成本,需要發展可以改善半導體製造製程效率之快速、線上(in-line)檢測製程。本技術領域也需要發展可靠的改善缺陷檢測之方法。
下述係為本發明之概要,用以提供本發明一些方面 之基本了解。概要並不視為界定本發明關鍵/決定性元件或描述本發明之範圍。其唯一目的係為以簡化形式來呈現本發明之一些概念,做為稍後呈現之更詳細描述的序言。
本發明之一方面係為一種偵測(inspect)一半導體裝置之方法,偵測(inspect)一半導體裝置之方法包括以下步驟。提供具有設置於一基板上之複數個線路圖案之半導體裝置,暴露複數個線路圖案於一反應刺激(responsive stimuli),及測量複數個線路圖案至反應刺激之一反應。複數個線路圖案之反應可以是,例如指出一表面缺陷、一內部缺陷(例如是一凹處或一氧化物橋接線)、或其任何組合之存在(presence)或缺乏(absence)。於本發明之某些實施例中,複數個線路圖案藉由至少一相連線路圖案所連接。本發明之一些方面,方法係為一線上(in-line)、連續性製程。
本發明之一些實施例中,反應刺激包括一光線(irradiation)、一傳導(conductance)、一磁性共振(magnetic resonance)、一聲學刺激(acoustical stimulation)及一電刺激(electrical stimulation)之至少其中之一。本發明之某些實施例中,反應刺激包括一電子束輻射(electron beam radiation)。根據這些實施例,檢測之方法可以更包括自電子束輻射中收集資料,並產生至少一或多個影像,影像顯示一表面缺陷、一內部缺陷及其任何組合。
本發明之某些實施例中,偵測半導體裝置之方法可更包括應用一外部電場至半導體裝置之步驟。本發明之某些實施例中,應用外部電場以使得改善顯影影像之對比。
本發明之某些實施例中,複數個線路圖案包含於半導體裝置上之複數個溝槽及沉積於複數個溝槽之至少一導電金屬。
本發明之一方面提供一種半導體裝置。半導體裝置包含一基板、一介電層、複數個導電圖案及至少一互連線路圖案。複數個導電圖案沉積於介電層內。至少一互連線路圖案連接於複數個導電線路圖案。本發明之一些實施例中,複數個導電線路圖案之導電線路圖案係為相互平行。某些實施例中,至少一相連線路圖案係靠近於複數個導電線路圖案之一末端(terminus)並垂直於複數個導電線路圖案。
本發明之另一方面包括一種製造半導體裝置之方法,製造半導體裝置之方法包括以下之步驟。提供一基板。形成至少一介電層於基板上。蝕刻複數個溝槽於介電層內。蝕刻至少一互連溝槽於相互連接複數個溝槽之介電層。沉積一導電材料於複數個溝槽及至少一互連溝槽內。本發明之一些實施例中,導電材料係選自由鋁、銅、鎢、金、任何其合金及其結合物所組成之群組。
本發明之其他實施例所包含偵測一半導體裝置之方法。偵測一半導體裝置之方法包括以下步驟。提供半導體裝置,半導體裝置具有一基板、一介電層、複數個導電線路圖案及至少一互連導電線路圖案,複數個導電線路圖案設置於介電層內,至少一互連導電線路圖案用以連接於線路圖案。以一電子束輻射照射半導體裝置。接收自光線(irradiation)的影像資料。產生自影像資料之一半導體裝置影像,其中影像辨識(identify)出缺陷。 一些實施例中,這些方法係為線上(in-line)、持續性方法。本發明之一些實施例係直接用以偵測一半導體裝置之一內部缺陷及一表面缺陷之至少其中之一之設備。
本發明之其他實施例提供一種用以檢測於半導體裝置中缺陷之系統。半導體裝置具有一測試圖案。半導體裝置更包括一基板、設置於基板之上之一介電層、複數個導電線路圖案,及至少一相連線路圖案。複數個導電線路圖案設置於介電層內,至少一相連線路圖案用以連接於線路圖案。系統更包括用以提供能量(energy)至測試圖案之一照射裝置、一接收裝置及一外部電場產生器。接收裝置自照射裝置接受資料。顯影裝置顯示半導體裝置中一表面缺陷、一內部缺陷及其任何組合之其中之任一之影像。根據本發明之部分實施例,系統可以更包括靠近於基板之一外部電場產生器。
本發明之這些實施例及本發明之其他實施樣態及實施例在回顧配合附圖之下述說明之時將更顯清楚。不過,本發明特別是藉由以下的申請專利範圍所界定。
1‧‧‧基板
2‧‧‧介電層
3‧‧‧線路溝槽
4‧‧‧導電線路
5‧‧‧一互連溝槽
6‧‧‧缺陷
7‧‧‧損壞線路
9‧‧‧導電性互連結構
10‧‧‧測試圖案邊界
16‧‧‧片段陰影
17‧‧‧片段
100‧‧‧測試圖案
200‧‧‧電子束設備
210‧‧‧陰極
220‧‧‧電容器透鏡
230‧‧‧主要光束
240‧‧‧光束熄滅裝置
250‧‧‧散光像差補償器
260‧‧‧孔洞
270‧‧‧透鏡
280‧‧‧反光鏡
290‧‧‧透鏡內反光鏡
300‧‧‧背向散射電子
310‧‧‧水平面
400‧‧‧測試圖案
410‧‧‧G
420‧‧‧陰暗片段
430‧‧‧外部電場
440‧‧‧表面
450‧‧‧正電荷
E1‧‧‧第一能量
E2‧‧‧第二能量
本發明已經被總括地說明,現在將參考未必按比例繪製之附圖來作說明。
第1A圖繪示根據本發明實施例中具有氧化溝槽構造之半導體裝置示意圖。
第1B圖繪示於第1A圖中沿著B-B’剖面線之半導體裝置的剖面示意圖。
第2A圖繪示根據本發明實施例中具有沉積於溝槽結構之導電金屬之半導體裝置的剖面示意圖。
第2B圖繪示於第2A圖中沿著B-B’剖面線之具有氧化溝槽之半導體裝置的剖面示意圖。
第3A圖繪示顯示部份(certain)缺陷之新穎的測試圖案設計之實施例。
第3B圖繪示根據本發明實施例於第3A圖中缺陷測試圖案之一電子束輻射影像。
第4A圖繪示根據本發明實施例可以使用檢測於半導體裝置內缺陷之一電子束檢驗設備。
第4B圖係為本發明測試圖案設計實施例之一電子束輻射之示意圖。
第5圖繪示於本發明部分實施例中正極模式(positive mode)及負極模式(negative mode)電子束輻射之能量圖。
第6A-6E圖繪示根據本發明實施例中使用一跳躍式掃描方法以檢測缺陷之步驟。
第7A-7E圖繪示根據本發明實施例中使用一連續性掃描方法以檢測缺陷之步驟。
現在將參考附圖更完全說明本發明之某些實施例於下,於附圖中顯示本發明之某些實施例而非所有實施例。的確,本發明之各種實施例可能以多數不同的型式被具體化,且不應被解釋成受限於於此所提出之實施例;相反地,這些實施例之提供 係能使本揭露書將滿足適合的正當需求。
如在說明書及在以下申請專利範圍中所使用的,除非上下文清楚地表示,否則單數形式包括複數語詞。舉例而言,「一半導體裝置」之提及包括複數個這種半導體裝置。
雖然於此採用特定之用語,但它們係以一種普通及描述性的意義被使用,而不是只為了限制之目的。如於此所使用之包括技術及科學專門用語之所有專門用語具有與本發明所屬之熟習本項技藝者之其中一個所通常理解的相同的意思,除非一專門用語已以其他方式被定義。但吾人將更進一步理解到,例如通用字典所定義的那些專門用語應該被解釋成具有如具有熟習本發明所屬之本項技藝者所通常理解的意思。吾人將更進一步理解到,例如通用字典所定義的那些專門用語應該被解釋成具有與它們在相關技藝與本揭露書之上下文中的意思相符之意思。除非本揭露書於此明確如此定義,否則這種通用專門用語將不會以理想化的或過度地形式的意義被解釋。
本發明大致上有關於內部及/或表面缺陷的檢測之一設備及一方法,尤其是於半導體裝置製造製程中。本發明也有關於一半導體裝置或基板上之新穎的測試圖案設計(test pattern design)及製造具有此設計之半導體裝置。
如本文所使用之一「半導體」或「半導體裝置」一詞係指一半導體裝置或一半導體基板。一般來說,這些包含半導體領域中具有通常知識者所熟知的裝置或基板。於未來可能發展而至今所未知的半導體裝置也可被視為本發明之半導體。
如本文所使用之「半導體基板」一詞係指任何包括 一半導體材料之結構「construction)」,半導體材料包括(但不侷限於此)整體(bulk)半導體材料(例如是半導體晶圓(單獨或包含其他位於其上之材料的組件))及半導體材料層(單獨或包含其他材料的組件)。「基板」一詞係指任何支撐結構(supporting structure),支撐結構包括(但不侷限於此)進一步描述於本文之半導體基板。
在一些實施例中,發明之方法可以應用於部分半導體裝置。本發明之方法可以適用於本領域中具有通常知識者所熟知之部分半導體裝置。於本發明之一些實施例中,半導體裝置可以是一半導體記憶裝置。半導體記憶裝置可以是一隨機存取記憶體(random access memory,RAM)裝置或一唯讀記憶體(read only memory,ROM)裝置。隨機存取記憶體裝置可以選自於動態隨機存取記憶體(dynamic random access memory,DRAM)、快速頁面模式之動態隨機存取記憶體(fast page mode DRAM,FPM DRAM)、延伸資料輸出動態隨機存取記憶體(extended data out DRAM,EDO DRAM)、視頻隨機接達記憶器(video random access memory,VRAM)、同步動態隨機存取記憶體(synchronous dynamic random access memory,SDRAM)、雙倍資料率同步動態隨機存取記憶體(double date rate SDRAM,DDR SDRAM)、Rambus動態隨機存取記憶體(Rambus DRAM,RDRAM)、同步圖案隨機存取記憶體(synchronous graphics RAM,SGRAM)、偽靜態隨機存取內部記憶體(pseudostatic RAM,PSRAM)、磁阻式隨機存取記憶體(mageneto resistive RAM,MRAM)及靜態隨機記憶體(static RAM,SRAM)所組成之群組。唯讀記憶體裝置可以選自於可程 式唯讀記憶體(programmable ROM,PROM)、可抹除程式化唯讀記憶體(erasable programmable read only memory,EPROM)及電子可抹除程式化唯讀記憶體(electrically erasable programmable ROM,EEPROM)所組成之群組。本發明之一些實施例中,半導體記憶裝置係為一快閃記憶裝置。
於半導體裝置構造之高密度製程中,鑲嵌製程(damascene process)可用以改善金屬相互連接的外型,也就是沉積於溝槽內以形成一金屬互連線路圖案之導電金屬。於鑲嵌製程中,一導電金屬可以沉積於形成於一介電層內之一溝槽。溝槽可以被蝕刻於介電層內。一金屬可以全面地(blanket)沉積於形成於介電層內之溝槽。置於溝槽外部之任何部分沉積金屬可以被移除,例如是利用一單純化學研磨製程(a purely mechanical polishing process)、一化學機械平面製程(a chemical mechanical planarization process)、及/或其他平坦化製程。根據本發明部分實施例,因為每一個相互連結之側壁外型是藉由圖案化及蝕刻介電層來界定而不是通過圖案化金屬層來界定,鑲嵌製程可以是適合的(desirable)。透過金屬蝕刻製成的使用來達成實質上垂直之相互連接側壁之困難點於半導體製程的領域中是廣為人知的。再者,一鑲嵌製程可導致於實質上較平坦之半導體表面,實質上較平坦之半導體表面上方可以製造一連續互連高度(subsequent interconnect level)。
一般來說,半導體基板可以包括惰性介電層(inert dielectric layers)及導電層。舉例來說,本發明之一些實施例可以涉及具有選自於砷化鎵(GaAs)、鍺(germanium)、矽(silicon)、 矽鍺(silicon germanium)、鈮酸鋰(lithium niobate)、包含矽之結合物(如:結晶矽、二氧化矽),及其結合物所組成之群組的半導體基板。於本發明之部分實施例中,半導體基板矽為一半導體晶圓,特別是一矽晶圓。「晶圓」一詞意指一半導體結構、一基板或一裝置,例如是界於一半導體裝置之任何製造狀態。介電材料例如可以是包括含有旋塗式玻璃(spin-on glass)的矽,旋塗式玻璃例如是烷氧矽烷高分子聚合物(alkoxysilane polymer)、矽氧烷高分子聚合物(siloxane polymer)、半矽氧烷高分子聚合物(silsesquioxane polymer)、伸芳基醚(poly、arylene ether)、氟化伸芳基醚(fluorinated poly、arylene ether)、其他聚合介質(polymer dielectrics)、奈米多孔二氧化矽(nanoporous silica)或其混合物。
介電層可以藉由任何合適之技術所形成。例如是化學氣相沉積(chemical vapor deposition,CVD)、電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)、低壓化學氣相沉積(low pressure chemical vapor deposition,LPCVD)、高壓化學氣相沉積(high pressure chemical vapor deposition,HPCVD)。聚合物介質也可以藉由使用旋轉塗佈(spin coating)、浸塗(dip-coating)、噴鍍(spraying)或滾輪塗佈(roller coating)所形成。
介電層可以接著提供選擇性蝕刻以產生連接通道(contact tunnel)/溝槽(trench)/凹槽(groove)/開口(opening)及/或貫孔(via)。蝕刻可以藉由使用任何合適之蝕刻液來完成。氧化層可以利用例如是一濕式製程(wet process)、一乾式製程 (dry process)及任何其結合製程來蝕刻。舉例來說,濕氧蝕刻可以於具有緩衝或已稀釋之氟氫酸(hydrofluoric acid,HF)溶液中完成。氟氫酸能夠於一完全支配的方法中且非常具有選擇性之情況下蝕刻一層氧化層。乾氧化蝕刻之範例包括採用氟碳(fluorocarbon)氣體(例如是四氟甲烷(tetrafluoromethane,CF4)、六氟乙烷(hexafluoroethane,C2F6)、三氟甲烷(fluoroform,CHF3)或八氟丁烷(ctaflurocyclobutane,C4F8))之電漿製程(plasma-based process)。此氣體也可以包括氧氣(O2)、氮氣(N2)、氬氣(Ar)、氦氣(He)任何一種或其任何結合物。本發明之乾或濕蝕刻製程可以使用例如是於整批(batch)及/或單一晶圓之平台上。
於溝槽/凹槽形成後,溝槽/凹槽填充一導電材料。導電材料可以是任何合適的材料,例如是一導電金屬、導電金屬合金、導電金屬氧化物、導電聚合薄膜、半導體材料或其他類似材料。導電材料之具體範例包括任何的鋁(aluminum)、鉻(chromium)、銅(copper)、鍺(germanium)、金(gold)、鎂(magnesium)、錳(manganese)、鎢(tungsten)、鋅(zinc)、任何其合金或任何其結合物。任何於本領域中之熟知的技術可用以填充溝槽或開口。示範性方法可以包括電鍍、無電電鍍填充(electroless filling)、濺鍍(sputtering)、蒸鍍(evaporation)、沉積(deposition)及其類似方法,且可以用以填充溝槽或開口。
無論採用任何沉積導電材料之方法,半導體裝置之製造基本上需要深且窄的接觸線路。因此,無可避免的在此過程中會形成表面及內部缺陷。於半導體裝置之製造製程中,表面缺 陷可以是任何常見之缺陷。舉例來說,表面可以是任何不均勻或任何不均勻之結合物、受其他材料汙染之結合物或刮傷。於半導體裝置之製造製程中,內部缺陷可以是包括形成於內部至導電線路表面/圖案之任何缺陷。例如,當使用一傳統表面缺陷檢測方法時,內部缺陷可能是無法被檢測出之缺陷。這些內部缺陷可能影響流通於導電線路之導電性。舉例來說,例如是「凹處(pit)」或「氧化物橋接線(oxide bridge)」之內部缺陷會嚴重地限制一半導體裝置或一半導體基板之效能。「凹處」於本文中代表於一金屬製程中形成於一導電線路內之間隙(gap)或空隙(void)或裂縫(break)。「氧化物橋接線」意指形成於半導體至導電線路間的橋接線。舉例來說,一氧化物橋接線可以通過導電線路連接介電層及墮性層來形成。因此氧化物橋接線在使用傳統表面檢測技術時可能不會被檢測出來。
本發明之一方面也包括形成於半導體裝置或半導體基板上之線路圖案。於特殊實施例中,新穎之線路設計(line design)或圖案是形成於半導體基板或裝置之表面上。新穎之線路圖案可以有助於使用在不同內部連接結構及導電圖案之構造,例如是金屬線路、鑲嵌結構(damascene structures)、雙鑲嵌結構(dual damascene structures)、金屬栓塞(metal plug)、配線(wiring)、線路(circuit)及類似之圖案。本領域中具有通常知識者可以理解本發明之圖案可以利用例如是一金屬蝕刻製程(也就是沉積金屬之光微影,並接續著蝕刻該金屬)或一鑲嵌製程(damascene process)所形成。於本發明一些實施例中,可以使用修正的鑲嵌製程,例如是一雙鑲嵌製程。
第1A及2A圖繪示根據本發明之一實施例中製造一半導體裝置之剖面示意圖。第1B及2B圖分別繪示於第1A及2A圖中沿著B-B’剖面線之剖面示意圖。參照1A至2B圖,提供一基板1,一介電層2形成於基板1上,至少一溝槽形成於基板1上。根據第1B圖,測試圖案(test pattern)藉由一測試圖案邊界線(test pattern boundary)10所定義。於介電層2內之溝槽包括複數個連續地相互平行之線路溝槽3及一互連溝槽5,其中互連溝槽5連接於複數個線路溝槽3。根據本發明所述之實施例,互連溝槽5係垂直且靠近於複數個線路溝槽3之末端(terminus)。
參照2A至2B圖,根據本發明之一實施例,互連溝槽5及複數個線路溝槽3皆填充一導電材料,以形成一導電線路4及一導電性互連結構9。導電線路4及導電性互連結構9用以形成本發明之一實施例的測試圖案100。導電材料可以包括一導電金屬、導電合金、金屬結合物或金屬合金。於本發明之部分實施例中,銅可以用做為導電金屬。
根據本發明之一方面,本發明之複數個導電線路被暴露於一反應刺激(responsive stimuli)。於本發明之另一實施例中,半導體裝置、半導體基板(也就是半導體晶圓)可以被暴露於反應刺激物。反應刺激可以包括任何於所屬技術領域中所熟知之刺激,刺激可以自導電線路圖案誘發一反應。於本發明之一些實施例中,反應刺激包括一光線(irradiation)、一傳導(conductance)、一核磁共振(magnetic resonance)、一聲刺激(acoustical stimulation)及一電刺激(electrical stimulation)之至少其中之一。
於本發明之一實施例中,複數個導電線路圖案係以一電子束輻射(electron beam radiation)來照射。於本發明之一些實施例中,半導體裝置及/或基板係以一電子束輻射來照射。
進一步依據本發明之實施例,可以使用一表面掃描技術(surface scanning technique)。舉例來說,處於被偵測之物件或物體的表面可以被一電子束輻射所照射,且影像資料可以自物件表面射出之二次電子的數量來獲得。自物件表面射出之二次電子的數量可根據樣品特性而變化。形成於物件之表面上之一圖案或設計(例如是一半導體基板)可基於本發明所提供之影像資料於一高生產方式(throughput fashion)中進行偵測。
一掃瞄式電子顯微鏡(Scanning Electron Microscopy,SEM)可以被用於本發明之部分實施例中。因此,一電子束可以集中於一物件上並照射一電子束,物件例如是一半導體裝置。半導體裝置(例如是一矽晶片)可以被放置於一台階(stage)上,且台階往電子束掃描方向之一垂直方向移動。使用集中電子束之光線可能引發二次電子自半導體裝置中被射出。二次電子可以使用一檢測器(閃爍器加光電倍增管)或一半導體類型之檢測器(PIN二極管類型檢測器)來檢測。可以結合電子束照射位置之座標及二次電子之數量(信號強度)以產生一影像。所收集之影像資料可以儲存於儲存單元內。選擇性地,影像資料可以被輸出至一陰極射線管。從而所獲得的影像可以顯示於半導體裝置中之缺陷。特別地是,本發明之方法可以顯示無論於傳導線路圖案上或傳導線路圖案內部之任何缺陷。
於本發明之另一特殊實施例中,可以使用以下之電 子束照射條件(condition)。因此,電子束欄位條件包括界於約200至約2500伏特(volts)之一著陸能量(landing energy)、從約0至約3000單位之一第5號選用物(extracting)、從約0至約90安培(Amperes)之一電流,及約0至約30單位之一孔洞(aperture)。此範例同時掃描於X及Y方向中。根據本發明之一實施例,一半導體裝置之任何一陣列(array)及一周圍(periphery)或其結合可以使用電子束照射器或照射裝置來照射以檢測缺陷。
第3A及3B圖繪示用以檢測於半導體裝置中一或多個缺陷之第2B圖新穎的測試圖案100的應用例。於本發明之一示範實施例中,第3A圖繪示可能形成於一半導體裝置之複數個導電線路4之一線路上的缺陷6。舉例來說,但不因而受限,於一金屬沉積製程中由於不正確地製作方法可能形成此種缺陷6。第3B圖繪示根據本發明的一實施例中藉由一電子束輻射產生一影像於第3A圖之半導體裝置上。
第3A圖所述之實施例也繪示一缺陷導電線路圖案設計包括一損壞線路7及缺陷6,且此外缺陷6包括凹處或甚至可能是氧化物橋接線。以電子束輻射照射之複數個導電線路4之結果顯示於第3B圖中之影像。自電子束設備射出之電子累積於非缺陷線路。可以於任何一導電線路中被累積之電子的程度是直接相關於導電線路之連續表面面積。由於負電子的累積,許多二次電子自非缺陷線路之表面被射出,導致一較亮或較白的影像。另一方面,少數的電子累積於包含凹處/氧化物橋接線或損壞線路之缺陷線路中。因此,少數二次電子自表面射出,導致陰暗的影像。因而產生之影像顯示出缺陷線路圖案之存在(presence)或 缺乏(absence)。
根據第3A圖所述之實施例,損壞線路7具有一較小連續範圍以累積電子束之電子;因此,損壞線路7之影像於第3B圖之片段(segment)17中是最黑的。另一方面,凹處或氧化物橋接線缺陷6會妨礙電子通過此缺陷所位於之金屬線路4;因此,於第3B圖中之片段陰影16可界於不具有任何缺陷之一線路陰影及代表損壞線路7之片段17的陰影間。
如繪示於第3B圖之所述實施例中,導電線路沉積品質可以被監控以用來辨識(identify)形成於一或多個導電線路中之任何損壞線路及/或缺陷。再者,由於相互連接所有導電線路之導電互連結構,一正常線路之連續區域可以被放大。因此,影像的對比可以更高且使得影像偵測更容易辨識。
本發明之一實施例係為製造具有線路圖案設計之半導體裝置影像。根據本發明之一實施例,根據進一步描述於本文之方法可以主要是進一步測試製造一半導體裝置之方法。製造一半導體裝置之方法包括以下步驟。提供一基板。形成複數個溝槽(trenches)於介電層內。形成至少一互連溝槽於介電層內以互連複數個溝槽(trenches)。沉積一導電材料於複數個溝槽(trenches)及至少一互連溝槽中。
第4A圖繪示可以使用於本發明部分實施例之一電子束設備。舉例來說,第4A圖之電子束設備200可以更包括例如是氧化鋯(zirconium oxide)/鎢陰極(tungsten cathode)之陰極210及一電容器透鏡(condenser lens)220。陰極210用以產生一電子束。電容器透鏡220用以集中通過欄位其餘部分之電子 束或主要光束(primary beam)230之陰影。一光束熄滅裝置(A beam blanker)240可以用以打開或關掉光束。一散光像差補償器(stigmator)250可以用以改善電子束之對稱性(symmetry)。一孔洞(aperture)260可以用以限制電子束的大小(size)。一透鏡270(例如是一有磁性透鏡)可以用以集中光束及改善光束的陰影。一反光鏡280可以被設置來用以隔絕電子束之路徑。特別地,一透鏡內反光鏡(in-lens deflector)290可以反射電子束以產生二次及背向散射電子(back-scattered electrons)300。反光鏡280可以進一步包含透鏡內反光鏡(in-lens deflector)290。舉例來說,一水平面310可以用以控制所需之光束強度。
相似於第4A圖中所繪示之一電子束設備可以使用於探測於半導體裝置中之缺陷。當然,此一半導體裝置具有一測試圖案,例如是本發明之一測試圖案。半導體裝置可通常包括一基板、設置於基板之上之一介電層、複數個導電圖案及至少一互連線路圖案。複數個導電圖案設置於介電層內,至少一互連線路圖案設置以連接複數個線路圖案。任何照射裝置可以用於本發明測試過程中。然而,根據本發明之部分實施例,例如照射裝置係為相似於如繪示於第4A圖中所之一電子束設備。一接收裝置被設置以用來接收自照射裝置之資料。於本發明之部分實施例中,一影像裝置被用以顯示一影像,影像之目的係用以探測一表面缺陷、一內部缺陷及其任何組合之其中之任一。
第4B圖繪示所新穎之測試圖案設計之一實施例,及如何自導電線路圖案之表面射出第二電子以產生一影像。舉例來說,一電子束藉由相似於繪示於第4A圖中所之一設備所產生。 一電子束可以視為例如是相似於第2B圖中所繪示本發明之一圖案化影像。影像化圖案可以辨識於第4B圖中被「G」410所指出之實質上無缺陷之導電線路。再者,於本文更進一步描述結合第3A及3B圖,具有一或多個缺陷之導點線路將會藉由減少電子或毫無電子之片段被標示出來,且如同一陰暗片段420被繪示出來。
更進一步繪示於第4B圖,根據本發明之一實施例,一外部電場生成器(electrical field generator)係位於靠近一測試圖案400之處且一外部電場430可以應用於測試圖案400。在不受理論之拘束下,外部電場430允許更多電子累積於測試圖案400之表面440上。這樣電子的累積可以導致測試圖案之影像對比的增強。根據本發明繪示之實施例,外部電場生成器位於測試圖案400之上方且正電荷450被指向測試圖案400以提供於測試圖案400上之一正外部電場430。由於正外部電場430的緣故,於測試圖案400之電子被誘導(attracted)至表面440頂部上方以使第二電子之影像對比變高。
本發明之另一實施例中,外部電場生成器可以位於測試圖案之一相對側。且外部電場被提供用以強化測試圖案中之電子往測試圖案相對側之表面移動。
第5圖繪示一正極模式及一負極模式電子束輻射之一能量示意圖。一正極模式電子束輻射提供一正電荷表面至半導體裝置以接受分析。一正極模式分析與負極模式分析可以藉由合適的選擇電子束之一能量來加以呈現。舉例來說,當電子束之一能量係介於第一能量E1及第二能量E2間(如第5圖中所繪示),呈現一正極模式分析。於本發明之部分實施例中,例如當導電金 屬係為銅或銅合金時,一第一能量可以約為200伏特(volts)及第二能量可以約為1500伏特(volts)。
當電子束能量超過第二能量E2時,藉由提供一負電荷表面至半導體裝置接受分析來執行一負向模式電子束輻射。更進一步呈現於此,使用負向模式電子束輻射獲得之一影像的明亮片段及陰暗片段將被反向地比對至使用正極模式電子束輻射獲得之一影像的對應片段。
半導體製造方法需要快且經濟效益之缺陷檢測技術。此外,此方法還必須是可靠的。有益地,本發明之缺陷偵測方法可以適用於執行半導體裝置之線上(in-line)、連續分析。因此,此一方法涉及載入(loading)半導體裝置至一監測設備中。半導體裝置更包括藉由至少一互連線路圖案來連結之複數個圖案。於本發明之一實施例中,一電子束偵測設備可以被用做為於一線上、連續方法之一監測設備。半導體裝置可以被掃描,舉例來說,使用一反應刺激物例如是一電子束輻射。在電子束輻射之情況下,對應於電子束輻射之影像資料可以被收集及處理來獲得半導體基板之影像。此影像可以指出於偵測半導體裝置下缺陷之存在及/或缺乏。於一連續方法中數批半導體可被送入一監測設備內,影像資料可以被收集及處理以轉變成指出缺陷之存在或缺陷之缺乏的影像。
本發明之一些方面包括一半導體偵測之方法,半導體偵測之方法包括提供具有設置於基板上之複數個線路圖案,其中複數個線路圖案藉由至少一互連線路圖案來連結。暴露複數個線路圖案至一反應刺激。測量複數個線路圖案至反應刺激之一反 應,其中複數個線路圖案之反應係指一表面缺陷、一內部缺陷或其組合之存在或缺乏之任一。
本發明之線路圖案可以是直線路、彎曲線路或可呈現為幾何形狀,例如是彎曲形、半圓形、圓形、正方型、三角形等。
「表面缺陷」意指任何於一通常半導體製造過程中發生之一般缺陷。舉例來說,傳導線路圖案之表面可以是不平坦的(uneven)、受汙染的(contaminated)、有缺口(chipped)的或不完整的(fragmented)。表面缺陷可能會或可能不會被肉眼所看見。
於本發明之一些方面中,複數個線路圖案可以藉由至少一互連線路圖案所連結。互連線路圖案相互連結複數個線路圖案及形成一單一線路圖案或設計。於本發明之特別一實施例中,複數個線路圖案係為相互平行。於本發明之部分實施例中,至少一互連線路圖案可以垂直於複數個線路圖案。於本發明之部分實施例中,至少一互連線路圖案可以靠近於複數個線路圖案之一末端(terminus)。「相互連接」意指連接複數個線路圖案及形成單一線路設計圖案。
於本發明之一些實施例中,複數個線路圖案可以暴露於反應刺激。一「反應刺激物」及/或「反應刺激」可以是任何能夠至複數個線路圖案引起一反應之刺激物或刺激。於本發明之一些實施例中,一半導體可以包括暴露於反應刺激之複數個線路圖案,一半導體例如是一半導體裝置或一半導體基板。於本發明之部分實施例中,反應刺激包括至少一光線(irradiation)、一傳 導(conductance)、一磁性共振(magnetic resonance)、一聲學刺激(acoustical stimulation)及一電刺激(electrical stimulation)。於一些特殊實施例中,暴露複數個線路圖案於反應刺激包括以一電子束輻射照射複數個線路圖案。於本發明之部分實施例中,方法更包括自電子束輻射收集影像資料,及產生至少一或多個影像,影像顯示表面缺陷、內部缺陷及其任何組合之存在或缺乏之任一。
本發明之一方面,複數個線路圖案可以包括於半導體裝置表面上之複數個溝槽及設置於複數個溝槽中之至少一導電金屬。於文中,「溝槽」或「凹處」代表相同意思且可相互替換於文中。溝槽或凹處可以藉由任何本領域中所習知之蝕刻技術形成於半導體裝置之表面上。
於本發明之一些實施例中,電子束可藉由電子束偵測工具(electron beam inspection tool)來提供。接著根據這些實施例,電子束偵測工具可以是一跳躍性電子束偵測工具或連續性電子束偵測工具。根據本發明之一實施例,跳躍性偵測方法之步驟繪示於第6圖中。提供第6A圖中之一半導體裝置。第6B圖繪示一缺陷晶粒之一圖示,而第6C圖繪示實質上無缺陷之參考晶粒之一圖示。第6D圖繪示存在有一缺陷的缺陷晶粒之一範圍。第6E圖係為參考晶粒之一對應範圍。第6D及6E圖之影像被用以比較來辨識有缺陷晶片之缺陷。
根據本發明之一實施例,連續性掃描方法之步驟繪示於第7圖中。提供相似於第7A圖中繪示之一半導體裝置。一連續掃描方法可包括掃描繪示於第7B圖中具有一缺陷之一缺陷 晶粒的一導電帶(conductive strip)之全部長度。導電帶之全部長度的影像繪示於第7D圖中。具有缺陷之部分缺陷晶粒的掃描影像被用以比較於一參考晶粒之一對應部分,一參考晶粒之對應部分分別繪示於第7C及第7E圖中。缺陷晶片所掃描部分與參考晶粒所掃描部分之比較用以辨識缺陷。
於本發明之其他實施例中,使用正極模式電子束輻射及負極模式電子束輻射之影像可以用以辨識於一半導體裝置中之缺陷。
本發明之另一方面提供一半導體裝置,一半導體裝置包括一基板、一介電層、複數個導電線路圖案及至少一互連線路圖案。複數個導電線路圖案於介電層內,至少一互連導電線路圖案用以連接複數個線路圖案。一般來說,一「基板」係為典型半導體製造或於半導體技術中之一半導體基板。一些情況中,一基板係為一半導體晶圓。於一些實施例中,基板係為一矽晶圓。一些情況中,介電層形成基板上。於某些實施例中,複數個導電線路圖案係為平行。於一些實施例中,至少一互連線路圖案靠近於導電線路之一末端並垂直於複數個導電線路。於一些實施例中,介電層包括一氧化矽(silicon oxide)。於一些實施例中,複數個導電線圖案包括銅。
本發明之一些實施例係指製造一半導體裝置之一方法,製造一半導體裝置之方法包括以下步驟。提供一基板。形成至少一介電層於基板上。蝕刻複數個溝槽於介電層內。蝕刻至少一互連溝槽於介電層內以相互連接複數個溝槽。沉積一導電材料於複數個溝槽及至少一互連溝槽內。於本發明之部分實施例中, 複數個溝槽之各溝槽被相互平行的沉積。於本發明之一些實施例中,至少一互連溝槽垂直設置於複數個溝槽。於本發明之實施例中,至少一互連溝槽係靠近於複數個溝槽之一末端。於本發明之一些實施例中,導電材料係選自於由鋁、銅、鎢、金、任何其合金,及任何其結合物所組成之群組。
本發明之一些實施例包括偵測一半導體裝置之一方法,偵測一半導體裝置之方法包括以下步驟。提供一半導體裝置,一半導體裝置具有一基板、一介電層、複數個導電線路圖案、及至少一互連導電線路圖案,複數個導電線路圖案設置於介電層內,互連導電線路圖案用以連接複數個導電線路圖案。以一電子束輻射照射半導體裝置。自光線中接收影像資料結果。自影像資料產生半導體裝置之一影像,其中影像辨識缺陷之存在或缺乏之任一。於本發明之部分實施例中,方法可以是一線上、連續之方法。本發明之一些實施例係指用以檢測一半導體裝置之一內部缺陷及一表面缺陷之至少其中之一之設備、方法及/或系統,用以檢測一半導體裝置之至少一內部缺陷及一表面缺陷之設備、方法及/或系統包括以下步驟。提供一半導體裝置,半導體裝置具有一基板、一介電層、複數個導電線路圖案,及至少一互連線路圖案。複數個導電線路圖案設置於介電層內,至少一互連導電線路圖案用以連接複數個線路圖案。以一電子束輻射照射半導體裝置。自光線中接收影像資料結果及自影像資料產生半導體裝置之一影像,其中影像辨識任何一缺陷之存在或缺乏之任一。
本發明之一些實施例包括用以偵測於一半導體裝置中任何一缺陷之一系統。於此特殊實施例中,此一系統包括具有 一測試圖案之一半導體裝置。半導體裝置包括一基板、設置於基板之上之一介電層、複數個導電線路圖案及至少一互連線路圖案。複數個導電線路圖案設置於介電層內,至少一互連導電線路圖案用以連接複數個線路圖案。此一系統也包括一輻射裝置(irradiating device)、一接收裝置及一影像裝置。輻射裝置用以提供能量給測試圖案,接收裝置用以接收來自輻射的資料結果,及一影像裝置用以顯示於半導體裝置中之任何一缺陷之一影像。
在上述說明及相關圖式所提出的教導之受益下,熟習本項技藝者將理解到於此所提出之本發明之多數修改及其他實施例。因此,吾人應理解到本發明並非受限於所揭露的特定實施例,且修改及其他實施例係意圖包括在以下申請專利範圍之範疇之內。此外,雖然上述說明及相關圖式在元件及/或功能之某些例示組合來說明了例示實施例,但吾人應意識到元件及/或功能之不同組合可能在不背離以下申請專利範圍之範疇之下由替代實施例所提供。在這點上,舉例而言,不同於上述詳細地那些之元件及/或功能之組合亦被考慮成可能在以下某些申請專利範圍中被提出。雖然於此採用特定之用語,但它們係以普通的及描述性的意義被使用,而不是只為了限制之目的。
綜上所述,雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明。本發明所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾。因此,本發明之保護範圍當視後附之申請專利範圍所界定者為準。
4‧‧‧導電線路
6‧‧‧缺陷
7‧‧‧損壞線路
9‧‧‧導電性互連結構

Claims (22)

  1. 一種偵測一半導體裝置之方法,包括:提供具有複數個線路圖案之該半導體裝置,該些線路圖案設置於一基板上,該些線路圖案係藉由一互連線路圖案連接;暴露該些線路圖案至一反應刺激;以及測量該些線路圖案至該反應刺激之一反應,其中該些線路圖案之該反應指出一表面缺陷、一內部缺陷及其任何組合。
  2. 如申請專利範圍第1項所述之方法,其中該些線路圖案互相平行。
  3. 如申請專利範圍第2項所述之方法,其中該互連線路圖案垂直於該些線路圖案。
  4. 如申請專利範圍第3項所述之方法,其中該互連線路圖案係接近於該些線路圖案之一末端(terminus)。
  5. 如申請專利範圍第1項所述之方法,其中該反應刺激包括光線(irradiation)、一傳導(conductance)、一磁性共振(magnetic resonance)、一聲學刺激(acoustical stimulation)及一電刺激(electrical stimulation)之至少其中之一。
  6. 如申請專利範圍第1項所述之方法,其中暴露該些線路圖案至該反應刺激,包括以一電子束輻射照射該些線路圖案以提供 能量至該些線路圖案。
  7. 如申請專利範圍第6項所述之方法,更包括:收集自該電子束輻射之影像資料,以及產生至少一或多個影像資料,該或該些影像資料顯示該表面缺陷、該內部缺陷,及任何其結合缺陷。
  8. 如申請專利範圍第1項所述之方法,其中該些線路圖案包括複數個溝槽及至少一導電金屬,該些溝槽位於該半導體裝置之該表面上,該至少一導電金屬設置於該些溝槽內。
  9. 如申請專利範圍第6項所述之方法,其中該電子束輻射係藉由一電子束偵測工具所提供,該電子束偵測工具係為一跳躍性電子束偵測工具(leap electron beam inspection tool)或一連續性電子束偵測工具(continuous electron beam inspection tool)。
  10. 如申請專利範圍第1項所述之方法,另外包括暴露該半導體裝置至一外部電場。
  11. 一種半導體裝置,包括:一基板;一介電層,設置於該基板上;複數個導電線路圖案,設置於該介電層內;以及至少一互連線路圖案,用以連接該些導電線路圖案。
  12. 如申請專利範圍第11項所述之半導體裝置,其中該些線路圖案相互平行。
  13. 如申請專利範圍第12項所述之半導體裝置,其中該至少一互連線路圖案係接近於該些導電線路圖案之一末端(terminus)且垂直於該些導電線路圖案。
  14. 如申請專利範圍第11項所述之半導體裝置,其中該介電層包括一氧化矽(silicon oxide)及一氮化矽(silicon nitride)之至少其中之一。
  15. 如申請專利範圍第11項所述之半導體裝置,其中該些導電線路圖案包括銅。
  16. 一種製造一半導體裝置之方法,包括:提供一基板;形成一介電層於該基板上;形成複數個溝槽於該介電層內;形成至少一互連溝槽於該介電層內以相互連接該些溝槽;以及沉積一導電材料於該些溝槽及該至少一互連溝槽。
  17. 如申請專利範圍第16項所述之方法,其中該些溝槽係相 互平行設置。
  18. 如申請專利範圍第16項所述之方法,其中該至少一互連溝槽係垂直於該些溝槽。
  19. 如申請專利範圍第18項所述之方法,其中該至少一互連溝槽係靠近於該些溝槽之一末端。
  20. 如申請專利範圍第16項所述之方法,其中該導電材料係選自於由鋁(aluminum)、銅(copper)、鎢(tungsten)、金(gold)、任何其合金,及任何其結合物所組成之群組。
  21. 一種用以偵測於一半導體裝置內之一缺陷之系統,包括:具有一測試圖案之該半導體裝置,該半導體裝置包括:一基板;一介電層,設置於該基板之上;複數個導電線路圖案,設置於該介電層內;以及至少一互連線路圖案,用以連接該些導電線路圖案;一輻射裝置(irradiating device),用以提供能量至該測試圖案;一接收裝置,用以接收來自該輻射裝置之資料;一影像裝置,用以顯示於該半導體裝置中之一表面缺陷、一內部缺陷及其任何組合之其中之任一的一影像。
  22. 如申請專利範圍第21項所述之系統,更包括一外部電場產生器,位於靠近該基板。
TW102106043A 2013-02-21 2013-02-21 半導體裝置之檢測圖案設計及其利用方法 TWI480543B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
TW102106043A TWI480543B (zh) 2013-02-21 2013-02-21 半導體裝置之檢測圖案設計及其利用方法
CN201410059737.0A CN104008984A (zh) 2013-02-21 2014-02-21 侦测半导体装置的方法及系统、半导体装置及其制造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW102106043A TWI480543B (zh) 2013-02-21 2013-02-21 半導體裝置之檢測圖案設計及其利用方法

Publications (2)

Publication Number Publication Date
TW201433789A true TW201433789A (zh) 2014-09-01
TWI480543B TWI480543B (zh) 2015-04-11

Family

ID=51369595

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102106043A TWI480543B (zh) 2013-02-21 2013-02-21 半導體裝置之檢測圖案設計及其利用方法

Country Status (2)

Country Link
CN (1) CN104008984A (zh)
TW (1) TWI480543B (zh)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006222317A (ja) * 2005-02-10 2006-08-24 Consortium For Advanced Semiconductor Materials & Related Technologies 損傷検出装置、電子素子、及び素子集合体
JP5155602B2 (ja) * 2007-06-20 2013-03-06 浜松ホトニクス株式会社 半導体不良解析装置、不良解析方法、及び不良解析プログラム
CN101458442B (zh) * 2007-12-13 2011-05-11 中芯国际集成电路制造(上海)有限公司 布局、光掩模版的制作及图形化方法

Also Published As

Publication number Publication date
CN104008984A (zh) 2014-08-27
TWI480543B (zh) 2015-04-11

Similar Documents

Publication Publication Date Title
US9116109B2 (en) Method and apparatus for detecting buried defects
JP4601295B2 (ja) コンタクト開口の製造を監視して検査する方法
Jau et al. A Novel Method for In-line Process Monitoring by Measuring the Gray Level Values of SEM Images
TWI707418B (zh) 用於利用雷射增強電子穿隧效應檢測深度特徵中的缺陷的方法
JP2007500954A (ja) 高電流電子ビーム検査
US20020134936A1 (en) Wafer inspection system and wafer inspection process using charged particle beam
JP2007281136A (ja) 半導体基板および基板検査方法
US20140253137A1 (en) Test pattern design for semiconductor devices and method of utilizing thereof
JP2009186319A (ja) 欠陥検査方法および欠陥検査装置
JP4695909B2 (ja) 半導体集積回路装置の製造方法
US20210109034A1 (en) Method for detecting defects in deep features
US6645781B1 (en) Method to determine a complete etch in integrated devices
JP2007040780A (ja) 半導体検査方法及び半導体検査装置
CN109216220B (zh) 半导体器件的缺陷结构定位方法
JPH11214461A (ja) 半導体装置のホール開口検査方法とそのための装置
JP4728361B2 (ja) 荷電粒子線を用いた基板検査装置および基板検査方法
TWI480543B (zh) 半導體裝置之檢測圖案設計及其利用方法
US20070196935A1 (en) Prediction of ESL/ILD remaining thickness
TWI223370B (en) Method of piping defect detection
US7132354B2 (en) Inspection methods for a semiconductor device
JP4147233B2 (ja) 電子線装置
CN108172526B (zh) 一种检测多晶硅是否出现短路的检测方法
US6774648B1 (en) Apparatus and methods for optically detecting defects in voltage contrast test structures
Liu et al. Leakage monitoring and control with an advanced e-beam inspection system
Hafer et al. In-Line Detection of Deep Trench Moat Underetch Defects Using eBeam Inspection