TW201405707A - 利用成核抑制之鎢特徵部塡充 - Google Patents

利用成核抑制之鎢特徵部塡充 Download PDF

Info

Publication number
TW201405707A
TW201405707A TW102110937A TW102110937A TW201405707A TW 201405707 A TW201405707 A TW 201405707A TW 102110937 A TW102110937 A TW 102110937A TW 102110937 A TW102110937 A TW 102110937A TW 201405707 A TW201405707 A TW 201405707A
Authority
TW
Taiwan
Prior art keywords
feature
tungsten
selectively
plasma
substrate
Prior art date
Application number
TW102110937A
Other languages
English (en)
Other versions
TWI609455B (zh
Inventor
Anand Chandrashekar
Esther Jeng
Raashina Humayun
Michal Danek
Ju-Wen Gao
de-qi Wang
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/774,350 external-priority patent/US10256142B2/en
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201405707A publication Critical patent/TW201405707A/zh
Application granted granted Critical
Publication of TWI609455B publication Critical patent/TWI609455B/zh

Links

Classifications

    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76874Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroless plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/488Word lines
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明描述用鎢填充特徵部之方法,以及相關之系統和設備,涉及鎢成核之抑制。在一些實施例中,該等方法涉及沿著特徵部輪廓進行選擇性抑制。選擇性地抑制鎢成核之方法可包含使該特徵部暴露於直接或遠端電漿。在某些實施例中,在選擇性抑制期間可施加偏壓至該基板。包括偏壓功率、暴露時間、電漿功率、處理壓力和電漿化學品之處理參數可用以調諧抑制輪廓。本文描述之方法可用以填充垂直特徵部,如鎢介層窗,以及水平特徵部,如垂直NAND(VNAND)之字元線。此等方法可用於保形填充和由下而上/由內而外之填充兩者。應用之範例包括邏輯和記憶體接觸窗填充、DRAM埋入式字元線填充、垂直整合式記憶體閘極/字元線填充,以及具有穿透矽通孔之3-D整合。

Description

利用成核抑制之鎢特徵部填充
本發明關於一種利用成核抑制之鎢特徵部填充之方法。
【優先權主張】
本申請案主張於2012年3月27日提出申請的美國臨時專利案第61/616,377號、於2012年12月14日提出申請的美國臨時專利案第61/737,419號、和於2012年2月22日提出申請的美國專利申請案第13/774,350號之優先權,其係全數併入於此作為參考。
使用化學氣相沉積(CVD)技術進行含鎢材料之沉積係為許多半導體製造程序中不可或缺之部分。這些材料可用於水平內連線、鄰近金屬層間之介層窗、第一金屬層與矽基板上之元件之間的接觸窗,以及高深寬比特徵部。在習知的沉積處理中,基板係於沉積腔室中加熱至預定之處理溫度,且沉積作為種子層或成核層之含鎢材料的薄層。此後,其餘的含鎢材料(主體層)係沉積於該成核層上。按照慣例,含鎢材料係藉由六氟化鎢(WF6)與氫氣(H2)之還原反應而形成。含鎢材料係沉積於包含數個特徵部以及一場區之基板的整個暴露表面區域上。
將含鎢材料沉積進入小且特別具有高深寬比的特徵部可導致接縫及空隙形成於所填充之特徵部內。大接縫可導致所填充材料之高阻抗、污染、損耗,且另外使積體電路的效能降級。舉例而言,接縫可在填充處理後延伸接近場區,並接著在化學機械平坦化期間打開。
本發明所描述之一實施態樣係為一種包含以下之方法:提供包含一特徵部之基板,該特徵部具有一或更多特徵部開口及一特徵部內部;選擇性地抑制於特徵部中之鎢成核,俾使沿著一特徵部軸有差別抑制輪廓;以及根據該差別抑制輪廓選擇性地沉積鎢於特徵部中。選擇性地抑制於特徵部中之鎢成核的方法包括將特徵部暴露於直接電漿或遠端電漿。在某些實施例中,在選擇性抑制期間可施加偏壓於基板。包括偏壓功率、暴露時間、電漿功率、處理壓力和電漿化學品等處理參數可用以調諧該抑制輪廓。根據各種實施例,電漿可包含活化物種,該等活化物種與特徵部表面之一部份產生交互作用,以抑制後續的鎢成核。活化物種之範例包括氮、氫、氧、和碳活化物種。在一些實施例中,電漿係以氮為基礎及/或以氫為基礎。
在一些實施例中,鎢層係沉積於特徵部中,接著進行鎢成核之任何選擇性抑制。在其它實施例中,選擇性抑制係於任何將鎢沉積於特徵部中之前執行。鎢層若沉積,在一些實施例中,可藉由例如脈衝成核層(PNL)或原子層沉積(ALD)處理保形地沉積。選擇性的將鎢沉積於特徵部中係可藉由化學氣相沉積(CVD)處理進行。
在選擇性地將鎢沉積於特徵部中以後,可將鎢沉積於該特徵部中以完成特徵部填充。根據各種實施例,此可涉及非選擇性沉積於特徵部中或選擇性抑制及選擇性沉積之一或更多額外的循環。在一些實施例中,由選擇性過渡至非選擇性沉積涉及允許CVD處理在沒有沉積中間之鎢成核層的情況下繼續。在一些實施例中,鎢成核層可沉積於該選擇性沉積之鎢上,例如,藉由PNL或ALD處理,接著進行非選擇性沉積於特徵部中。
根據各種實施例,選擇性地抑制鎢成核可涉及處理鎢(W)之表面、或障蔽層或襯墊層,諸如氮化鎢(WN)或氮化鈦(TiN)層。選擇性抑制可在同時或不同時蝕刻特徵部中之材料的情況下進行。根據各種實施例,特徵部中之至少一收縮部係受到選擇性地抑制。
本發明之另一實施態樣關於一種方法,其包含將特徵部暴露於原位電漿,以選擇性地抑制該特徵部之一部分。根據各種實施例,電漿可以氮為基礎、以氫為基礎、以氧為基礎、或以烴為基礎。在一些實施例中,電漿可包含含氮、含氫、含氧、或含烴氣體之兩種或兩種以上之混合 物。例如,未填充或部分填充之特徵部可暴露於直接電漿,以從而選擇性地抑制特徵部的一部分之鎢成核,俾使特徵部中有差別抑制輪廓。在一些實施例中,在選擇性抑制特徵部的一部分後進行CVD操作,以從而根據該差別抑制輪廓選擇性地沉積鎢。
本發明之另一實施態樣關於用以使用選擇性抑制進行特徵部填充的單一及多腔室設備。在一些實施例中,設備包含一或更多用以支撐基板之腔室;用以在一或更多腔室中產生電漿之原位電漿產生器;用以引導氣體至該一或更多腔室之每一者的進氣口;以及具有程式指令之控制器,用以產生諸如以氮為基礎及/或以氫為基礎電漿之一電漿,同時施加偏壓功率至該基板,俾使該基板係暴露於該電漿,使該基板暴露於該電漿後,通入含鎢之前驅體和還原劑至設置基板之腔室,以沉積鎢。
本發明之此等及其它實施態樣係進一步於下描述。
101‧‧‧垂直特徵部
103‧‧‧基板
105‧‧‧特徵部孔
109‧‧‧收縮部
112‧‧‧收縮部
113‧‧‧下層
115‧‧‧突出部
118‧‧‧軸
125‧‧‧支柱
127‧‧‧區域
148‧‧‧VNAND結構
150‧‧‧字元線
151‧‧‧收縮部
201‧‧‧步驟
203‧‧‧步驟
205‧‧‧步驟
301‧‧‧步驟
401‧‧‧步驟
500‧‧‧結構
502‧‧‧襯墊層
504‧‧‧成核層
506‧‧‧部份
508‧‧‧部份
510‧‧‧主體鎢
653‧‧‧鎢層
655‧‧‧抑制部
657‧‧‧接縫
700‧‧‧特徵部
753‧‧‧層
755‧‧‧抑制部
757‧‧‧主體膜
800‧‧‧設備
802‧‧‧來源
806‧‧‧遠端電漿產生器
808‧‧‧連接線
814‧‧‧噴淋頭
816‧‧‧電漿產生器
818‧‧‧腔室
820‧‧‧基座
822‧‧‧系統控制器
824‧‧‧感應器
826‧‧‧真空出口
900‧‧‧設備
901‧‧‧處理腔室
903‧‧‧卡匣
905‧‧‧負載鎖室
907‧‧‧外部機械臂
909‧‧‧機械裝置
911‧‧‧站
912‧‧‧站
913‧‧‧站
914‧‧‧站
915‧‧‧站
916‧‧‧站
920‧‧‧設備
921‧‧‧腔室
923‧‧‧腔室
925‧‧‧腔室
927‧‧‧機械臂
929‧‧‧卡匣
圖1A-1G顯示可根據本發明所描述之處理加以填充之各種結構的範例。
圖2-4為處理流程圖,說明利用鎢填充特徵部之方法中的某些操作。
圖5-7為顯示特徵部填充之不同階段的示意圖。
圖8-9B為示意圖,顯示用於實施本發明所述之方法的適合設備之範例。
在以下敘述中,提出許多具體細節以提供對本發明之深入了解。本發明可在缺少這些具體細節的部份或所有者的情況下實施。在其它情況下,已為人所熟知之程序步驟及/或結構將不再詳述,以免不必要地使本發明失焦。本發明將結合具體實施例進行說明,但吾人將可理解此並非意圖將本發明侷限於該等實施例。
本文描述以鎢填充特徵部之方法以及相關的系統和設備。應用之範例包括邏輯和記憶體接觸窗填充、DRAM埋入式字元線填充、垂直 整合式記憶體閘極/字元線填充、以及具有穿透矽通孔(TSVs)之3-D整合。本文描述之方法可用以填充垂直特徵部,如在鎢介層窗中者,以及水平特徵部,如垂直NAND(VNAND)之字元線。此等方法可用於保形填充以及由下而上或由內而外之填充兩者。
根據各種實施例,特徵部之特徵可為狹窄及/或凹陷開口、特徵部內之收縮部、及高深寬比之一或更多者。可填充之特徵部的範例係描繪於圖1A-1C。圖1A顯示待用鎢填充之垂直特徵部101之橫剖面圖的範例。該特徵部可包含基板103中之特徵部孔105。基板可為矽晶圓,例如200mm晶圓、300mm晶圓、450mm晶圓,包含具有諸如介電、導電或半導電材料之一或更多材料層沉積於其上之晶圓。在一些實施例中,特徵部孔105可具有至少約2:1、至少約4:1、至少約6:1或更高之深寬比。特徵部孔105之靠近開口之尺寸,例如開口直徑或線寬度,可介於約10nm至500nm之間,例如介於約25nm至300nm之間。特徵部孔105可稱為未填充特徵部或直接稱為特徵部。該特徵部及任何特徵部之部份特徵係為延伸穿過特徵部長度之軸118,而垂直定向之特徵部具有垂直軸且水平定向之特徵部具有水平軸。
圖1B顯示具有凹陷輪廓之特徵部101的範例。凹陷輪廓係為由特徵部之底部、封閉端、或內部變窄至特徵部開口之輪廓。根據各種實施例,輪廓可逐漸變窄及/或包含在特徵部開口處之突出部。圖1B顯示後者之範例,下層113做為特徵部孔105之側壁或內部表面的襯墊。舉例來說,下層113可為擴散障蔽層、粘接層、成核層、該等之組合、或任何其他適用的材料。下層113形成突出部115,俾使下層113在靠近特徵部101之開口的厚度比在特徵部101內部之厚度更厚。
在一些實施例中,可填充其內具有一或更多收縮部之複數特徵部。圖1C顯示具有收縮部之各種填充特徵部的範例之視圖。圖1C之範例(a)、(b)、和(c)之每一者包含收縮部109於該特徵部內之中間點。舉例來說,收縮部109可為介於約15nm-20nm寬。在使用習知技術將鎢沉積於特徵部中之期間,收縮部可造成夾止,而受沉積之鎢在特徵部之該部分被填充前,阻擋進一步之沉積通過收縮部,導致特徵部中出現空隙。範例(b)更包含:襯墊/障蔽突出部115於特徵部開口處。此突出部亦可為潛 在之夾止點。實施例(c)包含比範例(b)之突出部115更遠離場區之收縮部112。如以下之進一步描述,本文所描述之方法可實現圖1C所描繪之無空隙填充。
諸如3-D記憶體結構中之水平特徵部亦可進行填充。圖1D顯示VNAND結構148中之字元線150的範例,VNAND結構148包含收縮部151。在一些實施例中,收縮部可因VNAND或其他結構中存在的支柱所造成。例如,圖1E顯示VNAND結構中之支柱125的平面圖,而圖1F顯示支柱125之橫剖面之簡化示意圖。圖1E中之箭頭代表沉積材料,由於支柱125設置於區域127和進氣口或其他沉積源之間,相鄰的支柱可能導致收縮部之產生,造成區域127達成無空隙填充的困難。
圖1G顯示例如VNAND或包含支柱收縮部151之其他結構之水平特徵部的另一個視圖範例。圖1G之範例係為末端開放式,待沉積之材料能夠由箭頭所示之兩側以橫向進入。(應注意圖1G之範例可視為以2D加以描繪之3D結構特徵部,圖1G為待填充區域之橫剖面圖,且圖中所示之支柱收縮部代表平視圖而非剖視圖中可看到之收縮部)。在一些實施例中,3-D結構之特徵為待填充區域沿著三維(例如在圖1F之範例中之X、Y、和Z方向)延伸,且相較於填充沿著一維或二維延伸之孔或溝槽,此填充可帶來更多挑戰。例如,控制3-D結構之填充十分具有挑戰性,因為複數沉積氣體可從數個維度進入特徵部。
利用含鎢材料填充特徵部可能導致空隙和接縫形成於受填充之特徵部內。空隙係為特徵部中未填充之區域。例如,當受沉積材料於特徵部內形成一夾點,密封了特徵部內之未填充空間、防止反應物之進入及沉積時,會形成空隙。
形成空隙和接縫的可能原因很多。其中一個是在沉積含鎢材料期間形成於靠近特徵部開口之突出部,或更典型地,在沉積其他材料,諸如擴散障蔽層或成核層期間,形成於靠近特徵部開口之突出部。圖1B顯示一範例。
未顯示於圖1B、但可導致接縫形成或擴大接縫之另一個空隙或接縫形成原因,為特徵部孔之彎曲(或弓形)側壁,此等特徵部亦稱為弓形特徵部。在弓形特徵部中,靠近開口之孔洞的橫剖面尺寸小於該特 徵部內部之孔洞的橫剖面尺寸。於弓形特徵部中之此等狹窄開口的影響係有些類似於上述之突出部問題。如圖1C、1D、和1G所顯示之特徵部內的收縮部,亦使達成不具有或具有很少空隙和接縫之鎢填充顯得困難。
即使達成無空隙填充,特徵部中之鎢可能包含貫穿介層窗、溝槽、襯墊或其他特徵部的軸或中央之接縫。這是因為鎢可在側壁開始生長,並持續進行直到晶粒接觸到從相對之側壁所生長之鎢。此接縫可能捕捉雜質,包括例如氫氟酸(HF)之含氟化合物。在化學機械平坦化(CMP)期間,去核(coring)亦可能從接縫處產生。根據各種實施例,本文所描述之方法可減少或消除空隙和接縫之形成。本文所述之方法亦可解決下列一或更多者:
1)非常具有挑戰性之輪廓:運用如併入於此作為參考之美國專利申請案第13/351,970號所描述之沉積-蝕刻-沉積循環,可在大多數凹陷特徵部中達成無空隙填充。然而,根據尺寸及幾何形狀,可能需要進行數個沉積-蝕刻之循環以實現無空隙填充。此可能影響處理之穩定性及產量。本文描述之實施例可提供具有較少或不具有沉積-蝕刻-沉積循環之特徵部填充。
2)小特徵部及襯墊/障壁影響:當特徵部尺寸非常小時,在不影響底層之襯墊/障蔽之完整性的情況下調諧蝕刻處理可能十分困難。在某些情況下,間歇性的Ti攻擊可能發生於W之選擇性蝕刻期間,此可能是由在蝕刻期間形成之鈍化TiFx層所造成。
3)位於W晶粒邊界之散射:於特徵部內之數個W晶粒之存在可能因為晶粒邊界散射而導致電子損耗。因此,相較於理論上之預測值及無圖案晶圓之結果,裝置之實際性能將會退化。
4)用於W填充之介層窗體積減少:特別是在較小及較新之特徵部中,金屬接觸窗之頗大部分係由W障蔽(TiN、WN等)所使用。此等膜通常比W之電阻率高,且對於例如接觸窗電阻等之電性造成負面影響。
圖2-4提供了可解決上述問題之鎢特徵部填充的各種處理之概要,各種特徵部之鎢填充的範例係參照圖5-7加以描述。
圖2為一處理流程圖,顯示利用鎢填充特徵部之方法的某 些操作。該方法始於方塊201之選擇性抑制特徵部。選擇性抑制亦可稱為選擇性鈍化、差別抑制、或差別鈍化,其涉及抑制在特徵部之一部分上的後續鎢成核,而不抑制特徵部其餘部分上之成核(或在較小程度上抑制成核)。例如,在一些實施例中,在特徵部開口處之特徵部係被選擇性地抑制,而特徵部內部之成核則不受抑制。選擇性的抑制作用係進一步描述於下,並可涉及例如選擇性地暴露特徵部之一部份於電漿之活化物種。例如,在某些實施例中,特徵部之開口係選擇性地暴露於產生自氮氣分子之電漿。如下所進一步討論,於特徵部中之期望的抑制輪廓,可藉由適當地選擇抑制化學、基板偏壓功率、電漿功率、處理壓力、暴露時間、及其它處理參數之一或更多者而形成。
一旦該特徵部受到選擇性地抑制,此方法可繼續方塊203之根據抑制輪廓選擇性地沉積鎢。方塊203可涉及一或更多化學氣相沉積(CVD)及/或原子層沉積(ALD)處理,包括熱、電漿增強CVD及/或ALD處理。此沉積係為選擇性的,因為鎢優先生長在特徵部之較不受抑制及未受抑制之部份。在一些實施例中,方塊203涉及選擇地沉積鎢於特徵部之底部或內部部分,直到達到或超過收縮部。
在根據抑制輪廓進行選擇性沉積後,此方法可繼續方塊205之填充特徵部之其餘部分。在某些實施例中,方塊205涉及CVD處理,其中含鎢前驅體係由氫所還原以沉積鎢。雖然經常使用六氟化鎢(WF6),但此處理可使用其它鎢前驅體加以實施,包括,但不限於六氯化鎢(WCl6)、有機金屬前驅體、及無氟前驅體,諸如MDNOW(甲基環戊二烯-二羰基亞硝醯-鎢)及EDNOW(乙基環戊二烯-二羰基亞硝醯-鎢)。此外,雖然氫氣可用以作為CVD沉積中之還原劑,但包含矽烷之其他還原劑可用於氫氣之增添或替代。在另一實施例中,六羰鎢(W(CO)6)可連同或不連同還原劑使用。不同於以下所述之ALD及脈衝成核層(pulsed nucleation layer,PNL)處理,在CVD技術中,WF6及H2或其他反應物係同時引入至反應腔室中。此產生混合反應氣體之連續化學反應,持續形成鎢膜於基板表面上。使用CVD沉積鎢膜之方法係描述於美國專利申請案第12/202,126號、第12/755,248號及第12/755,259號中,其係全部併入於此做為參考以描述鎢沉積處理。根據各種實施例,本文所述之方法並不限於填充特徵部之特定方法,而可 包括任何適當之沉積技術。
在一些實施例中,方塊205可涉及持續進行始於方塊203之CVD沉積處理。此類CVD處理可導致於特徵部之抑制部份上的沉積,而此處之成核比特徵部之非抑制部份上之成核更慢。在一些實施例中,方塊205可涉及將鎢成核層沉積於特徵部之至少抑制部份上。
根據各種實施例,受選擇性抑制之特徵部表面可為障蔽層或襯墊層,如金屬氮化物層,或可為受沉積以促進鎢成核之一層。圖3顯示一種方法的範例,其中在選擇性抑制前,鎢成核層係沉積於特徵部中。該方法始於方塊301之沉積薄鎢保形層於特徵部中。該層可增進於其上之主體含鎢材料之後續沉積。在某些實施例中,成核層之沉積係使用PNL技術。在PNL技術中,還原劑、沖洗氣體、及含鎢前驅體之脈衝可依序地注入反應腔室及從反應腔室沖洗。該過程係以循環方式重覆,直到達到所期望之厚度。PNL廣泛地體現依序為增添反應物以於半導體基板上產生反應的任何循環處理,包括原子層沉積(ALD)技術。用於沉積鎢成核層之PNL技術係描述於美國專利第6,635,965號、第7,589,017號、第7,141,494號、第7,772,114號、第8,058,170號及美國專利申請案第12/755,248號及第12/755,259號中,其係全部併入於此作為參考,以描述鎢沉積處理。方塊301不限於鎢成核層沉積之特定方法,但包含用以沉積薄保形層之PNL、ALD、CVD、及物理氣相沉積(PVD)技術。成核層可為夠厚以完全覆蓋特徵部,以維持高品質之主體沉積;然而,由於成核層之電阻高於主體層,因此成核層之厚度可最小化,以儘可能保持低總電阻。方塊301中所沉積之膜的厚度範例可介於小於10埃至100埃之範圍內。在方塊301中之沉積薄鎢保形層後,該方法可繼續方塊201、203、和205之步驟,如前參照圖2所述。根據圖3之方法的特徵部填充之範例,係參照圖5描述於下。
圖4為完成特徵部填充(例如圖2或圖3之方塊205)的方法之範例,顯示完成特徵部之填充可涉及重複選擇性抑制和沉積操作。該方法可始於方塊201,如上參照圖2所述,其中該特徵部係受選擇性地抑制,並繼續方塊203之根據抑制輪廓選擇性地沉積。接著重覆方塊201和203一或更多次(方塊401)以完成特徵部填充。根據圖4之方法的特徵部填充之範例係參照圖6描述於下。
更進一步地,選擇性抑制可結合選擇性沉積使用。選擇性沉積技術係描述於上述參照之美國臨時專利申請案第61/616,377號中。
根據各種實施例,選擇性抑制可涉及暴露於鈍化特徵部表面之活化物種。例如,在某些實施例中,鎢(W)之表面可藉由暴露至一以氮為基礎或以氫為基礎之電漿而鈍化。在一些實施例中,抑制可涉及活化物種和特徵部表面之間的化學反應,以形成如氮化鎢(WN)或碳化鎢(WC)之化合物材料的薄層。在一些實施例中,抑制可涉及一表面效應,例如在不形成化合物材料層的情況下使表面鈍化之吸附。活化物種可藉由任何適當的方法而形成,包括藉由電漿產生及/或暴露於紫外線(UV)輻射。在一些實施例中,包含特徵部之基板係暴露至一電漿,該電漿產生自饋入至設置基板之腔室中的一或更多氣體。在一些實施例中,一或更多氣體可饋入至遠端電漿產生器中,而形成於該遠端電漿產生器之活化物種係饋入至設置基板之腔室中。電漿源可為包括射頻(RF)電漿源或微波源之任何類型的來源。電漿可為感應及/或電容耦合式。活化物種可包含原子物種、自由基物種、及離子物種。在某些實施例中,暴露於遠端產生之電漿包含暴露至自由基和原子化物種,實質上沒有離子物種存在於電漿中,俾使抑制過程並非由離子媒介。在其它實施例中,離子物種可存在於遠端產生之電漿中。在某些實施例中,暴露至一原位(in-situ)電漿涉及由離子媒介之抑制。基於本申請之目的,活化物種係有別於重組物種及最初饋入至電漿產生器之複數氣體。
抑制化學品可配合後續將暴露於沉積氣體之表面加以調適。以鎢(W)的表面而言,例如於參照圖3所描述之方法中所形成者,暴露至以氮為基礎及/或以氫為基礎之電漿會抑制在W表面上之後續鎢沉積。其它可用於抑制鎢表面之化學品包括以氧為基礎之電漿和以烴為基礎之電漿。舉例來說,氧氣分子或甲烷可引入至電漿產生器。
如本文所使用,以氮為基礎之電漿為一種其中之主要非惰性成分為氮的電漿。如氬氣,氙氣或氪氣之惰性成分可用以作為載氣。在一些實施例中,除了微量外,無其它非惰性成分存在於產生電漿之氣體中。在一些實施例中,抑制化學品可為含氮、含氫、含氧、及/或含碳,而一或更多額外的反應性物種存在於電漿中。例如,併入於此作為參考之美國 專利申請案第13/016,656號描述藉由暴露至三氟化氮(NF3)之鎢表面鈍化。同樣地,可使用如CF4或C2F8之碳氟化物。然而,在某些實施例中,抑制物種係為無氟,以防止選擇性抑制期間之蝕刻。
在某些實施例中,紫外線輻射可用於電漿之增添或替代,以提供活化物種。氣體可暴露於設置基板之反應腔室的上游及/或內部之紫外線光。再者,在某些實施例中,可使用非電漿、非紫外線之熱抑制處理。除了鎢表面以外,如TiN及/或WN表面之襯墊/障蔽層表面的成核可受抑制。可使用任何鈍化此等表面之化學品。對於TiN和WN而言,此可包含暴露於以氮為基礎或含氮化學品。在某些實施例中,描述於上的該等用於W之化學品亦可用於TiN、WN、或其他襯墊層之表面。
調諧抑制輪廓可涉及適當地控制一抑制化學品、基板偏壓功率、電漿功率、處理壓力、暴露時間、及其它處理參數。對於原位電漿處理(或其他存在離子物種之處理),可施加偏壓至基板。在一些實施例中,基板偏壓可顯著地影響抑制輪廓,偏壓功率之增加導致在特徵部內之活性物種更深入。例如,在一300mm基板上之100W直流偏壓可導致一1500nm深之結構的上半部分之抑制,而700W之偏壓可導致整個結構之抑制。適合特定選擇性抑制之絕對偏壓功率將取決於基板尺寸、系統、電漿類型、及其它處理參數、以及所需之抑制輪廓,但偏壓功率可用以調諧上至下之選擇性,而減少偏壓功率導致較高的選擇性。對於期望為橫向方向(鎢沉積係較佳地在結構之內部)而非垂直方向之選擇性的3-D結構中,增加之偏壓功率可用以增進由上至下之沉積的均勻性。
雖然在某些實施例中偏壓功率可用於作為主要或唯一的旋鈕,以調諧離子物種之抑制輪廓,但在某些情況下,其他實施的選擇性抑制使用其它參數以增添或替代偏壓功率。這些包括遠端產生之非離子電漿處理和非電漿處理。此外,在許多系統中,可輕易地施加基板偏壓以調諧垂直而非橫向方向之選擇性。因此,對於期望橫向選擇性之3-D結構而言,可控制偏壓以外之參數,如上所述。
藉由使用不同比例之活性抑制物種,抑制化學品亦可用以調諧抑制輪廓。例如,抑制W之表面時,氮可能具有比氫更強的抑制效果;故在形成以氣體為基礎之電漿時,調整N2和H2氣體之比例可用以調諧輪 廓。電漿功率亦可用以調諧抑制輪廓,不同比例之活性物種係由電漿功率所調諧。處理壓力可用以調諧輪廓,由於壓力可導致更多重組(使活性物種去活化)以及將活性物種進一步推入特徵部中。處理時間亦可用以調諧抑制輪廓,處理時間之增加使抑制更深入特徵部。
在一些實施例中,選擇性的抑制可藉由在一質傳限制狀態中執行步驟203而達成。在此狀態中,特徵部內部之抑制率係受限於擴散進入特徵部內之不同抑制材料成份之份量及/或相對組合(例如,初始抑制物種、活化抑制物種、及重組抑制物種)。在某些範例中,抑制率取決於特徵部內之不同位置處的各種成分之濃度。
質傳限制條件的部份特徵可為整體抑制濃度之變化。在某些實施例中,特徵部內部之濃度低於靠近特徵部開口處,導致靠近特徵部開口處之抑制率高於特徵部內部。這進而導致靠近特徵部開口之選擇性抑制。質傳限制之處理條件,可藉由供應有限數量之抑制物種至處理腔室內(例如,使用相對於孔洞輪廓和尺寸之低抑制氣體流率)而達成,同時維持靠近特徵部開口處之相對較高的抑制率,以在活化物種擴散進入特徵部內時消耗一些活化物種。在某些實施例中,濃度梯度十分巨大,此可導致相對較高的抑制動態及相對較低之抑制供應。在某些實施例中,靠近開口處之抑制率亦可為質傳限制,雖然此非達到選擇性抑制之必要條件。
除了特徵部內部之整體抑制濃度變化,選擇性的抑制可受到整個特徵部之中不同抑制物種之相對濃度的影響。此等相對濃度進而可取決於抑制物種之解離和重組過程中的相對動態。如上所述,如氮氣分子之初始抑制材料,可通過遠端電漿產生器及/或受原位電漿之影響以產生活化物種(例如,氮原子、氮離子)。然而,活化物種可重組為較不活躍之重組物種(例如,氮分子)及/或沿著W、WN、TiN、或其他特徵部表面之擴散路徑與其反應。因此,特徵部之不同部份可暴露於不同抑制材料之不同濃度下,例如初始抑制氣體、活化抑制物種、及重組抑制物種。這提供了控制選擇性抑制之額外的機會。舉例來說,活化物種通常比初始抑制氣體及重組抑制物種之反應性更佳。再者,在某些情況下,活化物種對溫度的變化可能比重組物種更不敏感。因此,可控制處理條件以使移除係主要歸因於活化物種。如上所述,一些物種可能比其他物種具有更高之反應性。 此外,特定處理條件可導致活化物種在靠近特徵部開口處之濃度高於特徵部內部之濃度。例如,一些活化物種擴散進入特徵部更深處時,可能被消耗(例如,與特徵部表面之材料反應及/或吸附在表面上)及/或重組,特別是在具有小深寬比之特徵部。活化物種之重組亦可發生於特徵部之外,例如在噴淋頭或處理腔室內,並可取決於腔室之壓力。因此,可具體控制腔室壓力以調整在腔室及特徵部之各種位置的活化物種之濃度。
抑制氣體之流率可取決於腔室尺寸、反應速率、及其他參數。流率之選擇,係可使更多抑制材料相較於特徵部之內部,於靠近特徵部之開口集中。在某些實施例中,此等流率導致質傳限制之選擇性抑制。例如,供給每站195公升之流率可為介於約25sccm及10,000sccm之間,或者在更具體的實施例中,介於約50sccm及1,000sccm之間。在某些實施例中,流率係小於約2,000sccm、小於約1,000sccm、或更具體地,小於約500sccm。應注意的是,此等數值係供用於處理300mm基板的一個獨立之站使用。此等流率可放大或縮小,取決於基板尺寸、該設備內之站的數目(例如,四站裝置即為四倍)、處理腔室之體積、和其他因素。
在某些實施例中,在選擇性抑制前可進行基板之加熱或冷卻。可使用各種裝置,如站內之加熱或冷卻元件(例如,安裝於基座內之電阻加熱器或經由基座循環之傳熱流體)、基板上之紅外線燈,引發電漿等等,以使基板達到預設之溫度。
可選擇基板之預定溫度,以誘使特徵部表面和抑制物種之間的化學反應及/或促進抑制物種之吸附,以及控制反應或吸附之速率。例如,可選擇一溫度以具有高反應率,俾使發生於靠近開口處之抑制多於特徵部內部。再者,亦可選擇溫度以控制活化物種之重組(如氮原子變為氮分子之重組)及/或控制主要造成抑制之物種(如活化或重組物種)。在某些實施例中,基板係維持在低於約300℃,或更明確地低於約250℃或低於約150℃,或甚至低於約100℃。在其它實施例中,基板係加熱至約300℃和450℃之間,或在更具體的實施例中,至約350℃和400℃之間。其它溫度範圍可用於不同類型之抑制化學品中。亦可選擇暴露時間以造成選擇性抑制。暴露時間之範例可介於約10秒至500秒之範圍內,取決於期望之選擇性及特徵部深度。
如上所述,本發明之諸項實施態樣可用於VNAND字元線(WL)之填充。雖然以下之討論提供了各種方法之架構,但這些方法並非如此地限制性,且可於其他應用中實現,包括邏輯和記憶體接觸窗填充、DRAM埋入式字元線、垂直整合式記憶閘門/字元線填充、及3D整合(TSV)。
上述之圖1F提供待填充之VNAND字元線結構之範例。如前所討論,此等結構之特徵部填充可能構成數項挑戰,包括因放置支柱所造成之收縮部。此外,高特徵部密度可能導致負載效應,俾使在完整填充前用盡反應物。
無空隙填充WL之整體的各種方法係介紹如下。在某些實施例中,沉積低電阻鎢。圖5顯示一順序,其中非保形之選擇性抑制係用以在夾止前填充特徵部之內部。圖5中,結構500設置襯墊層表面502。襯墊層表面502可為例如TiN或WN。接著,W成核層504係保形地沉積於襯墊層502上。可使用如上所述之PNL處理。需注意在一些實施例中,可省略此沉積保形成核層之操作。接著,使該結構暴露於一抑制化學品,以選擇性地抑制結構500之部份506。在此範例中,通過支柱收縮部151的部份508受到選擇性地抑制。抑制作用可涉及例如,暴露於產生自例如N2、H2、成形氣體、NH3、O2、CH4等之一氣體的直接(原位)電漿。使特徵部暴露於抑制物種之其他方法係如上所述。接著,進行CVD處理以選擇性地根據抑制輪廓沉積鎢:主體鎢510係較佳地沉積於成核層504之非抑制部分上,俾使收縮部後之難以填充的區域得以填充。特徵部之其餘部分接著以主體鎢510填充。如參考圖2之以上所述,用以選擇性地沉積鎢的相同CVD處理可用於特徵部之其餘部分,或可採用使用不同的化學品或處理條件及/或於沉積成核層後進行之一不同CVD處理。
在一些實施例中,本文所述之方法可用於鎢介層窗填充。圖6顯示包括下層113之特徵部孔105的範例,該下層可為例如金屬氮化物或其它障蔽層。鎢層653係藉由例如PNL及/或CVD方法,保形地沉積於特徵部孔105中。(需注意,在圖6之範例中,鎢層653係保形地沉積於特徵部孔105中,但在一些其他實施例中,可在選擇性的沉積鎢層653前,選擇性地抑制鎢成核於下層113上。)鎢層653上之進一步沉積係接著受 選擇性地抑制,形成靠近特徵部開口之鎢層653的抑制部655。鎢係接著根據抑制輪廓,藉由PNL及/或CVD方法選擇性地沉積,俾使鎢優先地沉積於靠近特徵部之底部及中間部份處。在一些實施例中,沉積以一或更多的選擇性抑制之循環繼續進行,直到使特徵部填充完成。如上所述,在一些實施例中,特徵部頂部之抑制效果可藉由足夠長的沉積時間而克服,而在一些實施例中,一旦期望沉積於該處,便可執行額外的成核層沉積或其他處理,以減少或移除在特徵部開口處之鈍化。需注意在一些實施例中,特徵部之填充可能仍包含接縫之形成,例如圖6中描繪之接縫657。在其它實施例中,特徵部填充可為無空隙及無接縫。即使接縫存在,它可能比依照習知技術填充之特徵部更小,因而減少CMP過程中之去核問題。在圖6之範例中描述的順序在CMP後結束,產生相對較小之空隙。
在一些實施例中,即使對於不具有收縮部或潛在夾止點之特徵部而言,仍可有利地使用本文所描述之諸項處理。例如,該等處理可用於由下而上,而非保形之特徵部填充。圖7顯示根據某些實施例之一填充特徵部700之方法的順序。最初沉積一薄保形鎢層753,接著藉由選擇性的抑制形成抑制部755,而特徵部底部之層753未受處理。CVD沉積導致主體膜757沉積於特徵部之底部。在此之後為選擇性的CVD沉積和選擇性的抑制之重複循環,直到該特徵部係以主體膜757填充。由於除了靠近特徵部之底部以外,特徵部側壁上之成核受到抑制,因此填充係為由下而上。在一些實施例中,可將不同的參數使用於連續的抑制,以在特徵部底部生長靠近特徵部開口時,適當地調諧抑制輪廓。舉例來說,在連續的抑制處理中,可降低偏壓功率及/或處理時間。
實驗
類似圖1F之示意性描繪圖的3D VNAND特徵部,在沉積初始鎢種子層後,係暴露於由N2H2氣體所產生的電漿。基板之偏壓為直流偏壓,偏壓功率變化介於100W到700W之間,且暴露時間變化介於20秒和200秒之間。更長的時間導致更深且更寬之抑制,而較高的偏壓電源導致更深之抑制。
表1顯示處理時間之影響。所有的抑制處理均使用暴露於直接LFRF 2000W的N2H2電漿,而基板上的DC偏壓為100W。
雖然不同處理時間導致如表1所描述之抑制輪廓之垂直和側向調諧(分割C),但不同的偏壓功率與抑制輪廓的垂直調諧之相關性較高,而橫向變化則為次要之效應。
如上所述,抑制效應可藉由某些CVD條件加以克服,包括更長的CVD時間及/或更高的溫度、更強力的化學品等等。下方的表2顯示CVD時間對於選擇性沉積之效應。
設備
任何合適的腔室可用以實現此新穎方法。沉積設備之範例包括各種系統,例如,位於加州San Jose之Novellus Systems的ALTUS及ALTUS Max,或各種其他商業上可取得之處理系統之任何一者。
圖8顯示設備800之示意圖,該設備根據某些實施例用於處理一部份加工之半導體基板。設備800包括腔室818,其具有基座820、噴淋頭814、及原位電漿產生器816。設備800亦包含系統控制器822,以接收輸入及/或提供控制信號至各種裝置。
在某些實施例中,抑制氣體以及,若存在的話,如氬氣、氦氣和其他之惰性氣體,可從來源802供應至遠端電漿產生器806,來源802可為一儲存槽。任何合適的遠端電漿產生器可用於在使蝕刻劑引入至腔室818內之前活化蝕刻劑。例如,可使用位於馬塞諸塞州之MKS Instruments of Andover的遠端電漿清潔(RPC)組件,如ASTRON® i Type AX7670,ASTRON® e Type AX7680,ASTRON® ex Type AX7685,ASTRON® hf-s Type AX7645。RPC組件通常為一自足的設備,其係使用所供應的蝕刻劑產生弱游離電漿。嵌入至RPC組件之高功率射頻產生器可提供能量至電漿中的電子。此能量接著被轉移至中性抑制氣體分子,導致2000K等級的溫度, 造成此等分子的熱解離。由於RPC組件之高射頻能量及其特別的通道幾何形狀,因此RPC組件可解離60%以上之輸入分子,導致氣體吸附此能量之大部分。
在某些實施例中,抑制氣體係從遠端電漿產生器806經連接線808流進腔室818,混合物係於該腔室透過噴淋頭814分佈。在其它實施例中,抑制氣體係直接流入腔室818,完全繞開遠端電漿產生器806(例如系統800不包含此種產生器)。可選擇地,例如當抑制氣體流入腔室818時,可關閉遠端電漿產生器806,因為抑制氣體之活化非必要,或將由原位電漿產生器供應。
噴淋頭814或基座820通常具有一與之接設的內部電漿產生器816。在一範例中,產生器816係為能夠在介於1MHz和100MHz的頻率間提供介於約0W和10,000W之高頻(HF)產生器。在另一範例中,產生器816係為能夠在低如100KHz的頻率提供介於約0W和10,000W之低頻(LF)產生器。在一更具體的實施例中,高頻產生器可在約13.56MHz傳輸介於約0W到5,000W之間。電漿產生器816可產生原位電漿以活化抑制物種。在某些實施例中,電漿產生器816可連同遠端電漿產生器806使用或不使用。在某些實施例中,在沉積處理期間未使用電漿產生器。
腔室818可包含感應器824,用於感測各種處理參數,如沉積程度、濃度、壓力、溫度等等。在處理期間,感應器824可提供腔室條件的資訊至系統控制器822。感應器824之範例包括質量流量控制器、壓力感應器、熱電偶等等。感應器824亦可包含紅外線檢測器或光學檢測器,用以監測在腔室及控制措施內之氣體的存在。
沉積和選擇性抑制操作可產生各種從腔室818抽空之揮發性物種。再者,處理係於腔室818內以特定之預定壓力水平下進行。這兩種功能係使用可為一真空泵的真空出口826達成。
在某些實施例中,系統控制器822係用以控制處理參數。系統控制器822通常包含一或更多記憶體裝置及一或更多處理器。處理器可包含一CPU或電腦、類比及/或數位輸入/輸出連接端、歩進式馬達控制板等等。通常有與系統控制器822相連之使用者介面。使用者介面可包含顯示螢幕、設備及/或處理條件之圖形軟體顯示,以及用戶輸入裝置,如 指向裝置、鍵盤、觸控螢幕、麥克風等等。
在某些實施例中,系統控制器822控制基板溫度、抑制氣體流率、遠端電漿產生器806及/或原位電漿產生器816的功率輸出、腔室818之內部壓力及其它處理參數。系統控制器822執行系統控制軟體,該系統控制軟體包括多組指令,用於控制時間、氣體之混合物、腔室壓力、腔室溫度、及特定處理之其他參數。在一些實施例中,可採用儲存在與該控制器相連之記憶體裝置上的其它電腦程式。
用以控制處理順序中之諸項處理的電腦程式碼可以任何習知的電腦可讀程式語言撰寫:例如,組合語言、C程式語言、C++程式語言、Pascal、Fortran、或其它。編譯後的目標碼或腳本係藉由處理器執行,以執行程式中識別之任務。系統軟體可以許多不同的方式設計或配置。例如,各種腔室元件之次常規或控制物件係可撰寫為控制進行所描述之處理的必要腔室元件之操作。用於此目的之程式或程式區段的範例包括處理氣體控制碼、壓力控制碼、以及電漿控制碼。
控制器參數與處理條件相關,例如每個操作的時間、腔室內之壓力,基板溫度,抑制氣體流率等等。這些參數係以配方的形式提供給使用者,並可利用使用者介面輸入。用以監控處理之信號可由與系統控制器822之類比及/或數位輸入連接端所提供。用以控制處理之信號係輸出至設備800之類比及數位輸出連接端上。
多站設備
圖9A顯示多站設備900之一範例。設備900包括處理腔室901及用於固持待處理之基板及已經完成處理之基板的一或多個卡匣903(例如前開式晶圓傳送盒,Front Opening Unified Pod)。腔室901可具有若干站,例如兩個站、三個站、四個站、五個站、六個站、七個站、八個站、十個站或其他任何數量之站。該等站之數量通常由處理操作之複雜度及可在一共用環境下執行之此等操作的數量而定。圖9A繪示包括標記為911至916之六個站的處理腔室901。具有一單一處理腔室903之多站設備900中的所有站係暴露於相同壓力環境下。然而,每站可具有一指定反應物分佈系統及由專屬電漿產生器及基座(諸如圖8中所繪示者)實現的局部電漿及加熱條件。
待處理之基板係自複數卡匣903之其中一者穿過負載鎖室905載入站911中。外部機械臂907可用以將基板自卡匣903轉移至負載鎖室905中。在該所繪實施例中,有兩個獨立負載鎖室905。此等通常係配備有基板轉移裝置,以將基板自該負載鎖室905移動(一旦壓力係平衡於對應於處理腔室之內部環境之水平時)至站911中,並從站916移回至該負載鎖室905中,以自處理腔室903移除。機械裝置909係用以在處理站911至916之間轉移基板,並在以下所述之處理期間支撐一些基板。
在某些實施例中,可保留一或更多站以加熱基板。該等站可具有定位於基板上之一加熱燈(未顯示)及/或類似於圖8所繪示者之支撐該基板的加熱基座。舉例而言,站911可接收來自負載鎖室之一基板,並用以在待進一步處理該基板之前預熱該基板。其他站可用於填充高深寬比之特徵部,包括沉積及選擇性抑制操作。
在該基板在站911受加熱或另外受處理之後,該基板係被接連移至處理站912、913、914、915及916,其可或不可為依續配置。多站設備900可用以使得所有站係暴露至相同的壓力環境下。如此一來,該等基板係自站911轉移至腔室901中的其他站,而無須諸如負載鎖室之轉移埠。
在某些實施例中,一或更多站可以用以使用含鎢材料填充特徵部。例如,站912可用於初始沉積操作,站913可用於對應的選擇性抑制操作。在重複沉積抑制循環的實施例中,站914可用於另一沉積操作且站915可用於另一抑制操作。部916可用於最後的填充操作。吾人應當理解,可使用任何針對特定處理(加熱、填充、和移除)之站所指定的配置。在一些實施方式中,此等站之任何一者可為專用於PNL(或ALD)沉積、選擇性抑制、及CVD沉積之一或更多者。
作為上述之多站設備之替代方法,本方法可在單一基板腔室或在批次模式下(即,非連續)在單一處理站處理(一或複數)基板之多站腔室中實施。在本發明之此實施態樣中,基板係裝載至腔室中,並定位在單一處理站之基座上(不管是僅具有一處理站的設備,或具有以批次模式運行之多站設備)。接著可加熱基板及進行沉積操作。可接著調整腔室內之處理條件,並接著進行受沉積層之選擇性抑制。該處理可以一或更多沉 積-抑制循環(若執行的話)繼續進行,且最終之填充操作係皆於相同之站上執行。可替代地,可首先使用單站設備以在數個基板上執行新方法(例如,沉積、選擇性抑制、最終填充)之中僅一種操作,其後基板可返回到相同的站或移動至一不同站(例如,不同設備之站),以執行剩餘操作之一或更多者。
多腔室設備
圖9B為可根據某些實施例使用的多腔室設備920的示意圖。如圖所示,設備920具有三個獨立腔室921、923,和925。該等腔室之每一者係繪示為具有兩個基座。應當理解的是,一設備可能具有任何數目之腔室(例如,一個、兩個、三個、四個、五個、六個等),且每個腔室可具有任何數目之基座(例如,一個、兩個、三個、四個、五個、六個等)。每個腔室921-925有其自己的壓力環境,不與其它腔室共用。每個腔室可具有一或更多對應之轉移埠(例如負載鎖室)。該設備亦可具有一共用基板處理機械臂927,用於將基板於轉移埠間之轉移一或更多卡匣929。
如上文所述,獨立之腔室可用於沉積含鎢材料及在後續操作中選擇性抑制該等沉積材料。將此兩種操作分別於不同腔室中進行,可藉由在每個腔室中維持相同的環境條件,而有助大幅度提高處理之速度。腔室無需改變其環境,以從用以沉積之條件變成用以選擇性抑制之條件及回復,此可能涉及不同的化學品、不同溫度、壓力、及其它處理參數。在某些實施例中,在兩個或更多不同的腔室之間轉移部分加工的半導體基板,比改變該等腔室之環境條件更快。
圖案化方法/設備:
上文所描述之設備/處理可結合微影圖案化工具或處理使用,例如用於製造或加工半導體元件、顯示器、LEDs、光電板及其相似物。雖非必然,但通常此等工具/處理將在一共同的加工設施中一起使用或進行。膜之微影圖案化通常包含以下步驟之部份或全部,每個步驟需使用一些可能的工具方可達成:(1)使用旋塗或噴塗工具以施加光阻於工件,即基板上;(2)使用熱板或爐或紫外線固化工具以固化光阻;(3)使用如晶圓步進器之工具以使光阻暴露於可見光或紫外線或X射線;(4)使光阻顯影,以選擇性地移除光阻,從而使用如濕檯之工具使之圖案化;(5)使用 乾式或電漿輔助蝕刻工具以轉移光阻圖案到下層之膜或工件;(6)使用如射頻或微波電漿光阻剝離劑之工具以移除光阻。
201‧‧‧步驟
203‧‧‧步驟
401‧‧‧步驟

Claims (22)

  1. 一種方法,包括:提供包含一特徵部之一基板,該特徵部具有一或更多特徵部開口及一特徵部內部;選擇性地抑制於該特徵部中之鎢成核俾使治著一特徵部軸有一差別抑制輪廓,其中該選擇性地抑制係於該特徵部內無蝕刻材料之情況下進行;以及根據該差別抑制輪廓選擇性地沉積鎢於該特徵部中。
  2. 如申請專利範圍第1項之方法,其中該選擇性地抑制於該特徵部中之鎢成核包含使該特徵部暴露於直接電漿,同時施加偏壓至該基板。
  3. 如申請專利範圍第2項之方法,其中該電漿包含氮、氫、氧、和碳之活化物種的一或更多者。
  4. 如申請專利範圍第2項之方法,其中該電漿係以氮為基礎及/或以氫為基礎。
  5. 如申請專利範圍第1項之方法,其中該選擇性地抑制於該特徵部中之鎢成核包含使該特徵部暴露於一遠端產生之電漿中。
  6. 如申請專利範圍第1項之方法,更包含在該選擇性地抑制於該特徵部中之鎢成核之前,沉積一鎢層於該特徵部中。
  7. 如申請專利範圍第5項之方法,其中該鎢層係藉由脈衝成核層(PNL)處理進行沉積。
  8. 如申請專利範圍第6項之方法,其中該鎢層係保形地沉積於該特徵部中。
  9. 如申請專利範圍第1項之方法,其中該選擇性地沉積鎢成核於特徵部中包括化學氣相沉積(CVD)處理。
  10. 如申請專利範圍第1項之方法,更包含在該選擇性地沉積鎢於特徵部中後,沉積鎢於該特徵部中以完成特徵部填充。
  11. 如申請專利範圍第1項之方法,在該選擇性地沉積鎢於特徵部中後,非選擇性地沉積鎢於該特徵部中。
  12. 如申請專利範圍第11項之方法,其中由選擇性過渡至非選擇性沉積之步驟,包含使CVD處理在未沉積中間鎢成核層的情況下繼續。
  13. 如申請專利範圍第11項之方法,其中該由選擇性過渡至非選擇性沉積之步驟,包含沉積鎢成核層於該選擇性地沉積之鎢層上。
  14. 如申請專利範圍第1項之方法,其中選擇性地抑制鎢成核包含處理該特徵部之鎢表面。
  15. 如申請專利範圍第1項之方法,其中選擇性地抑制鎢成核包含處理該特徵部之金屬氮化物表面。
  16. 如申請專利範圍第1項之方法,其中該特徵部填充係在不蝕刻該特徵部中的材料之情況下進行。
  17. 如申請專利範圍第1項之方法,其中該特徵部係為3-D結構之一部分。
  18. 如申請專利範圍第1項之方法,更包含重覆選擇性抑制和選擇性沉積之循環一或更多次以填充該特徵部。
  19. 如申請專利範圍第1項之方法,其中該特徵部中至少一收縮部係受到選擇性地抑制。
  20. 一種方法,包括:使在三維結構中之水平座向的特徵部暴露於直接電漿,從而選擇性地抑制該特徵部的一部分之鎢成核,俾使該特徵部中有一差別抑制輪廓;在該選擇性抑制該特徵部的一部分後,進行CVD操作以從而根據該差別抑制輪廓選擇性地沉積鎢。
  21. 一種方法,包括:使基板上之未填充或部分填充之特徵部暴露於直接電漿,以從而選擇性地抑制該特徵部的一部分之鎢成核,俾使該特徵部中有一差別抑制輪廓;以及 在該選擇性抑制該特徵部的一部分後,進行CVD操作以從而根據該差別抑制輪廓選擇性地沉積鎢。
  22. 一種設備,包括:一或更多腔室,用以支撐基板;一原位電漿產生器,用以在該一或更多腔室中產生電漿;複數進氣口,用以引導氣體至該一或更多腔室之每一者;以及具有程式指令之控制器,用以:產生以氮為基礎及/或以氫為基礎之電漿,同時施加偏壓功率至該基板,俾使該基板係暴露於該電漿;使該基板暴露於該電漿後,通入含鎢之前驅體和還原劑至設置該基板之腔室,以沉積鎢。
TW102110937A 2012-03-27 2013-03-27 利用成核抑制之鎢特徵部塡充 TWI609455B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261616377P 2012-03-27 2012-03-27
US201261737419P 2012-12-14 2012-12-14
US13/774,350 US10256142B2 (en) 2009-08-04 2013-02-22 Tungsten feature fill with nucleation inhibition

Publications (2)

Publication Number Publication Date
TW201405707A true TW201405707A (zh) 2014-02-01
TWI609455B TWI609455B (zh) 2017-12-21

Family

ID=49261119

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102110937A TWI609455B (zh) 2012-03-27 2013-03-27 利用成核抑制之鎢特徵部塡充

Country Status (5)

Country Link
JP (1) JP6195898B2 (zh)
KR (1) KR102100520B1 (zh)
CN (1) CN104272440B (zh)
TW (1) TWI609455B (zh)
WO (1) WO2013148444A1 (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110459503A (zh) * 2014-09-30 2019-11-15 朗姆研究公司 用核化抑制的特征填充
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10580654B2 (en) 2015-05-18 2020-03-03 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
TWI687994B (zh) * 2015-03-20 2020-03-11 美商應用材料股份有限公司 用於經由原子層沉積循環之蝕刻的方法
TWI730638B (zh) * 2019-03-20 2021-06-11 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置及記錄媒體
US11075115B2 (en) 2009-08-04 2021-07-27 Novellus Systems, Inc. Tungsten feature fill
US11365479B2 (en) 2017-12-15 2022-06-21 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
TWI769204B (zh) * 2016-12-19 2022-07-01 美商蘭姆研究公司 用於遠程電漿程序之腔室調節方法
US11410883B2 (en) 2009-08-04 2022-08-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US11978666B2 (en) 2018-12-05 2024-05-07 Lam Research Corporation Void free low stress fill

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US9159571B2 (en) 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8853080B2 (en) 2012-09-09 2014-10-07 Novellus Systems, Inc. Method for depositing tungsten film with low roughness and low resistivity
US9153486B2 (en) 2013-04-12 2015-10-06 Lam Research Corporation CVD based metal/semiconductor OHMIC contact for high volume manufacturing applications
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) * 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9953984B2 (en) * 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
SG11201706564UA (en) * 2015-02-13 2017-09-28 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
KR102447489B1 (ko) 2015-09-02 2022-09-27 삼성전자주식회사 반도체 메모리 소자
CN106128996A (zh) * 2016-06-24 2016-11-16 武汉新芯集成电路制造有限公司 一种无缝多晶硅插塞的形成方法
KR102466639B1 (ko) 2017-04-10 2022-11-11 램 리써치 코포레이션 몰리브덴을 함유하는 저 저항률 막들
US10843618B2 (en) * 2017-12-28 2020-11-24 Lam Research Corporation Conformality modulation of metal oxide films using chemical inhibition
JP7023150B2 (ja) 2018-03-26 2022-02-21 東京エレクトロン株式会社 タングステン膜の成膜方法及び制御装置
US10727046B2 (en) * 2018-07-06 2020-07-28 Lam Research Corporation Surface modified depth controlled deposition for plasma based deposition
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
KR20210110886A (ko) 2019-01-28 2021-09-09 램 리써치 코포레이션 금속 막들의 증착
US11749564B2 (en) 2020-09-22 2023-09-05 Applied Materials, Inc. Techniques for void-free material depositions
US11830728B2 (en) 2021-10-13 2023-11-28 Applied Materials, Inc. Methods for seamless gap filling of dielectric material

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2067565C (en) * 1992-04-29 1999-02-16 Ismail T. Emesh Deposition of tungsten
JPH0922896A (ja) * 1995-07-07 1997-01-21 Toshiba Corp 金属膜の選択的形成方法
KR100338941B1 (ko) * 1999-11-26 2002-05-31 박종섭 반도체소자의 컨택 형성방법
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100399417B1 (ko) * 2001-01-08 2003-09-26 삼성전자주식회사 반도체 집적 회로의 제조 방법
KR20020072996A (ko) * 2001-03-14 2002-09-19 주성엔지니어링(주) 금속 플러그 형성방법
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6797620B2 (en) * 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
KR100446300B1 (ko) * 2002-05-30 2004-08-30 삼성전자주식회사 반도체 소자의 금속 배선 형성 방법
JP4945937B2 (ja) * 2005-07-01 2012-06-06 東京エレクトロン株式会社 タングステン膜の形成方法、成膜装置及び記憶媒体
JP4967354B2 (ja) * 2006-01-31 2012-07-04 東京エレクトロン株式会社 シード膜の成膜方法、プラズマ成膜装置及び記憶媒体
KR100757418B1 (ko) 2006-09-05 2007-09-10 삼성전자주식회사 반도체 소자 및 그 형성 방법
US20080174021A1 (en) * 2007-01-18 2008-07-24 Samsung Electronics Co., Ltd. Semiconductor devices having metal interconnections, semiconductor cluster tools used in fabrication thereof and methods of fabricating the same
WO2009067381A1 (en) * 2007-11-21 2009-05-28 Lam Research Corporation Method of controlling etch microloading for a tungsten-containing layer
US8053365B2 (en) * 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US9034768B2 (en) * 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8119527B1 (en) * 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9129945B2 (en) * 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11075115B2 (en) 2009-08-04 2021-07-27 Novellus Systems, Inc. Tungsten feature fill
US11410883B2 (en) 2009-08-04 2022-08-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN110459503B (zh) * 2014-09-30 2024-04-16 朗姆研究公司 一种填充衬底中的特征的方法和装置
US11901227B2 (en) 2014-09-30 2024-02-13 Lam Research Corporation Feature fill with nucleation inhibition
US10580695B2 (en) 2014-09-30 2020-03-03 Lam Research Corporation Feature fill with nucleation inhibition
CN110459503A (zh) * 2014-09-30 2019-11-15 朗姆研究公司 用核化抑制的特征填充
TWI687994B (zh) * 2015-03-20 2020-03-11 美商應用材料股份有限公司 用於經由原子層沉積循環之蝕刻的方法
US11920239B2 (en) 2015-03-26 2024-03-05 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10916434B2 (en) 2015-05-18 2021-02-09 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US10580654B2 (en) 2015-05-18 2020-03-03 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US11355345B2 (en) 2016-08-16 2022-06-07 Lam Research Corporation Method for preventing line bending during metal fill process
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
TWI769204B (zh) * 2016-12-19 2022-07-01 美商蘭姆研究公司 用於遠程電漿程序之腔室調節方法
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US11365479B2 (en) 2017-12-15 2022-06-21 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US11978666B2 (en) 2018-12-05 2024-05-07 Lam Research Corporation Void free low stress fill
TWI730638B (zh) * 2019-03-20 2021-06-11 日商國際電氣股份有限公司 半導體裝置之製造方法、基板處理裝置及記錄媒體

Also Published As

Publication number Publication date
KR102100520B1 (ko) 2020-04-14
CN104272440B (zh) 2017-02-22
KR20140143202A (ko) 2014-12-15
WO2013148444A1 (en) 2013-10-03
TWI609455B (zh) 2017-12-21
JP6195898B2 (ja) 2017-09-13
JP2015514160A (ja) 2015-05-18
CN104272440A (zh) 2015-01-07

Similar Documents

Publication Publication Date Title
TWI609455B (zh) 利用成核抑制之鎢特徵部塡充
US11410883B2 (en) Tungsten feature fill with nucleation inhibition
US11901227B2 (en) Feature fill with nucleation inhibition
US10916434B2 (en) Feature fill with multi-stage nucleation inhibition
KR102609125B1 (ko) 리모트 플라즈마 프로세스를 위한 챔버 컨디셔닝
US10381266B2 (en) Tungsten feature fill with nucleation inhibition
US20220359280A1 (en) Tungsten feature fill with nucleation inhibition
US9548228B2 (en) Void free tungsten fill in different sized features
TW201719756A (zh) 蝕刻製程中施加脈衝予射頻功率以提升鎢間隙填充功能
TW201405781A (zh) 鎢特徵部塡充
TW201519317A (zh) 於不同尺寸特徵部內之無空穴鎢塡充物
US20230041794A1 (en) Tungsten feature fill with nucleation inhibition