TW201348852A - 光罩製作方法 - Google Patents

光罩製作方法 Download PDF

Info

Publication number
TW201348852A
TW201348852A TW102107988A TW102107988A TW201348852A TW 201348852 A TW201348852 A TW 201348852A TW 102107988 A TW102107988 A TW 102107988A TW 102107988 A TW102107988 A TW 102107988A TW 201348852 A TW201348852 A TW 201348852A
Authority
TW
Taiwan
Prior art keywords
image
optical proximity
integrated circuit
circuit design
design layout
Prior art date
Application number
TW102107988A
Other languages
English (en)
Other versions
TWI472869B (zh
Inventor
Jia-Guei Jou
Kuan-Chi Chen
Peng-Ren Chen
Dong-Hsu Cheng
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201348852A publication Critical patent/TW201348852A/zh
Application granted granted Critical
Publication of TWI472869B publication Critical patent/TWI472869B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Electron Beam Exposure (AREA)

Abstract

一種光罩製作方法,包括接收一積體電路設計佈局資料,積體電路設計佈局資料具有複數個主要特徵;對所接收的積體電路設計佈局資料,執行一光學鄰近校正,用以產生一第一影像;於光學鄰近校正之後,圖形分割第一影像;於所接收積體電路設計佈局資料的主要特徵內插入脊骨,用以產生一第二影像;對第一影像及第二影像執行一比較運算,用以辨識一錯誤;以及當未發現該錯誤時,則基於該第一影像資料製作一光罩。

Description

光罩製作方法
本發明係有關於光罩的製作及最佳化,特別係有關於使用於先進製程之積體電路產品上的光罩於製作期間用於檢查和驗證圖形分割後之資料的完整性的方法。
積體電路之材料及設計技術的進步已經發展出數個世代的積體電路,其中每一世代的積體電路比起前一代的積體電路具有更小及更複雜的電路。在積體電路進化的過程中,功能密度(例如每一單位晶片面積中相連元件的數量)逐漸地增加,然而特徵尺寸(例如所使用製程可創造出的最小元件或線寬)卻減少了。縮小化的過程藉由增加產品效能及降低相關的費用支出通常會產生一些優點。然而,為了達成這些進步,這樣縮小化的過程也增加了積體電路的加工及製造的複雜度,因此在積體電路的加工及製造上亦需要有相同的發展。
舉例來說,微影製程(lithography processes)常會使用光學鄰近校正(optical proximity correction)及輔助特徵(assist features)(例如散射棒)來改善及加強使用於基底曝寫之光罩上影像的品質。然而,隨著特徵尺寸的不斷縮小,這樣的技術在執行上變得越來越困難與複雜,尤其是在對即將被影像化的資料進行圖形分割或是修改時。在縮小化的過程中,光學 鄰近校正及/或輔助特徵會遺失或不利於修改。
因此,需要一種方法和系統能在製程縮小化且使用光學鄰近校正及/或輔助特徵等技術時確保產品的品質。
本發明的目的在於提供一種光罩製作方法,能於光罩製作期間用於檢查和驗證圖形分割後之資料的完整性。
本發明係提供一種光罩製作方法,包括接收一積體電路設計佈局資料,積體電路設計佈局資料具有複數個主要特徵;對所接收的積體電路設計佈局資料,執行一光學鄰近校正,用以產生一第一影像;於光學鄰近校正之後,圖形分割第一影像;於所接收積體電路設計佈局資料的主要特徵內插入脊骨,用以產生一第二影像;對第一影像及第二影像執行一比較運算,用以辨識一錯誤;以及當未發現錯誤時,則基於第一影像資料製作一光罩。
本發明亦提供一種光罩製作方法,包括接收一積體電路設計佈局資料,積體電路設計佈局資料具有一主要特徵;對所接收的積體電路設計佈局資料,施加一邏輯運算修正,用以產生一第一影像;對第一影像執行一光學鄰近校正,用以產生一第二影像;圖形分割第二影像;對第一影像及第二影像執行一光學鄰近校正,用以產生一第三影像;對第一影像及第二影像執行一互斥運算,用以判斷是否發生一第一錯誤;於主要特徵內插入一脊骨,用以產生一第三影像;對第二影像及第三影像,執行一減法運算,用以決定是否發生一第二錯誤;以及當第一錯誤及第二錯誤皆不存在時,則將第二影像曝 寫於一光罩上。
本發明亦提供一種光罩製作方法,包括接收一積體電路設計佈局;插入一脊骨於積體電路設計佈局,用以產生一第一影像;對積體電路設計佈局執行光學鄰近校正,用以產生一第二影像;對圖形分割之後的該第一影像及圖形分割之後的該第二影像,執行一互斥運算,用以產生一結果影像;判斷結果影像是否存在脊骨;以及若脊骨存在結果影像中,則以第二影像製作光罩。
100、200、1200‧‧‧光罩製作方法
300、400、500、600、700‧‧‧互斥運算的範例
800‧‧‧減法運算的範例
1000、1100‧‧‧脊骨互斥運算的範例
118、120、302、422、424、426、530、632、634、636、740、844‧‧‧圖形
428、638‧‧‧過濾器
116_1‧‧‧互斥運算
842‧‧‧減法運算
946、900‧‧‧脊骨運算
946_1、946_2、1162‧‧‧脊骨
948‧‧‧積體電路設計佈局的一部份
950‧‧‧積體電路設計佈局
1056、1160‧‧‧脊骨布林圖形
1058、1164‧‧‧結果圖形
1054‧‧‧脊骨互斥運算
102、104、106、108、110、112、114、116、946、1054‧‧‧步驟
第1圖用以說明本發明之光罩製作方法流程圖。
第2圖用以說明本發明之光罩製作方法之另一流程圖。
第3圖用以說明本發明之互斥運算示意圖。
第4圖用以說明本發明之互斥運算之另一示意圖。
第5圖用以說明本發明之互斥運算之另一示意圖。
第6圖用以說明本發明之互斥運算之另一示意圖。
第7圖用以說明本發明之互斥運算之另一示意圖。
第8圖用以說明本發明之減法運算示意圖。
第9圖用以說明本發明之互斥減法運算示意圖。
第10圖用以說明本發明之脊骨互斥運算示意圖。
第11圖用以說明本發明之脊骨互斥運算示意圖。
第12圖用以說明本發明之光罩製作方法之另一流程圖。
第13圖用以說明本發明之光罩製作方法之另一流程圖。
本發明的實施例可在以下的詳述及配合的圖式中得到最完整的了解。要強調的是,根據產業的標準實務,許多特徵並非依比例所繪製,而是僅為說明之用。事實上,許多特徵的尺寸為了清楚的了解本發明可隨意的加大或減小。
要瞭解的是本說明書以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明。例如,若是本說明書以下的揭露內容敘述了將一第一特徵形成於一第二特徵之上或上方,即表示其包含了所形成的上述第一特徵與上述第二特徵是直接接觸的實施例,亦包含了尚可將附加的特徵形成於上述第一特徵與上述第二特徵之間,而使上述第一特徵與上述第二特徵可能未直接接觸的實施例。另外,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
第1圖係為本發明之一光罩製作方法100之一實施例的流程圖。在本說明書中,所使用到的名稱光罩(mask)、影像光罩(photo-mask)及光罩(reticle)都視為相同的名稱。光罩製作方法100由步驟102開始,在步驟102中,提供或接收來自設計者的積體電路設計佈局資料(或積體電路設計佈局圖)。設計者可以是一獨立的設計公司,也可以是根據積體電路設計佈局用以製造積體電路產品的半導體製造廠的一部份。在許多實施例中,半導體製造廠亦有能力製作光罩、半導體晶圓或是這兩 者皆可。積體電路設計佈局中包括為了積體電路產品所設計的多種幾何圖形,這些幾何圖形係根據積體電路產品的規格所產生。
積體電路設計佈局是由一個或多個具有幾何圖形資訊的資料檔所呈現。如本領域所了解的,積體電路設計佈局是以GDS的格式所呈現。設計者根據所要製造產品的規格,執行一適當的設計程序產生積體電路設計佈局。該設計程序亦可包括邏輯設計、實體設計及/或配置和繞線。舉例而言,積體電路設計佈局的一部分包括被形成於半導體基底(例如矽晶圓)之內及之上的多種積體電路特徵(亦可視為主要特徵(main features))以及設置於半導體基底上的多種材料層,其中積體電路特徵例如主動區、閘電極、汲極及源極、金屬線、層間內連線(interlayer interconnection)的連接窗(via)以及接合墊(bonding pad)的開口。積體電路設計佈局亦可包括某些輔助特徵,例如用於影像效應(imaging effect)、製程加強(process enhancement)及/或光罩辨識(mask identification)的資訊。
如第1圖所示,光罩製作方法100於步驟104中,執行帶有半導體製造廠所要求之小偏差修正的邏輯運算(LOP)。接著,於步驟106中,對邏輯運算修正後的積體電路設計佈局資料進行光學鄰近校正修正(OPC modification)。然後,對步驟106中所備妥的光學鄰近校正修正後的積體電路設計佈局資料進行步驟108。於步驟108中,將光學鄰近校正修正後的積體電路設計佈局資料圖形分割為光罩曝寫機(mask writer)之電子束格式的複數多邊形(或不規則四邊形)。在積體電路設計佈局中 之一多邊形亦可視為一主要特徵。接著,在步驟110中,對圖形分割後的積體電路設計佈局資料繼續進行光罩加工(或是光罩製作,或是光罩生產)。複數個設計好的佈局圖形可經由電子束曝寫機(electron beam writer)、離子束曝寫機(ion beam writer)或是雷射束曝寫機(laser beam writer)形成於光罩上。
隨著積體電路設計的縮小化,光學鄰近校正修正及資料圖形分割變得越來越複雜。舉例而言,如果/當一圖形在光學鄰近校正修正及/或資料圖形分割時遺失,則會發生錯誤。因此,於光罩的製造過程中執行確保品質的方法是必需的,其可用來檢查和驗證圖形分割後的資料之正確性,並包括辨識及/或防止光學鄰近校正修正及/或資料圖形分割發生圖形遺失。
參考第2圖,第2圖係提供另一種光罩製作方法200,其檢查及驗證光學鄰近校正修正後的積體電路設計佈局資料以及圖形分割後的資料之完整性,以確保光罩製造過程的品質。光罩製作方法200由步驟102開始。在步驟102中,提供或是接收來自設計者的積體電路設計佈局資料(或積體電路設計圖形)。光罩製作方法200繼續進行至步驟104,在第一機台上對積體電路設計佈局資料執行邏輯運算修正。接著,對備妥於步驟104之邏輯運算修正後的設計佈局資料進行步驟106。於步驟106中,對邏輯運算修正後的設計佈局資料執行光學鄰近校正修正。然後,在步驟108中,將步驟106中經過光學鄰近校正修正後的設計佈局資料圖形分割為光罩曝寫機(mask writer)之電子束格式的複數多邊形(或不規則四邊形)。
如第2圖所示,在步驟112中,於步驟102所接收到的積體電路設計佈局資料亦會在一第二機台上執行邏輯運算修正。第二機台邏輯運算修正後的積體電路設計佈局資料,不經由光學鄰近校正修正,而直接於步驟114中,圖形分割成電子束格式的複數個多邊形。於步驟116中,比較步驟108所備妥的光學鄰近校正修正後且圖形分割後的資料與步驟114所備妥之未經光學鄰近校正修正但圖形分割之後的資料,用以檢查和驗證圖形的完整性。在此實施例中,步驟116的運算為一互斥運算過程,以下將提供該運算之範例。
第3圖係為根據本發明一個或多個實施例中之互斥運算的範例300。延續第2圖的光罩製作方法,互斥運算116_1用於比較圖形118及圖形120,並且標記出這兩者間任何不同之處。如第3圖所示,運算結果為圖形118和圖形120之”不同處”,即圖形302。於步驟116中用以標記在第3圖中兩圖形間之不同的方法或過程也視為一互斥運算。
根據本發明的一個或多個實施例,第4圖和第5圖分別提出互斥運算的範例400和互斥運算的範例500,用於檢查和驗證圖形分割後之積體電路佈局資料的完整性之互斥運算。參考第4圖,如圖所示,圖形422包含於一(積體電路)設計佈局中,並且包含65奈米之關鍵尺寸(CD)的特徵。圖形424為光學鄰近校正修正後的圖形,圖形426為用於比較圖形424及圖形422的互斥運算116_1之運算結果,經過運算之後,圖形422和圖形424之間的不同處為圖形426。一般而言,光學鄰近校正修正會在不同地方所定義的特徵上產生不同的偏差。舉例而 言,如第4圖所示,光學鄰近校正修正的最大偏差為20奈米。最大偏差20奈米被設定成一過濾器428,用於檢查圖形426。如果所有的特徵都小於最大偏差20奈米,則光學鄰近校正後的圖形424是正確的,並且會被標為”通過(pass)”。光學鄰近校正修正後的資料通過確認品質(QA)程序的互斥運算之後,將進一步傳給光罩曝寫機以便進行光罩的製作。
參考第5圖,在本實施例中,光學鄰近校正修正後的圖形424於光學鄰近校正修正或是資料圖形分割的過程中遺失了。在本實施例中,如第5圖所示,圖形530為該互斥運算所產生的結果。對圖形530使用最大偏差同樣為20奈米的過濾器428,則圖形530之特徵大於過濾器428所設定的最大偏差20奈米,所以會被標記下來。因此,在修正後的積體電路設計資料上發現出一個錯誤,並且被送至閘控(GATING)。”閘控”可能需要重複運算過程、工程的介入(engineering intervention)或是其他確認品質(QA)的過程。
當半導體技術持續朝更小的特徵尺寸(例如45奈米、40奈米及更小尺寸)進行時,光學鄰近校正修正後的積體電路設計圖形將更複雜。當特徵的關鍵尺寸變小,則光學鄰近校正的偏差尺寸相對變大。再者,於製程中包括一些輔助特徵會更有利於影像加強效果。因此,當特徵的尺寸縮小時,對積體電路設計圖形資料執行光學鄰近校正修正及圖形分割將面臨更多的挑戰,故需要改善前述之互斥運算。
第6圖和第7圖分別為互斥運算的範例600和互斥運算的範例700係用以說明互斥運算116_1受限的情況。如第6 圖中所示,圖形632包含於一積體電路設計佈局中,並且包含30奈米之關鍵尺寸的特徵。圖形634為光罩上具有30奈米之關鍵尺寸的特徵經由光學鄰近校正修正後的圖形。圖形636為用於比較圖形632及圖形634的互斥運算116_1的運算結果。一般而言,光學鄰近校正修正會在光罩上不同地方所定義的特徵上產生不同的偏差。舉例而言,如第6圖所示,對於具有關鍵尺寸為30奈米的特徵其光學鄰近校正修正的最大偏差為35奈米。最大偏差為35奈米係設定為一過濾器638用以檢查圖形636。如果所有的特徵都小於最大偏差35奈米,則光學鄰近校正的圖形634是正確的。光學鄰近校正修正後的資料通過確認品質程序中之互斥運算116_1之後,將進一步傳給光罩曝寫機,以便後續的光罩製作。
參考第7圖,圖形632經過光學鄰近校正修正之後的圖形634(第6圖)在光學鄰近校正修正或是資料圖形分割的過程期間遺失了。在本實施例中,互斥運算116_1所產生的結果為圖形740。圖形740使用最大偏差為35奈米的過濾器638。因為具有關鍵尺寸為30奈米的特徵尺寸小於最大偏差為35奈米的過濾器638,因此遺失的圖形不會被閘控(gated)。具有錯誤之圖形分割後的資料將傳送給光罩曝寫機用於製作光罩,且將發生晶圓的壞片。
第8圖係為根據本發明一個或多個實施例所提出之減法運算842的減法運算的範例800。延續第2圖的光罩製作方法200,減法運算842用於比較圖形118及圖形120,並且標記出這兩者任何不同之處。如第8圖所示,運算結果為圖形118和 圖形120之”不同處”,即圖形844。
第9圖係為根據本發明的一個或多個實施例將減法運算842運用於脊骨運算(backbone operation)900中。脊骨運算900將一相對小的特徵(脊骨)插入一圖形化後的特徵內。以第9圖為例,尺寸為5奈米的脊骨946_2插入具有關鍵尺寸的大小小於最大光學鄰近校正誤差尺寸的每一多邊形內。繼續以第9圖為例,脊骨946_2插入積體電路設計佈局950上的一部份948內。脊骨運算900與減法運算842可結合為脊骨互斥運算,其運算如下所述。
第10圖和第11圖係為根據本發明一個或多個實施例所提出脊骨互斥運算的範例1000和脊骨互斥運算的範例1100,分別為用於檢查和驗證圖形分割後的積體電路設計佈局資料之完整性的脊骨互斥運算。參考第10圖,對一積體電路設計佈局進行一脊骨運算(backbone operation)946_1,用以產生脊骨布林圖形1056。脊骨只會配置於具有關鍵尺寸小於最大光學鄰近校正誤差尺寸的特徵部份。如第10圖所示,具有關鍵尺寸大於最大光學鄰近校正誤差尺寸的特徵部份不會配置脊骨。脊骨布林圖形1056中包含任何的光學鄰近校正修正,並且被提供給脊骨互斥運算1054,用以在結果圖形1058中產生一運算結果。在結果圖形1058上並沒有發現任何脊骨,因此在脊骨布林圖形1056中之圖形分割後的圖形是正常的並且通過檢查。
參考第11圖,脊骨布林圖形1160與脊骨布林圖形1056除了於光學鄰近校正修正中遺失了一特徵外這兩者是相同的。然而,實際執行脊骨運算946_1之後,如結果所示,脊 骨1162仍然存在。對脊骨布林圖形執行脊骨互斥運算1054產生一結果圖形1164。在結果圖形1164中,脊骨1162被偵測到,即表示有一個特徵在圖形分割後的積體電路設計佈局資料中遺失了。因此,錯誤可接著被處理。
脊骨運算和脊骨互斥運算可以不同的方式運用。第12圖係為根據本發明一個或更多個實施例所揭露的光罩製作方法,光罩製作方法1200係延續著光罩製作方法100(第1圖)。光罩製作方法1200係利用脊骨運算946_1與脊骨互斥運算1054。光罩製作方法1200,起初如同第2圖的步驟104,對所接收之積體電路設計佈局資料進行邏輯運算修正。在邏輯運算修正之後,該方法繼續執行步驟106,用以執行光學鄰近校正修正。之後,執行步驟108,用以將邏輯運算修正後的積體電路設計佈局資料圖形分割為用於光罩曝寫機的電子束格式。邏輯運算修正後的積體電路設計佈局資料亦會在步驟946中進行一脊骨運算,意即將一非常小的脊骨配置在複數個具有關鍵尺寸小於最大光學鄰近校正修正(或偏差)值的小特徵上。因此,將會產生兩組修改後的資料;一者為來自步驟108的圖形分割後之電子束格式的資料,另一者為來自步驟946的脊骨修正後的資料。光罩製作方法120進行到步驟1054,執行一脊骨互斥運算用以檢查和驗證電子束格式的資料的完整性。在本發明的一個或多個實施例中,脊骨互斥運算係使用於45奈米及更先進的半導體製程中,用以檢查和驗證圖形分割後之積體電路設計佈局資料的完整性。
第13圖係為根據本發明一個或更多個實施例所揭 露的光罩製作方法,光罩製作方法1300係延續光罩製作方法200(第2圖),光罩製作方法1300係利用脊骨運算946_1與脊骨互斥運算1054。光罩製作方法1300啟始於步驟102,並於步驟102中提供或接收來自設計者之積體電路佈局資料(或積體電路設計圖形)。光罩製作方法1300於步驟104中,在第一機台上對積體電路設計佈局資料執行一邏輯運算修正。接著,於步驟106中,對於步驟104所備妥之邏輯運算修正後的積體電路設計佈局資料,進行一光學鄰近校正修正。然後於步驟108中,將步驟106備妥之光學鄰近校正修正後的積體電路設計佈局資料圖形分割成光罩曝寫機之電子束格式的多邊形(或不規則四邊形)。
步驟102所接收到積體電路設計佈局資料亦會於步驟112中,在第二機台上執行邏輯運算修正。第二機台邏輯運算修正後的積體電路設計佈局資料,不經由光學鄰近校正修正,而直接於步驟114中,圖形分割成電子束格式的複數個多邊形。根據本發明的某一個或多個實施例中的品質確保方法,將步驟108所備妥之經光學鄰近校正修正並且經圖形分割之後的資料與步驟114所備妥的未經光學鄰近校正修正但經圖形分割後的資料做比較,用於檢查和驗證在光學鄰近校正修正及資料圖形分割之後的圖形之完整性。
然後,於步驟116中,對步驟108所備妥之經光學鄰近校正修正之後並且經圖形分割之後的資料與步驟114所備妥的未經光學鄰近校正修正但已圖形分割之後的資料繼續進行互斥運算,用以檢查和驗證於光學鄰近校正修正或是資料圖 形分割期間所發生之資料錯誤。
邏輯運算修正後的積體電路設計資料亦會於步驟946中配置一非常小的脊骨(例如5奈米)到複數個具有關鍵尺寸小於最大光學鄰近校正修正(誤差)值的小特徵上。接著於步驟1054中,對兩組修改後的資料執行一脊骨互斥運算用以檢查和驗證電子束所使用之圖形分割後的資料之完整性。這兩組修改資料一者為來自步驟108的電子束格式之圖形分割後的資料,另一者為來自步驟946的脊骨修正後的資料。
在通過步驟116的互斥運算以及步驟1054的脊骨互斥運算後,光學鄰近校正修正且圖形分割後之積體電路設計資料將傳送給用於光罩加工或製造的光罩曝寫機。
因此,本發明揭露一種光罩製作方法,適用於45 奈米級與更先進的半導體技術。在某一實施例中,一種光罩製作方法包括接收具有複數個主要特徵的積體電路設計佈局資料、施加一邏輯運算修正、執行一光學鄰近校正修正、將光學鄰近校正修正後的資料圖形分割為電子束格式之複數個多邊形、執行一互斥運算於圖形分割後的資料上、配置一脊骨到關鍵尺寸小於最大光學鄰近校正修正尺寸(脊骨)的複數個特徵上,以及對電子束格式資料執行一脊骨減法(脊骨互斥)。在圖形分割後的資料分別通過互斥及脊骨互斥的資料檢查和驗證之後,圖形分割後的資料即送往光罩加工或是製造。
在某一實施例中,一種製作光罩方法包括在一機台上進行一邏輯運算修正;執行一光學鄰近校正;以及將邏輯運算修正後的積體電路設計佈局資料圖形分割成為電子束格 式的複數個多邊形。在其它實施例中,一種製作光罩方法更包括在其他機台上進行邏輯運算修正;以及對未經光學鄰近校正修正之邏輯運算修正後的積體電路設計佈局資料進行圖形分割。對兩組圖形分割後的積體電路設計佈局資料進行一互斥運算,以標記出兩組資料之間的不同處,這兩組資料中之一組經過光學鄰近校正修正,而另一組沒有經過光學鄰近校正修正。接著,以最大光學鄰近校正修正尺寸當作過濾器,用以檢查不同處並且驗證是否在光學鄰近校正修正及/或資料圖形分割期間發生圖形遺失。
在某一實施例中,一種製作光罩方法包括在一機台上執行一邏輯運算修正;執行一光學鄰近校正;以及將邏輯運算修正後的積體電路設計佈局資料圖形分割成為電子束格式的複數個多邊形。在其它實施例中,邏輯運算修正後的積體電路設計佈局資料,亦可執行脊骨運算以配置脊骨到複數個具有關鍵尺寸小於最大光學鄰近校正修正尺寸的小特徵上,之後執行脊骨互斥運算,脊骨互斥運算係施加一脊骨減法運算至電子束格式的資料,用以檢查和驗證在光學鄰近校正修正和資料圖形分割期間,是否有小特徵被列舉出來。
以上敘述許多實施例的特徵,使所屬技術領域中具有通常知識者能夠清楚理解以下的說明。所屬技術領域中具有通常知識者能夠理解其可利用本發明揭示內容作為基礎,以設計或更動其他製程及結構而完成相同於上述實施例的目的及/或達到相同於上述實施例的優點。所屬技術領域中具有通常知識者亦能夠理解不脫離本發明之精神和範圍的等效構造 可在不脫離本發明之精神和範圍內作任意之更動、替代與潤飾。
102、104、106、108、946、1054、110‧‧‧步驟

Claims (10)

  1. 一種光罩製作方法,包括:接收一積體電路設計佈局資料,該積體電路設計佈局資料具有複數個主要特徵;對所接收的該積體電路設計佈局資料,執行一光學鄰近校正,用以產生一第一影像;於該光學鄰近校正之後,圖形分割該第一影像;於所接收該積體電路設計佈局資料的該等主要特徵之內插入複數個脊骨,用以產生一第二影像;對該第一影像及該第二影像執行一比較運算,用以辨識一錯誤;以及當未發現該錯誤時,則根據該第一影像資料製作一光罩。
  2. 如申請專利範圍第1項所述之光罩製作方法,更包括:於插入該等脊骨之後,圖形分割該第二影樣,在執行該光學鄰近校正及插入該等脊骨之前,先對所接收到的該積體電路設計佈局資料施加一邏輯運算,其中該光學鄰近校正藉由加入一偏差到該等主要特徵上,用以修改該積體電路設計佈局,其中圖形分割該第一影像及該第二影像的步驟包括轉換該積體電路設計佈局資料為一電子束格式的資料或是一雷射束格式的資料。
  3. 如申請專利範圍第2項所述之光罩製作方法,更包括使用一具有最大光學鄰近校正偏差尺寸之過濾器,過濾該第一影像及該第二影像,其中該比較運算包括一互斥運算並且該比較運算用以尋找不具有一對應主要特徵的脊骨,其中 若該第一影像與該第二影像的差異小於該具有最大光學鄰近校正偏差尺寸之過濾器的偏差尺寸時,則表示未發現錯誤;並且若該第一影像與該第二影像的差異大於該具有最大光學鄰近校正偏差尺寸之過濾器的該偏差尺寸,則表示發現錯誤。
  4. 一種光罩製作方法,包括:接收一積體電路設計佈局資料,該積體電路設計佈局資料具有一主要特徵;對所接收的該積體電路設計佈局資料,施加一邏輯運算修正,用以產生一第一影像;於該第一影像上,執行一光學鄰近校正,用以產生一第二影像;圖形分割該第二影像;對該第一影像及該第二影像,執行一光學鄰近校正,用以產生一第三影像;對該第一影像及該第二影像,執行一互斥運算,用以判斷是否發生一第一錯誤;於該主要特徵內插入一脊骨,用以產生一第三影像;對該第二影像及該第三影像,執行一減法運算,用以決定是否發生一第二錯誤;以及當該第一錯誤及該第二錯誤皆不存在時,則將該第二影像曝寫於一光罩上。
  5. 如申請專利範圍第4項所述之光罩製作方法,其中施加該邏輯運算修正的步驟包括於一第一機台及一第二機台上 對所接收的該積體電路設計佈局資料加入一小偏差,該第一機台上的該積體電路設計佈局資料係用以產生該第一影像,並且該第二機台上的該積體電路設計佈局資料係用以產生該第二影像。
  6. 如申請專利範圍第4項所述之光罩製作方法,更包括:圖形分割該第三影像;其中執行該互斥運算的步驟包括標記該第一影像及該第二影像間的不同處,使用一最大光學鄰近校正尺寸為標準,用以檢查該不同處的差異尺寸,以便檢查及驗證該主要特徵是否在該光學鄰近校正修正步驟或該圖形分割步驟期間遺失,其中該插入脊骨的步驟包括插入一特徵,其中該特徵為小於該最大光學鄰近校正尺寸的小特徵;以及其中執行該減法運算的步驟包括比較該第二影像及該第三影像,用以檢查及驗證是否在該光學鄰近校正修正步驟或該圖形分割步驟期間發生一圖形遺失,其中將該第二影像曝寫於該光罩上的步驟,包括使用一電子束曝寫機、一離子束曝寫機或一雷射束曝寫機曝寫該圖形。
  7. 一種光罩製作方法,包括:接收一積體電路設計佈局;插入一脊骨於該積體電路設計佈局,用以產生一第一影像;對該積體電路設計佈局執行一光學鄰近校正,用以產生一第二影像; 對圖形分割之後的該第一影像及圖形分割之後的該第二影像,執行一互斥運算,用以產生一結果影像;判斷該結果影像是否存在該脊骨;以及若該脊骨存在該結果影像中,則以該第二影像製作該光罩。
  8. 如申請專利範圍第7項所述之光罩製作方法,更包括:於執行該互斥運算之前,圖形分割該第一影像及該第二影像。
  9. 如申請專利範圍第7項所述之光罩製作方法,更包括:於插入該脊骨或執行該光學鄰近修正之前,對該積體電路設計佈局執行一邏輯運算修正。
  10. 如申請專利範圍第7項所述之光罩製作方法,更包括:以一最大光學鄰近校正修正尺寸,過濾該結果影像,其中該脊骨被插入位於該積體電路設計佈局的一特徵上,且該特徵具有一關鍵尺寸小於該最大光學鄰近校正修正尺寸,其中該脊骨具有一關鍵尺寸小於該特徵的關鍵尺寸。
TW102107988A 2012-03-09 2013-03-07 光罩製作方法 TWI472869B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/416,897 US8555211B2 (en) 2012-03-09 2012-03-09 Mask making with error recognition

Publications (2)

Publication Number Publication Date
TW201348852A true TW201348852A (zh) 2013-12-01
TWI472869B TWI472869B (zh) 2015-02-11

Family

ID=49115222

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102107988A TWI472869B (zh) 2012-03-09 2013-03-07 光罩製作方法

Country Status (3)

Country Link
US (1) US8555211B2 (zh)
KR (1) KR101355716B1 (zh)
TW (1) TWI472869B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI612373B (zh) * 2014-07-24 2018-01-21 聯華電子股份有限公司 光學鄰近修正驗證系統及其驗證方法

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102396647B1 (ko) * 2017-10-12 2022-05-11 삼성전자주식회사 포토마스크의 레이아웃 설계 방법 및 포토마스크의 제조 방법

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7496884B2 (en) 2005-09-02 2009-02-24 Synopsys, Inc. Distributed hierarchical partitioning framework for verifying a simulated wafer image
US20080178140A1 (en) 2007-01-18 2008-07-24 United Microelectronics Corp. Method for correcting photomask pattern
US7571421B2 (en) * 2007-04-23 2009-08-04 Taiwan Semiconductor Manufacturing Company System, method, and computer-readable medium for performing data preparation for a mask design
US7913196B2 (en) * 2007-05-23 2011-03-22 United Microelectronics Corp. Method of verifying a layout pattern
US7971164B2 (en) * 2008-04-10 2011-06-28 International Business Machines Corporation Assessing resources required to complete a VLSI design
JP5409231B2 (ja) * 2008-09-26 2014-02-05 株式会社半導体エネルギー研究所 設計システム
NL2005523A (en) * 2009-10-28 2011-05-02 Asml Netherlands Bv Selection of optimum patterns in a design layout based on diffraction signature analysis.
KR101652830B1 (ko) * 2010-07-02 2016-08-31 삼성전자주식회사 포토마스크 형성 방법, 이를 수행하는 프로그래밍된 명령을 저장하는 컴퓨터에서 판독 가능한 저장 매체 및 마스크 이미징 시스템
US8458631B2 (en) * 2011-08-11 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Cycle time reduction in data preparation

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI612373B (zh) * 2014-07-24 2018-01-21 聯華電子股份有限公司 光學鄰近修正驗證系統及其驗證方法

Also Published As

Publication number Publication date
KR101355716B1 (ko) 2014-01-24
US8555211B2 (en) 2013-10-08
TWI472869B (zh) 2015-02-11
US20130239072A1 (en) 2013-09-12
KR20130103280A (ko) 2013-09-23

Similar Documents

Publication Publication Date Title
TWI476509B (zh) 用於光罩製作之積體電路設計方法
US7765515B2 (en) Pattern match based optical proximity correction and verification of integrated circuit layout
US8802574B2 (en) Methods of making jogged layout routings double patterning compliant
CN106469235B (zh) 集成电路设计制造方法以及集成电路设计系统
CN108932360B (zh) 集成电路及其制造方法
TWI768471B (zh) 產生積體電路的光罩資料準備方法及非暫時性電腦可讀媒體
US20130219347A1 (en) Methods for decomposing circuit design layouts and for fabricating semiconductor devices using decomposed patterns
JP2009058957A (ja) フォトマスクレイアウトの生成方法及びこれを行うプログラミングされた命令を保存するコンピュータで読み取り可能な記録媒体及びマスクイメージングシステム
JP2005156606A (ja) 光近接効果補正の方法
TWI472869B (zh) 光罩製作方法
JP2004302263A (ja) マスクパターン補正方法およびフォトマスク
US8443309B2 (en) Multifeature test pattern for optical proximity correction model verification
CN106033171B (zh) 用于晶圆上坏点的失效分析的方法
US8910090B2 (en) Methods involving pattern matching to identify and resolve potential non-double-patterning-compliant patterns in double patterning applications
JP2006039059A (ja) フォトマスクデータの作成方法およびフォトマスクの製造方法
US8233695B2 (en) Generating image inspection data from subtracted corner-processed design data
JP5200602B2 (ja) 露光用データ作成方法及びフォトマスク製造方法
JP4774917B2 (ja) マスクパターンの検査装置及び検査方法
JP2006337668A (ja) 半導体装置の製造方法およびレイアウトパターンの作成プログラム
JP2002323749A (ja) フォトマスクの欠陥部ないし修正後の欠陥部の判定方法
JP2005017551A (ja) 近接効果補正の検証方法及び検証装置
JP4529398B2 (ja) ダミーパターン情報生成装置、パターン情報生成装置、マスク作成方法、ダミーパターン情報生成方法、プログラム及び上記プログラムを記録したコンピュータ読み取り可能な記録媒体
US8298732B2 (en) Exposure method and method of making a semiconductor device
US20230176470A1 (en) Method of generating curve sub-resolution assist feature (sraf), method of verifying mask rule check (mrc), and method of manufacturing mask including method of generating the same
US20090113376A1 (en) Apparatus for OPC Automation and Method for Fabricating Semiconductor Device Using the Same