TW201344371A - 光罩、極紫外光光罩與其製法 - Google Patents

光罩、極紫外光光罩與其製法 Download PDF

Info

Publication number
TW201344371A
TW201344371A TW101144267A TW101144267A TW201344371A TW 201344371 A TW201344371 A TW 201344371A TW 101144267 A TW101144267 A TW 101144267A TW 101144267 A TW101144267 A TW 101144267A TW 201344371 A TW201344371 A TW 201344371A
Authority
TW
Taiwan
Prior art keywords
layer
reticle
substrate
deposited
ltem
Prior art date
Application number
TW101144267A
Other languages
English (en)
Other versions
TWI477927B (zh
Inventor
Pei-Cheng Hsu
Chih-Tsung Shih
Chia-Jen Chen
Tsiao-Chen Wu
Shinn-Sheng Yu
Hsin-Chang Lee
Anthony Yen
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201344371A publication Critical patent/TW201344371A/zh
Application granted granted Critical
Publication of TWI477927B publication Critical patent/TWI477927B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本發明提供一種極紫外光光罩與其製法。極紫外光光罩包括:低熱膨脹材料板;導電層沉積於低熱膨脹材料基板之第一表面上;一反射複合層的堆疊結構沉積於低熱膨脹材料基板之第二表面上;蓋層沉積於反射複合層的堆疊結構之上;第一吸收層沉積於蓋層之上;主要圖案與邊界間的溝槽,而溝槽蝕刻至蓋層;以及第二吸收層填入溝槽內。

Description

光罩、極紫外光光罩與其製法
本發明係有關於一種光罩,且特別是有關於一種極紫外光(EUV)光罩。
半導體積體電路(integrated circuit,IC)工業快速的發展。隨著IC材料與設計上的發展,使得IC每一個世代擁有比前一個世代小且複雜的電路。IC發展的過程中,當IC幾何尺寸(例如製程所能得到的最小元件(或線))逐漸縮小的同時,功能元件之密度(例如每單位晶片面積中的內連線元件)隨之逐漸增加。尺寸縮小製程之好處在於增加生產效率(production efficiency)與降低相關製程成本。
這些發展也提高了IC製程的複雜度,為了實現這些先進IC,在IC的製程上也需要對等的發展。舉例而言,為了符合較高解析度之微影製程需求,因而發展極紫外光微影(extreme ultraviolet lithography,EUVL)。於製作極紫外光光罩之製程中,為了於晶圓基板上製造IC圖案,極紫外光光罩的邊界區域(border)的反射率將是一項挑戰。
因此,需要提出一種方法,此方法能解決上述問題且能持續改善晶圓廠中的半導體製程。此外,也需要提供改良的光罩,例如可使用於極紫外光微影(extreme ultraviolet lithography,EUVL)。
本發明提供一種光罩,包括:一低熱膨脹材料(low thermal expansion material,LTEM)基板;一導電層,沉積於該低熱膨脹材料(LTEM)基板之一第一表面上;一反射複合層的堆疊結構,沉積於該低熱膨脹材料(LTEM)基板之一第二表面上;一蓋層(capping layer),沉積於該反射複合層的堆疊結構上;一第一吸收層,沉積於該蓋層之上;一主要圖案與一邊界溝槽(border ditch),其中該邊界溝槽延伸到該蓋層;以及一第二吸收層,形成於該邊界溝槽內。
本發明另提供一種極紫外光(EUV)光罩,包括:一低熱膨脹材料(low thermal expansion material,LTEM)基板;一導電層,沉積於該低熱膨脹材料(LTEM)基板之一第一表面上;一反射複合層的堆疊結構,沉積於該低熱膨脹材料(LTEM)基板之一第二表面上;一蓋層(capping layer),沉積於該反射複合層的堆疊結構之上;一第一吸收層,沉積於該蓋層之上;一主要圖案與一邊界溝槽(border ditch),其中該邊界溝槽穿過該蓋層且進入到部份的該反射複合層的堆疊結構;以及一第二吸收層,沉積於該邊界溝槽內。
本發明亦提供一種極紫外光(EUV)光罩之製法,包括以下步驟:提供一低熱膨脹材料(low thermal expansion material,LTEM)基板沉積一導電層於該低熱膨脹材料(LTEM)基板之一第一表面上;沉積一反射複合層的堆疊結構於該低熱膨脹材料(LTEM)基板之一第二表面上;沉積一蓋層(capping layer)於該反射複合層的堆疊結構之上;沉積一第一吸收層於該蓋層之上;形成一主要圖案與一邊界溝槽(border ditch);沉積光阻於該邊界溝槽外,以形成一光阻 溝槽;以及沉積一第二吸收層於該邊界溝槽內。
為讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下:
請參見第1圖,極紫外光微影(extreme ultraviolet,EUV)微影系統100之例子有助於本發明所提供之實施例。極紫外光微影(extreme ultraviolet,EUV)微影系統100包括輻射源(radiation source)102、光束(illumination beam)104、聚光光學儀器(condenser optics)106、光罩108、光罩平台110、投影光學儀器(projection optics)112、基板(substrate stage)114、基板116與光阻膜118。第1圖中亦可包括或省略其他可能的元件。於本發明中,極紫外光微影(extreme ultraviolet,EUV)微影系統100亦可稱為微影步進機(stepper)或步進掃描機(scanner),且光罩108亦可稱為光罩幕(photomask)、光的罩幕(photo mask)或倍縮光罩(reticle)。於本發明中,輻射源(radiation source)102包括一雷射,在EUV的波長範圍內,該雷射提供光束(illumination beam)104。舉例而言,高功率的鈦-釔鋁石榴石(Nd-YAG)雷射光束聚焦於氙氣(xenon gas)、液體或固體靶材(target),以產生30-45 eV電漿,其中該電漿發射波長約13.4 nm的EUV光束。聚光光學儀器(condenser optics)106包括修飾多層的收集器(collector)與複數個濾光型反射鏡(grazing mirrors),聚光光學儀器(condenser optics)106之設 置係用於收集與塑形光束(illumination beam)104且提供狹縫(slit)給射向光罩108的光束(illumination beam)104,其中光罩108固定於光罩平台110。光罩108提供空間影像(aerial image)從光罩108到光束(illumination beam)104。光罩108包括穿透光罩或反射光罩。於本發明中,光罩108為反射光罩。光罩108設置於光罩平台110之上。光罩平台110包括複數個馬達(motors)、滑軌(roller guides)與平台(tables);並藉由真空固定光罩108於光罩平台110上;且在極紫外光(extreme ultraviolet,EUV)微影系統100中進行對準、聚焦、水平對準(leveling)與曝光操作時,光罩平台110於X、Y、Z軸方向上使光罩108能夠準確定位與移動。投影光學儀器(projection optics)112包括放大鏡與複數個鏡子,其提供光罩108之空間影像(aerial image)的縮圖(reduction)給光阻膜118,光阻膜118沉積於被基板平台114所固定的基板116上。基板平台114包括複數個馬達(motors)、滑軌(roller guides)與平台(tables);並藉由真空固定基板116;且在極紫外光微影(extreme ultraviolet,EUV)微影系統100中進行對準、聚焦、水平對準(leveling)與曝光操作時,基板平台114於X、Y、Z軸方向上使基板116能夠準確定位與移動,因此,光罩108的影像以重複方式(repetitive fashion)轉移到基板上(其他的微影方法也可達到)。極紫外光微影(extreme ultraviolet,EUV)微影系統100,或上述的部份,可包括其他元件,例如真空系統及/或冷卻系統。
繼續本發明之實施例,為了被光罩108的空間影像 (aerial image)所曝光,將具有光阻膜118的基板116承載到基板平台114之上。於本發明中,光阻亦可指光阻抗(photo resist)、光阻膜(resist film)或光阻抗膜(photo resist film)。光阻膜118包括正形光阻(positive tone resist)與負形光阻(negative tone resist)。基板116包括晶圓基板。晶圓基板包括矽晶圓。此外,晶圓可包括其他元素半導體,例如鍺;化合物半導體包括碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)、及/或銻化銦(indium antimonide);合金半導體材料包括矽化鍺(SiGe)、磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵(AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)、及/或磷砷鎵銦(GaInAsP)。於另一實施例中,晶圓為半導體上覆矽(semiconductor on insulator,SOI)。複數層導電或非導電薄膜層可沉積於晶圓之上。舉例而言,導電薄膜層可包括金屬,例如鋁(Al)、銅(Cu)、鎢(W)、鎳(Ni)、鈦(Ti)、金(Au)、鉑(Pt)以及上述金屬之合金。絕緣膜可包括氧化矽與氮化矽。空白光罩基板(blank mask substrate)可包括低熱膨脹材料,例如石英、矽、碳化矽與氧化矽-氧化鈦化合物。
於本發明中,顯示於第1圖中的極紫外光微影(extreme ultraviolet,EUV)微影系統100中的光罩108包括主要圖案(main pattern)與邊界(border)。依據IC設計圖案且藉由電子束寫入器(electron beam writer)形成主要圖案。光罩包括吸收層(主要圖案與邊界),吸收層包括摻雜硼(B)、氮(N)及/或氧的鉻(Cr)、鈦(Ti)、或鉭(Ta)材料,例如硼氮化鉭 (TaBN)、氮化鉭(TaN)與氮化鉻(CrN)。這些材料的消光係數(extinction coefficients)為約0.05,表示邊界的反射率為約3.7%。於本發明第1圖的實施例中,邊界的反射率低於0.3%,以達到暗圖案區,且用以避免晶圓上晶片與晶片間重覆曝光區域所造成線寬尺寸過大的效應,意即鄰近晶片效應(neighboring die effect)。降低邊界的反射率的方法是採用較厚吸收層形成之空白光罩(mask blank)。因此,藉由使用較厚的吸收層,以降低鄰近晶片效應(neighboring die effect)。較厚吸收層之缺點在於,會增加水平方向與垂直方向的圖案特徵偏差(H-V bias)。另外一個降低邊界反射率的方法是,蝕刻邊界的吸收層與位於吸收層底下的反射複合層。蝕刻反射複合層的缺點在於,於蝕刻整個反射複合層堆疊結構之後,光罩的平坦度被改變。
請參見第2圖,利用方法200形成光罩,以應用於本發明一或多個實施例中。方法200開始於步驟202,提供基板。接著,方法200進行到步驟204,沉積導電層到基板的第一表面上。於步驟204之後,方法200進行到步驟206,沉積反射複合層(multilayer,ML)堆疊結構於基板之第二表面上。方法200繼續進行步驟208,沉積蓋層(capping layer)於反射複合層(ML)之上。方法200進行到步驟210,沉積第一吸收層於反射複合層(ML)之上。
於步驟210之後,方法200進行到步驟212,形成利用第一吸收層形成之主要圖案且於邊界區域(border area)形成溝槽(ditch)。步驟212包括藉由旋轉塗佈(spin-on)沉積第一光阻膜於第一吸收層之上,藉由電子束寫入器(electron beam writer)曝光第一光阻膜,以及顯影曝光的第一光阻膜,以形成第一光阻圖案。步驟212尚包括藉由電漿乾式蝕刻或濕式蝕刻製程,對形成於第一吸收層之上的第一光阻圖案進行蝕刻,以形成利用第一吸收層形成之主要圖案與邊界溝槽(border ditch)。為了後續步驟,步驟212亦包括於蝕刻製程之後,剝除第一光阻膜,以及清潔表面。
於步驟212之後,方法200進行到步驟214,形成光阻溝槽。步驟214包括藉由旋轉塗佈(spin-on)沉積第二光阻膜於已圖案化之第一吸收層之上,藉由雷射光束寫入器(laser beam writer)曝光第二光阻膜,以及顯影曝光的第二光阻膜,以形成光阻溝槽。光阻溝槽僅保持溝槽是開啟的,且保護利用第二光阻膜形成的主要圖案。
繼續本發明之步驟,於步驟214之後,方法200可進行兩種不同的路徑。舉例而言,方法200可進行到步驟216,藉由電漿乾式蝕刻或濕式蝕刻製程,對蓋層與位於溝槽內的一部份反射複合層(ML)堆疊結構進行蝕刻。於步驟216之後,方法200進行到步驟218,沉積第二吸收層到溝槽中。步驟218可包括沉積保護層於第二吸收層之上。步驟218尚包括藉由剝離製程(lift-off process)、濕式清潔製程(wet cleaning process)及/或電漿清潔製程(plasma cleaning process),剝除第二光阻膜。
如第2圖所示,於另一實施例中,於步驟214之後,方法200可進行步驟218,沉積第二吸收層到溝槽中。第二吸收層沉積於溝槽中的蓋層之上。步驟218可包括沉積保護層於第二吸收層之上。步驟218尚包括藉由剝離製程 (lift-off process)、濕式清潔製程(wet cleaning process)及/或電漿清潔製程(plasma cleaning process),剝除第二光阻膜。於步驟218之後形成光罩。此外,光罩可藉由薄膜框架(pellicle frame)架設薄膜。於方法200之前,期間或之後可提供其他步驟,且本文所述的一些步驟可被取代、刪除或移動到方法200的其他實施例中。
於前述討論中,於方法200中,各種層狀結構,例如導電層、反射複合層(ML)、第一與第二吸收層、保護層藉由物理氣相沉積製程形成,例如蒸鍍(evaporation)與直流磁控濺鍍法(DC magnetron sputtering);藉由電鍍製程形成,例如無電極電鍍或電鍍;藉由化學氣相沉積法(CVD)形成,例如大氣壓化學氣相沉積法(APCVD)、低壓化學氣相沉積法(LPCVD)、電漿增強型化學氣相沉積法(PECVD)、高密度電漿氣相沉積法(HDP CVD);或藉由離子束沉積、旋轉塗佈、金屬有機裂解法(metal-organic decomposition,MOD)、原子層氣相沉積法(ALCVD)及/或其他本領域所熟知之方法形成。於本發明中,蝕刻製程包括電漿乾式蝕刻、濕式蝕刻製程及/或其他蝕刻製程。舉例而言,乾式蝕刻製程利用含氧氣的氣體、含氟的氣體(例如CF4、SF6、CH2F2、CHF3、及/或C2F6)、含氯的氣體(例如Cl2、CHCl3、CCl4及/或BCl3)、含溴的氣體(例如HBr及/或CHBr3)、含碘的氣體、其他適合的氣體及/或電漿、及/或上述之組合。
依據本發明之一或多個實施例,第3圖到第4圖顯示光罩108的剖面圖。光罩108包括基板302、導電層304、反射複合層(ML)306、蓋層308、第一吸收層310、主要圖 案312、邊界溝槽314、第二吸收層318與保護層320。然而亦可以是其他結構、或是增減元件的某些部份亦可。光罩108係利用如第2圖所示之方法200所形成。對於極紫外光微影(extreme ultraviolet,EUV)微影,光罩108包括二元式明暗度光罩(binary intensity mask,BIM)與相位移光罩(phase-shifting mask,PSM)。舉例而言,二元式明暗度光罩(BIM)包括幾乎全吸收區域(亦稱為不透明區域(opaque region))與反射區域。吸收材(absorber)存在於不透明區域中,且吸收材幾乎完全吸收入射光束。在反射區域中,移除吸收材,且入射光被反射複合層(ML)反射。於另一實施例中,相位移光罩(PSM)包括吸收區域與反射區域。相對於反射區域所反射的入射光,從吸收區域反射的部份入射光具有相位偏差,因此可增加解析度及影像品質。相位移光罩(phase-shifting mask,PSM)包括減光型PSM(alt.PSM)與交變微孔型PSM(att.PSM)。交變微孔型PSM(att.PSM)來自吸收材之反射率通常為2%-15%,而減光型PSM(alt.PSM)來自吸收材之反射率通常為大於50%。
於本發明中,基板302可包括低熱膨脹材料(low thermal expansion material,LTEM)。基板302可降低因過度照射輻射所導致光罩受熱而造成的影像扭曲(image distortion)。低熱膨脹材料(LTEM)包括熔融矽(fused silica)、熔融石英(fused quartz)、氟化鈣(CaF2)、碳化矽(silicon carbide)、氧化矽-氧化鈦合金(silicon oxide-titanium oxide alloy)及/或本領域所熟知之適合的材料。基板302包括具有低缺陷程度與平滑表面的材料。於一實施例中,如 第3圖所示,為了靜電吸附(electrostatic chucking),導電層304可沉積於第3圖中的基板302的第一表面上。於另一實施例中,導電層304包括氮化鉻(CrN),亦可包括其他材料。
如第3圖所示,反射複合層(ML)306沉積於基板302之第二表面上。依據菲涅爾折射公式(Fresnel equation),當光穿過兩個具有不同折射率(refractive indices)的材料介面時,會發生光反射。當折射率(refractive indices)的差異越大時,所反射的光越大。為了增加反射光,可藉由沉積由交錯材料所形成的反射複合層(ML)306,以增加介面的數量,並且可藉由選擇反射複合層(ML)306中每一層適當的厚度,讓從不同介面反射出來的光彼此造成建設性干涉(interfere)。然而,使用於反射複合層(ML)306材料的吸收特性侷限其可達到的最高反射率。反射複合層(ML)306包括複數層薄膜對(a plurality of film pairs),例如複數層鉬-矽薄膜對(Mo/Si)(亦即,於每一對中,鉬層位於矽層之上或之下)。另外,反射複合層(ML)306可包括鉬-鈹(Mo/Be)薄膜對,或者其他在極紫外光(extreme ultraviolet,EUV)波長範圍內具有高反射性的材料也可使用於反射複合層(ML)306。反射複合層(ML)306每一層的厚度依據極紫外光(extreme ultraviolet,EUV)波長範圍與入射角而定。調整反射複合層(ML)306的厚度以達到極紫外光(extreme ultraviolet,EUV)於每一反射介面的建設性干涉(constructive interference)為最大值,以及達到被反射複合層(ML)306所吸收的極紫外光(extreme ultraviolet,EUV)為 最小值。可選擇反射複合層(ML)306,對應於所選擇的輻射類型/波長以提供高反射性。薄膜對的數量一般為20-80對,然而,亦可為其他對數。於一實施例中,反射複合層(ML)306包括40對鉬-矽薄膜對(Mo/Si)。每一對鉬-矽薄膜對(Mo/Si)具有厚度為約7 nm,其總厚度為280 nm,因而可達到反射率(reflectivity)為約70%。
蓋層308沉積於反射複合層(ML)306之上。因為蓋層308具有與吸收層不同的蝕刻特性,因此,於後續圖案化或修復吸收層的製程中,蓋層308作為蝕刻停止層,此點後續將會討論之。蓋層308包括釕(Ru)與釕化合物,例如硼化釕(RuB)與矽化釕(Ru Si)。
第一吸收層310沉積於蓋層308之上,隨後被圖案化,以形成主要圖案312與邊界溝槽(border ditch)314。於本實施例中,第一吸收層310吸收入射到光罩108的極紫外光(extreme ultraviolet,EUV)波長範圍之輻射。第一吸收層310包括單一層或複數層,且第一吸收層310包括鉻(Cr)、氧化鉻(CrO)、氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、鋁銅合金(Al-Cu)、鈀(palladium)、硼氮化鉭(TaBN)、氧化鋁(AlO)、鉬(Mo)或適合的材料。由於每一層鍍膜具備不同蝕刻特性,所以適當的第一吸收層310薄膜層製備,提供後續更有彈性(flexibility)的蝕刻製程。
於本發明中,如第3圖所示,提供邊界溝槽314且其延伸到蓋層308。第二吸收層318沉積到邊界溝槽314內。保護層320亦可沉積到邊界溝槽314內,且座落於第二吸收層318之頂部。於第4圖的另一實施例中,邊界溝槽314 可穿過蓋層308與進入到部份的反射複合層堆疊結構。第二吸收層318沉積於邊界溝槽314內,且保護層320也沉積到邊界溝槽314內,並座落於第二吸收層318之頂部。第二吸收層318包括高吸收性材料且降低光反射率,例如低於0.3%。當光罩進行清潔製程時,保護層320可保護第二吸收層318之高吸收率材料不受氧化。再者,一些第二吸收層318具有較差的耐污性(poor clean resistance),以及保護層320可以增強清潔耐久性(cleaning durability)。在本實施例中,第二吸收層318包括高吸收性材料,例如鎳(Ni)、磷化鎳(NiP)與氧化銀(AgxO)。保護層320包括鉭(Ta)、氮化鉭(TaN)與硼氮化鉭(TaBN)。
繼續進行本發明之實施例,於第一實施例中,藉由沉積46 nm的鎳(Ni)到邊界內,以作為第二吸收層,光反射率可降低至0.03%。於第二實施例中,藉由沉積46 nm的磷化鎳(NiP)到邊界內,以作為第二吸收層,光反射率可降低至0.01%。於第三實施例中,藉由沉積複合層到邊界內,複合層包括10 nm鉭(Ta)與75 nm氧化銀(AgxO),其中邊界內85 nm的反射複合層(ML)被蝕刻,光反射率可降低至0.05%。於第四實施例中,藉由沉積複合層到邊界內,複合層包括20 nm鎳(Ni)、17.4 nm鉭(Ta)與47.4 nm氧化銀(AgxO),光反射率可降低至0.2%。
於第3圖中,光阻溝槽形成於邊界溝槽314外高於反射複合層(ML)306與蓋層308的位置。於第4圖中,蝕刻蓋層308與反射複合層(ML)306以接觸邊界溝槽,接著形成光阻溝槽。
本發明提供一種光罩,其包括:低熱膨脹材料(low thermal expansion material,LTEM)基板;導電層沉積於低熱膨脹材料(LTEM)基板之一第一表面上;反射複合層的堆疊結構沉積於低熱膨脹材料(LTEM)基板之第二表面上;蓋層(capping layer)沉積於反射複合層的堆疊結構之上;第一吸收層沉積於該蓋層之上;主要圖案與邊界溝槽(border ditch),其中邊界溝槽延伸到蓋層;以及一第二吸收層,形成於邊界溝槽內。
於一實施例中,提供一種極紫外光(EUV)光罩,其包括:低熱膨脹材料(low thermal expansion material,LTEM)基板;導電層沉積於低熱膨脹材料(LTEM)基板之一第一表面上;反射複合層的堆疊結構沉積於低熱膨脹材料(LTEM)基板之第二表面上;蓋層(capping layer)沉積於反射複合層的堆疊結構之上;第一吸收層沉積於蓋層之上;主要圖案與邊界溝槽(border ditch),其中邊界溝槽穿過蓋層且進入到部份的反射複合層的堆疊結構;以及第二吸收層,沉積於邊界溝槽內。
於另一實施例中,本發明提供一種極紫外光(EUV)光罩之製法,包括以下步驟:提供低熱膨脹材料(low thermal expansion material,LTEM)基板沉積導電層於低熱膨脹材料(LTEM)基板之第一表面上;沉積反射複合層的堆疊結構於低熱膨脹材料(LTEM)基板之第二表面上;沉積蓋層(capping layer)於反射複合層的堆疊結構之上;沉積一第一吸收層於蓋層之上;形成主要圖案與邊界溝槽(border ditch);沉積光阻於邊界溝槽外,以形成光阻溝槽;以及沉 積一第二吸收層於邊界溝槽內。因為不需要蝕刻位於光罩之上的所有反射性複合層(ML)的全部堆疊結構,因此極紫外光(EUV)光罩的平坦性(flatness)不會受到影響。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100‧‧‧極紫外光微影(extreme ultraviolet,EUV)微影系統
102‧‧‧輻射源(radiation source)
104‧‧‧光束(illumination beam)
106‧‧‧聚光光學儀器(condenser optics)
108‧‧‧光罩
110‧‧‧光罩平台
112‧‧‧投影光學儀器(projection optics)
114‧‧‧基板平台(substrate stage)
116‧‧‧基板
118‧‧‧光阻膜
200‧‧‧方法
202‧‧‧提供基板
204‧‧‧沉積導電層
206‧‧‧沉積反射複合層(ML)
208‧‧‧沉積蓋層(capping layer)
210‧‧‧沉積第一吸收層
212‧‧‧形成主要圖案與邊界溝槽
214‧‧‧形成光阻溝槽
216‧‧‧蝕刻一部份的反射複合層(ML)的堆疊結構
218‧‧‧沉積第二吸收層及/或保護層
302‧‧‧基板
304‧‧‧導電層
306‧‧‧反射複合層(ML)
308‧‧‧蓋層
310‧‧‧第一吸收層
312‧‧‧主要圖案
314‧‧‧邊界溝槽
318‧‧‧第二吸收層
320‧‧‧保護層
第1圖為一示意圖,用以說明本發明之極紫外光微影(extreme ultraviolet,EUV)微影系統。
第2圖為一流程圖,用以說明本發明一或多個實施例之光罩之製法。
第3-4圖為一系列剖面圖,用以說明本發明一或多個實施例之光罩。
108‧‧‧光罩
302‧‧‧基板
304‧‧‧導電層
306‧‧‧反射複合層(ML)
308‧‧‧蓋層
310‧‧‧第一吸收層
312‧‧‧主要圖案
314‧‧‧邊界溝槽
318‧‧‧第二吸收層
320‧‧‧保護層

Claims (20)

  1. 一種光罩,包括:一低熱膨脹材料(low thermal expansion material,LTEM)基板;一導電層,沉積於該低熱膨脹材料(LTEM)基板之一第一表面上;一反射複合層的堆疊結構,沉積於該低熱膨脹材料(LTEM)基板之一第二表面上;一蓋層(capping layer),沉積於該反射複合層的堆疊結構之上;一第一吸收層,沉積於該蓋層之上;一主要圖案與一邊界溝槽(border ditch),其中該邊界溝槽延伸到該蓋層;以及一第二吸收層,形成於該邊界溝槽內。
  2. 如申請專利範圍第1項所述之光罩,尚包括:一保護層,沉積於該第二吸收層之上,其中該保護層包括鉭(Ta)。
  3. 如申請專利範圍第1項所述之光罩,其中該該低熱膨脹材料(LTEM)基板包括熔融矽(fused silica)、熔融石英(fused quartz)、氟化鈣(CaF2)、碳化矽(silicon carbide)、氧化矽-氧化鈦合金(silicon oxide-titanium oxide alloy)。
  4. 如申請專利範圍第1項所述之光罩,其中該導電層包括氮化鉻(CrN)。
  5. 如申請專利範圍第1項所述之光罩,其中該反射複合層的堆疊結構包括複數層鉬-矽薄膜對(Mo/Si)或複數層 鉬-鈹(Mo/Be)薄膜對。
  6. 如申請專利範圍第1項所述之光罩,其中該蓋層包括含釕(ruthenium)之材料。
  7. 如申請專利範圍第1項所述之光罩,其中該第一吸收層包括單一層或複數層且其包括鉻(Cr)、氧化鉻(CrO)、氮化鈦(TiN)、氮化鉭(TaN)、鉭(Ta)、鈦(Ti)、鋁銅合金(Al-Cu)、鈀(palladium)、硼氮化鉭(TaBN)、氧化鋁(AlO)或鉬(Mo)。
  8. 如申請專利範圍第1項所述之光罩,其中該第二吸收層沉積於該邊界溝槽內且接觸該蓋層。
  9. 如申請專利範圍第1項所述之光罩,其中該第二吸收層包括鎳(Ni)、磷化鎳(NiP)、氧化銀(AgxO)或上述之組合。
  10. 一種極紫外光(EUV)光罩,包括:一低熱膨脹材料(low thermal expansion material,LTEM)基板;一導電層,沉積於該低熱膨脹材料(LTEM)基板之一第一表面上;一反射複合層的堆疊結構,沉積於該低熱膨脹材料(LTEM)基板之一第二表面上;一蓋層(capping layer),沉積於該反射複合層的堆疊結構之上;一第一吸收層,沉積於該蓋層之上;一主要圖案與一邊界溝槽(border ditch),其中該邊界溝槽穿過該蓋層且進入到部份的該反射複合層的堆疊結構; 以及一第二吸收層,沉積於該邊界溝槽內。
  11. 如申請專利範圍第10項所述之極紫外光(EUV)光罩,尚包括一保護層沉積於該第二吸收層之上,其中該保護層包括鉭(Ta)、氮化鉭(TaN)、硼氮化鉭(TaBN)或上述之組合。
  12. 如申請專利範圍第10項所述之極紫外光(EUV)光罩,其中該第二吸收層沉積於該邊界溝槽內且接觸該反射複合層的堆疊結構。
  13. 一種極紫外光(EUV)光罩之製法,包括以下步驟:提供一低熱膨脹材料(low thermal expansion material,LTEM)基板;沉積一導電層於該低熱膨脹材料(LTEM)基板之一第一表面上;沉積一反射複合層的堆疊結構於該低熱膨脹材料(LTEM)基板之一第二表面上;沉積一蓋層(capping layer)於該反射複合層的堆疊結構之上;沉積一第一吸收層於該蓋層之上;形成一主要圖案與一邊界溝槽(border ditch);沉積光阻於該邊界溝槽外,以形成一光阻溝槽;以及沉積一第二吸收層於該邊界溝槽內。
  14. 如申請專利範圍第13項所述之極紫外光(EUV)光罩之製法,其中形成該主要圖案與該邊界溝槽(border ditch)包括: 沉積一第一光阻膜於該第一吸收層之上,藉由一電子束寫入器(electron beam writer)曝光該第一光阻膜,以及顯影該第一光阻膜,以形成一第一光阻圖案。
  15. 如申請專利範圍第14項所述之極紫外光(EUV)光罩之製法,尚包括蝕刻該第一吸收層與蝕刻停止於該蓋層,以形成該主要圖案與該邊界溝槽(border ditch)。
  16. 如申請專利範圍第14項所述之極紫外光(EUV)光罩之製法,其中形成該光阻溝槽包括:沉積一第二光阻膜於該低熱膨脹材料(LTEM)基板之上,藉由一電子束寫入器(electron beam writer)曝光該第二光阻膜,以及顯影該曝光的第二光阻膜,以形成一第二光阻圖案,且第二光阻膜的第二光阻圖案暴露該邊界溝槽(border ditch)且保護該主要圖案。
  17. 如申請專利範圍第16項所述之極紫外光(EUV)光罩之製法,尚包括蝕刻該光阻溝槽,使該光阻溝槽進入一部份的該反射複合層的堆疊結構。
  18. 如申請專利範圍第13項所述之極紫外光(EUV)光罩之製法,其中沉積該第二吸收層包括沉積該第二吸收層到該光阻溝槽之中。
  19. 如申請專利範圍第18項所述之極紫外光(EUV)光罩之製法,尚包括沉積一保護層於該光阻溝槽中的第二吸收層之上。
  20. 如申請專利範圍第16項所述之極紫外光(EUV)光罩之製法,尚包括藉由一剝離製程(lift-off process)剝除該第二光阻膜。
TW101144267A 2012-04-20 2012-11-27 光罩、極紫外光光罩與其製法 TWI477927B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/451,705 US8877409B2 (en) 2012-04-20 2012-04-20 Reflective mask and method of making same

Publications (2)

Publication Number Publication Date
TW201344371A true TW201344371A (zh) 2013-11-01
TWI477927B TWI477927B (zh) 2015-03-21

Family

ID=49380414

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101144267A TWI477927B (zh) 2012-04-20 2012-11-27 光罩、極紫外光光罩與其製法

Country Status (2)

Country Link
US (2) US8877409B2 (zh)
TW (1) TWI477927B (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9442366B2 (en) 2014-12-31 2016-09-13 Macronix International Co., Ltd. Layout pattern and photomask including the same
TWI571699B (zh) * 2014-12-26 2017-02-21 旺宏電子股份有限公司 佈局圖案以及包含該佈局圖案的光罩
TWI579639B (zh) * 2013-11-15 2017-04-21 S&S技術股份有限公司 極紫外線微影用空白罩幕以及使用該空白罩幕的光罩
CN112305856A (zh) * 2019-07-30 2021-02-02 台湾积体电路制造股份有限公司 极紫外光微影光罩与图案化半导体晶圆的方法
CN112445062A (zh) * 2019-09-05 2021-03-05 台湾积体电路制造股份有限公司 反射性光罩及其制造方法

Families Citing this family (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US9373551B2 (en) 2013-03-12 2016-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Moveable and adjustable gas injectors for an etching chamber
KR102109129B1 (ko) * 2013-07-02 2020-05-08 삼성전자주식회사 반사형 포토마스크 블랭크 및 반사형 포토마스크
US9134602B2 (en) * 2013-07-29 2015-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing an extreme ultraviolet (EUV) mask and the mask manufactured therefrom
US9274417B2 (en) 2013-09-18 2016-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for lithography patterning
US8980108B1 (en) 2013-10-04 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit fabrication
US9316900B2 (en) 2013-10-11 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US9261774B2 (en) 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US9195132B2 (en) * 2014-01-30 2015-11-24 Globalfoundries Inc. Mask structures and methods of manufacturing
US9360749B2 (en) * 2014-04-24 2016-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle structure and method for forming the same
US9581889B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
US9529250B2 (en) 2014-10-31 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask with ITO absorber to suppress out of band radiation
US10031411B2 (en) 2014-11-26 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle for EUV mask and fabrication thereof
US9709884B2 (en) 2014-11-26 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and manufacturing method by using the same
US9897910B2 (en) 2014-12-24 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Treating a capping layer of a mask
US9535317B2 (en) 2014-12-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Treating a capping layer of a mask
CN105826313B (zh) * 2015-01-04 2019-01-15 旺宏电子股份有限公司 布局图案以及包含该布局图案的掩模
US10274819B2 (en) 2015-02-05 2019-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. EUV pellicle fabrication methods and structures thereof
US9933699B2 (en) 2015-03-16 2018-04-03 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle aging estimation and particle removal from pellicle via acoustic waves
US9678431B2 (en) 2015-03-16 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. EUV lithography system and method with optimized throughput and stability
US9823585B2 (en) 2015-03-31 2017-11-21 Taiwan Semiconductor Manufacturing Company, Ltd. EUV focus monitoring systems and methods
US9625824B2 (en) 2015-04-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd Extreme ultraviolet lithography collector contamination reduction
US9588417B2 (en) 2015-05-28 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Photomask pellicle
US10036951B2 (en) 2015-05-29 2018-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and fabrication methods thereof
US9766536B2 (en) 2015-07-17 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mask with multilayer structure and manufacturing method by using the same
US9885952B2 (en) 2015-07-29 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods of EUV mask cleaning
US9857679B2 (en) 2015-08-21 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography mask and fabricating the same
US9740094B2 (en) 2015-08-21 2017-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Damage prevention on EUV mask
US9835940B2 (en) 2015-09-18 2017-12-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method to fabricate mask-pellicle system
US10468249B2 (en) 2015-09-28 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process of a semiconductor structure with a middle layer
US9910350B2 (en) 2015-11-16 2018-03-06 Taiwan Semiconductor Manufacturing Company, Ltd Method for repairing a mask
US9665000B1 (en) 2015-11-16 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for EUV mask cleaning with non-thermal solution
US9921467B2 (en) * 2015-11-30 2018-03-20 Taiwan Semiconductor Manufacturing Company Ltd. Mask blank and mask and fabrication method thereof
US9759997B2 (en) 2015-12-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle assembly and method for advanced lithography
US9886543B2 (en) 2016-02-10 2018-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method providing for asymmetric pupil configuration for an extreme ultraviolet lithography process
US9891528B2 (en) 2016-05-02 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography with reduced exposure dose and negative tone development
US10108095B2 (en) 2016-05-31 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Automated mask storage and retrieval system
US10276426B2 (en) 2016-05-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for performing spin dry etching
US10061191B2 (en) 2016-06-01 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. High durability extreme ultraviolet photomask
US9870612B2 (en) 2016-06-06 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for repairing a mask
TWI821984B (zh) * 2016-07-27 2023-11-11 美商應用材料股份有限公司 具有合金吸收劑的極紫外線遮罩坯料及製造極紫外線遮罩坯料的方法
US10520805B2 (en) 2016-07-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for localized EUV pellicle glue removal
US10622211B2 (en) 2016-08-05 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10866516B2 (en) 2016-08-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Metal-compound-removing solvent and method in lithography
US10394114B2 (en) 2016-08-25 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Chromeless phase shift mask structure and process
CN107818938B (zh) * 2016-09-13 2021-07-30 台湾积体电路制造股份有限公司 运送系统及运送加工元件的方法
US10466586B2 (en) 2016-11-29 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask having patterns with arbitrary angles
US10671786B2 (en) 2016-11-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of modeling a mask by taking into account of mask pattern edge interaction
US10345695B2 (en) 2016-11-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet alignment marks
US10514597B2 (en) 2016-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with both transmission-type and reflective-type overlay marks and method of fabricating the same
US10310380B2 (en) 2016-12-07 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. High-brightness light source
US10520813B2 (en) 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd Extreme ultraviolet photoresist with high-efficiency electron transfer
US10162258B2 (en) 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle fabrication methods and structures thereof
US10001701B1 (en) 2016-12-15 2018-06-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle structures and methods of fabricating thereof
US10162277B2 (en) 2016-12-15 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography system with debris trapper on exhaust line
TWI712849B (zh) * 2017-02-17 2020-12-11 聯華電子股份有限公司 一種極紫外線光罩
US10497604B2 (en) * 2017-03-30 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Photomask transportation stage in semiconductor fabrication and method for using the same
US10274817B2 (en) 2017-03-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Mask and photolithography system
US10031412B1 (en) 2017-04-19 2018-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle assembly in photolithography process and method for using the same
US11086209B2 (en) 2017-04-27 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. EUV lithography mask with a porous reflective multilayer structure
US10524345B2 (en) 2017-04-28 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Residual gain monitoring and reduction for EUV drive laser
US10506698B2 (en) 2017-04-28 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. EUV source generation method and related system
US10429729B2 (en) 2017-04-28 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. EUV radiation modification methods and systems
US10627728B2 (en) 2017-06-14 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method for creating vacuum in load lock chamber
US10481483B2 (en) 2017-06-30 2019-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography mask and method
US10955746B2 (en) 2017-07-28 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography method with reduced impacts of mask defects
US10620530B2 (en) 2017-07-31 2020-04-14 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-mask multiple-exposure lithography and masks
DE102017126395B4 (de) 2017-07-31 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Masken für Mehrmasken-Mehrfachbelichtungs-Lithografie
US10429314B2 (en) 2017-07-31 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. EUV vessel inspection method and related system
US11003082B2 (en) 2017-08-01 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
US10361134B2 (en) 2017-08-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method for lithographic process and lithographic system
US10915017B2 (en) 2017-08-31 2021-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-function overlay marks for reducing noise and extracting focus and critical dimension information
DE102018117690A1 (de) 2017-08-31 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-Funktions-Überlagerungsmarken zum Reduzieren von Rauschen und Extrahieren von Informationen über Fokus und kritische Abmessung
US10573519B2 (en) 2017-09-08 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing a photolithography process
EP3454120B1 (en) * 2017-09-09 2024-05-01 IMEC vzw Method for manufacturing euv reticles and reticles for euv lithography
US10274847B2 (en) 2017-09-19 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Humidity control in EUV lithography
US10990013B2 (en) 2017-09-22 2021-04-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
US11009796B2 (en) 2017-09-22 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
US10495987B2 (en) 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source apparatus, EUV lithography system, and method for decreasing debris in EUV lithography system
US10824083B2 (en) 2017-09-28 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Light source, EUV lithography system, and method for generating EUV radiation
US11143952B2 (en) 2017-09-28 2021-10-12 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle removal method
US10741391B2 (en) 2017-10-25 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure by patterning resist layer having inorganic material
US11003084B2 (en) 2017-11-03 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure
US10331035B2 (en) 2017-11-08 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Light source for lithography exposure process
US10712651B2 (en) 2017-11-08 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for collecting information used in image-error compensation
US11106127B2 (en) 2017-11-08 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure of pellicle-mask structure with vent structure
US10962881B2 (en) 2017-11-13 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for lithography in semiconductor fabrication
US10996553B2 (en) 2017-11-14 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced wafer neighboring effect and method of manufacturing the same
US11086215B2 (en) * 2017-11-15 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
US10477663B2 (en) 2017-11-16 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Light source for lithography exposure process
US10714371B2 (en) 2017-11-16 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for lithography in semiconductor fabrication
US10488766B2 (en) 2017-11-16 2019-11-26 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography system having invisible pellicle over mask
US10866515B2 (en) 2017-11-17 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography process using photoresist material with photosensitive functional group
US10684545B2 (en) 2017-11-17 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor structure by patterning assist layer having polymer
US10338475B2 (en) 2017-11-20 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Light source for lithography exposure process
US10165664B1 (en) 2017-11-21 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for decontaminating windows of an EUV source module
US10802394B2 (en) 2017-11-21 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for discharging static charges on reticle
US10656539B2 (en) 2017-11-21 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source for lithography process
US11588293B2 (en) 2017-11-21 2023-02-21 Taiwan Semiconductor Manufacturing Co., Ltd. Methods and systems for aligning master oscillator power amplifier systems
US10274844B1 (en) 2017-11-24 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography apparatus and method for protecting a reticle
US10345716B2 (en) 2017-11-24 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Metrology method in reticle transportation
US10845699B2 (en) * 2017-11-29 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming photomask and photolithography method
US10866504B2 (en) 2017-12-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with a black border region and method of fabricating the same
US10509311B1 (en) 2018-05-29 2019-12-17 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for generating an electromagnetic radiation
US10510553B1 (en) 2018-05-30 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Dry ashing by secondary excitation
US10845698B2 (en) 2018-05-30 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Mask, method of forming the same and method of manufacturing a semiconductor device using the same
US11054742B2 (en) 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
US11143954B2 (en) 2018-06-26 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Mask patterns and method of manufacture
US10849214B2 (en) 2018-06-26 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of operating semiconductor apparatus and semiconductor apparatus
US11069526B2 (en) 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
US10867805B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Selective removal of an etching stop layer for improving overlay shift tolerance
US10520833B1 (en) 2018-07-13 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography system
US10512147B1 (en) 2018-07-27 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet radiation source and droplet catcher thereof
US10687410B2 (en) 2018-07-27 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet radiation source and cleaning method thereof
US10802405B2 (en) 2018-07-27 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source for lithography exposure process
US10852191B2 (en) 2018-07-30 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Light source system and polarization angle adjusting method
US11062898B2 (en) 2018-07-30 2021-07-13 Taiwan Semiconductor Manufacturing Co., Ltd. Particle removal apparatus, particle removal system and particle removal method
US10663871B2 (en) 2018-07-30 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Reticle stage and method for using the same
US10880982B2 (en) 2018-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Light generation system using metal-nonmetal compound as precursor and related light generation method
US10678148B2 (en) 2018-07-31 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography system and lithography method
US10925142B2 (en) 2018-07-31 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. EUV radiation source for lithography exposure process
US10775700B2 (en) 2018-08-14 2020-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography system and method for exposing wafer
US11137675B2 (en) 2018-08-14 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mask and method for forming the same
US11550233B2 (en) 2018-08-14 2023-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography system and operation method thereof
US10613444B2 (en) 2018-08-28 2020-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus and method of operating the same
US11307489B2 (en) 2018-08-30 2022-04-19 Taiwan Semiconductor Manufacturing Company Ltd. EUV photomask and manufacturing method of the same
US10978301B2 (en) 2018-08-31 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Morphology of resist mask prior to etching
US11294293B2 (en) 2018-09-19 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Overlay marks for reducing effect of bottom layer asymmetry
US10962885B2 (en) 2018-09-28 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet (EUV) polarization splitter
US11340525B2 (en) 2018-10-18 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle and method of manufacturing same
US11243461B2 (en) 2018-10-25 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Reflective mask and fabricating method thereof
US11244827B2 (en) 2018-10-26 2022-02-08 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor manufacturing method and apparatus thereof
US11307500B2 (en) 2018-10-30 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing photoresistor layer, method of forming a pattern and method of manufacturing a package
US11153957B2 (en) 2018-10-31 2021-10-19 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for generating an electromagnetic radiation
US10824080B2 (en) 2018-10-31 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method to reduce native defect printability
US10670970B1 (en) 2019-01-25 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography system and method thereof
US11143953B2 (en) 2019-03-21 2021-10-12 International Business Machines Corporation Protection of photomasks from 193nm radiation damage using thin coatings of ALD Al2O3
US10791616B1 (en) 2019-03-27 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source apparatus
US10867794B2 (en) 2019-03-29 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning method for semiconductor devices and structures resulting therefrom
US11340524B2 (en) 2019-04-01 2022-05-24 Taiwan Semiconductor Manufacturing Company Ltd. Photomask, method of fabricating a photomask, and method of fabricating a semiconductor structure using a photomask
US11137672B2 (en) 2019-07-16 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mask and method for forming the same
US11106140B2 (en) 2019-07-16 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor apparatus and method of operating the same
US11067906B2 (en) 2019-07-29 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Droplet catcher system of EUV lithography apparatus and EUV lithography apparatus maintenance method
US10942459B2 (en) 2019-07-29 2021-03-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography system and cleaning method thereof
US11086237B2 (en) 2019-07-30 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography system
US11172566B2 (en) 2019-07-31 2021-11-09 Taiwan Semiconductor Manufacturing Company, Ltd. Droplet generator, EUV lithography device and method of generating a series of droplets using a droplet generator
US11289376B2 (en) 2019-07-31 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd Methods for forming self-aligned interconnect structures
US11454881B2 (en) 2019-07-31 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle design for mask application
US11032897B2 (en) 2019-08-22 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Refill and replacement method for droplet generator
US11754928B2 (en) 2019-08-29 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography exposure method with debris removing mechanism
US11099477B2 (en) 2019-09-27 2021-08-24 Taiwan Semiconductor Manufacturing Company Ltd. Photomask and method of repairing photomask
US11347143B2 (en) 2019-09-30 2022-05-31 Taiwan Semiconductor Manufacturing Company Ltd. Cleaning method, method for forming semiconductor structure and system thereof
US10866519B1 (en) 2019-10-01 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Reticle-masking structure, extreme ultraviolet apparatus, and method of forming the same
US11543753B2 (en) 2019-10-30 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Tunable illuminator for lithography systems
US11550220B2 (en) 2019-10-31 2023-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Negative tone photoresist for EUV lithography
US11314169B2 (en) 2019-10-30 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Robust, high transmission pellicle for extreme ultraviolet lithography systems
KR20210067757A (ko) 2019-11-29 2021-06-08 삼성전자주식회사 포토리소그래피 방법
US11221554B2 (en) 2020-01-17 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination
US11392036B2 (en) 2020-01-31 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Photoresist and method
US11297710B2 (en) 2020-02-11 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography system with heated tin vane bucket having a heated cover
US11506986B2 (en) 2020-04-09 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal controlling method in lithography system
TWI776398B (zh) * 2020-04-23 2022-09-01 台灣積體電路製造股份有限公司 光罩的形成方法
US11442356B2 (en) 2020-05-11 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask with an amorphous capping layer
US11703754B2 (en) 2020-05-14 2023-07-18 Taiwan Semiconductor Manufacturing Company Ltd. Particle prevention method in reticle pod
US11320744B2 (en) 2020-05-22 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for controlling extreme ultraviolet light
US11592737B2 (en) 2020-05-29 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof
US11650508B2 (en) 2020-06-12 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma position control for extreme ultraviolet lithography light sources
US11392022B2 (en) 2020-06-12 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof
US11237483B2 (en) 2020-06-15 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for controlling droplet in extreme ultraviolet light source
US11500282B2 (en) 2020-06-18 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof
US11740564B2 (en) 2020-06-18 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography apparatus and method using the same
US12009177B2 (en) 2020-06-29 2024-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Detection using semiconductor detector
US11520243B2 (en) 2020-08-31 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography system and method thereof
US11614684B2 (en) 2020-09-08 2023-03-28 Taiwan Semiconductor Manufacturing Company Ltd. Photomask inspection method and apparatus thereof
US12009400B2 (en) 2021-02-14 2024-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Device providing multiple threshold voltages and methods of making the same
US11822231B2 (en) 2021-03-30 2023-11-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for removing particles from pellicle and photomask
US11740549B2 (en) 2021-04-08 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof
US11681234B2 (en) 2021-05-27 2023-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Mask for attracting charged particles and method for using the same
US11653438B2 (en) 2021-06-18 2023-05-16 Taiwan Semiconductor Manufacturing Company Ltd. Droplet collecting system and method of using the same
US11533799B1 (en) 2021-07-09 2022-12-20 Taiwan Semiconductor Manufacturing Company Ltd. System and method for supplying target material in an EUV light source
US11887851B2 (en) 2021-07-29 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming and using mask
US20230032950A1 (en) * 2021-07-30 2023-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Euv photo masks and manufacturing method thereof
US11815802B2 (en) 2021-08-27 2023-11-14 Taiwan Semiconductor Manufacturing Company Ltd. Photomask repairing method and system thereof
US12007694B2 (en) 2021-10-21 2024-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography apparatus and method
US11914282B2 (en) * 2021-10-25 2024-02-27 Samsung Electronics Co., Ltd. System of measuring image of pattern in scanning type EUV mask
US11852978B2 (en) 2022-03-07 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. EUV lithography system with 3D sensing and tunning modules

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3153230B2 (ja) * 1990-09-10 2001-04-03 株式会社日立製作所 パタン形成方法
DE10123768C2 (de) * 2001-05-16 2003-04-30 Infineon Technologies Ag Verfahren zur Herstellung einer lithographischen Reflexionsmaske insbesondere für die Strukturierung eines Halbleiterwafers sowie Reflexionsmaske
US20040131947A1 (en) * 2003-01-07 2004-07-08 International Business Machines Corporation Reflective mask structure and method of formation
JP4602430B2 (ja) * 2008-03-03 2010-12-22 株式会社東芝 反射型マスク及びその作製方法
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI579639B (zh) * 2013-11-15 2017-04-21 S&S技術股份有限公司 極紫外線微影用空白罩幕以及使用該空白罩幕的光罩
TWI571699B (zh) * 2014-12-26 2017-02-21 旺宏電子股份有限公司 佈局圖案以及包含該佈局圖案的光罩
US9442366B2 (en) 2014-12-31 2016-09-13 Macronix International Co., Ltd. Layout pattern and photomask including the same
CN112305856A (zh) * 2019-07-30 2021-02-02 台湾积体电路制造股份有限公司 极紫外光微影光罩与图案化半导体晶圆的方法
US11960201B2 (en) 2019-07-30 2024-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask
CN112305856B (zh) * 2019-07-30 2024-05-24 台湾积体电路制造股份有限公司 极紫外光微影光罩与图案化半导体晶圆的方法
CN112445062A (zh) * 2019-09-05 2021-03-05 台湾积体电路制造股份有限公司 反射性光罩及其制造方法

Also Published As

Publication number Publication date
US8877409B2 (en) 2014-11-04
TWI477927B (zh) 2015-03-21
US20130280643A1 (en) 2013-10-24
US9213232B2 (en) 2015-12-15
US20150104736A1 (en) 2015-04-16

Similar Documents

Publication Publication Date Title
TWI477927B (zh) 光罩、極紫外光光罩與其製法
US8679707B2 (en) Method of fabricating a lithography mask
US9116435B2 (en) Extreme ultraviolet lithography mask
KR101485669B1 (ko) 극자외선 리소그래피 방법
US8785084B2 (en) Method for mask fabrication and repair
US11086215B2 (en) Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same
US10996553B2 (en) Extreme ultraviolet mask with reduced wafer neighboring effect and method of manufacturing the same
US9733562B2 (en) Extreme ultraviolet lithography process and mask
JP2022009220A (ja) 反射型マスクブランク、反射型マスクの製造方法、及び半導体装置の製造方法
TW201351027A (zh) 反射式光罩
JP6845122B2 (ja) 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
TWI572973B (zh) 微影光罩及其製造方法暨晶圓的製造方法
KR101713382B1 (ko) 극자외선 리소그래피 공정 및 마스크
US9995999B2 (en) Lithography mask
TWI476818B (zh) 微影罩幕的製作方法
US11982936B2 (en) Photomask and method of fabricating a photomask
TWI495950B (zh) 光罩及其製造方法
US20240053669A1 (en) Euv photo masks and manufacturing method thereof