TW200935513A - Passivation layer formation by plasma clean process to reduce native oxide growth - Google Patents

Passivation layer formation by plasma clean process to reduce native oxide growth Download PDF

Info

Publication number
TW200935513A
TW200935513A TW097149788A TW97149788A TW200935513A TW 200935513 A TW200935513 A TW 200935513A TW 097149788 A TW097149788 A TW 097149788A TW 97149788 A TW97149788 A TW 97149788A TW 200935513 A TW200935513 A TW 200935513A
Authority
TW
Taiwan
Prior art keywords
substrate
temperature
process chamber
seem
watts
Prior art date
Application number
TW097149788A
Other languages
English (en)
Other versions
TWI438839B (zh
Inventor
hai-chun Yang
Xinliang Lu
Chien-Teh Kao
Mei Chang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200935513A publication Critical patent/TW200935513A/zh
Application granted granted Critical
Publication of TWI438839B publication Critical patent/TWI438839B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Description

200935513 六、發明說明: 【發明所屬之技術領域】 本發明之實施例大致上關於用以處理基材的方法,並 且特別是關於電漿清潔製程期間之氧化物蝕刻的方法。 【先前技術】 纟半導體、顯示器、太陽能電池與其他電子裝置製造 ° 中,當基材表面暴露於空氣中的氧和水,原生氧化物典 型地會形成。氧暴露係發生在基材於大氣或外界㈣㈣ 條件下移動於多個製程腔室之間時,或在少量氧留置在 製程腔室中時。原生氧化物也可以從姓刻製程期間的污 染造成。原生氧化物膜通常非常薄,例如介於5_2〇A之 間,但厚到足以造成後續製造過程中的困難。所以,通 常不樂見原生氧化物層,且需要在後續的製造過程之前 將其去除。 這樣的困難通常會影響形成在基材上之電子元件的電 氣陡質例如’特定問題出現在原生氧化矽膜形成於暴 露的3石夕層上時’尤其是在處理金屬氧化物石夕場效應電 日日體(MOSFET”)結構期間。氧化石夕膜是電氣絕緣的,並 且不樂見在與接觸電極或内連電氣路徑之間的界面,這 疋因為氧化矽膜會造成高的電接觸電阻。在m〇sfet結 構中,電極與内連路徑係包括金屬矽化物層,其中該些 金屬梦化物層是藉由沉積耐火金屬於裸矽上及將該層退 4 200935513 火以產生金屬矽化物層來形成。位在基材與金屬之間界 面的原生氧化矽膜係藉由阻礙用以形成金屬矽化物之擴 散化學反應而降低金屬矽化物層的組成均勻性。由於電 氣接點處的過熱,這會導致低的基材良率以及高的失效 率。原生氧化矽膜也會阻礙其他CVD或濺射層的附著, 其中該些CVD或濺射層係後續地被沉積在基材上。 ❹ 濺射蝕刻製程已經用來減少深寬比小於約4:丨之大特 徵結構中或小特徵結構中的污染物。然而,濺射蝕刻製 程會藉由物理爲擊損壞精緻的矽層。對此,使用氫氟酸 的濕式蝕刻製程也已經用來去除原生氧化物。然而,濕 式清耗職程對於深寬比超過4:1且特料深寬比超 過1〇:1之更小的元件是不利的。尤其,水溶液具有穿透 到介層洞、接點、或其他形成在基材表面内之小特徵辞 構的困難度。故,原生氧化物膜的去除並不完全。同樣 地’右蝕刻溶液成功地穿夠小特徵結構,一旦蝕刻完成 後’濕式姓刻溶液更加難以從特徵結構去除。此=濕 式钱刻製程通常具有嚴格的時序控制、會在基材上產^ 不期望的水痕、並且因大量的有毒廢水而具有環境考量。 另一種去除原生氧化物膜的方々 幻万式疋乾式蝕刻製程,例 使用氟(F2)氣體的乾式蝕刻製 的一μα 教程但疋,使用含氟氣體 的缺失即是氟典型地會殘留在 从主工 甘丞材表面上。殘留在基 材表面上的氟原子或氟基團是 原子會持續银刻基材,在其材內例如’殘留的氟 J丞柯在基材内形成孔隙。 更近來的一種去除原生氧化 初的方式已經在基材表面 200935513 形成一氟/含矽鹽,該鹽隨後藉由熱退火製程來去除。在 此方式中,藉由將含氟氣體與氧化矽表面反應來形成一 薄的鹽層n鹽被加熱収謂鹽分解成揮發性副 產物的向溫,其中該副產物隨後從製程腔室來去除。反 應性含氟氣體的形成通常是藉由熱加成或藉由電讓能量 來辅助。鹽通常形成在需要冷卻基材表面的低溫。此種 冷卻而接著加熱的順序是藉由將基材從一冷卻腔室(在
此處基材係被冷卻)傳送到一獨立的退火腔室或爐(在此 處基材係被加熱)來達成。 基於各種理由,此反應性氟製程順序是不樂見的。也 就是’由料涉及基材傳送㈣間,產能會大幅地降低。 此外’在多個腔室之間傳送基材的期間,基材極容易遭 受進-步的氧化或其他的污染。再者,因需要兩個獨立 的腔至來完成氧化物去除製程,這會使成本加倍。 因此,需要一種方法來去除或钱刻原生氧化物,同時 可純化下方的基材表面,較佳是在單個製程腔室内。 【發明内容】 本文描述的實施例係提供用於去除基材上原生心 物,同時將下方的基材表面予以純化之方法。在一實衣 例中’本發明提供一種用於從一其好矣人 用於從&材表面去除原生氧七 物之方法方法,其包括:放 现1基材於一製程腔室内, ~基材在基材表面上含有一負 ^ 軋化物層,調整該基材之_ 200935513 第一溫度到約80°C或更小;在該製程腔室内從一氣體混 合物產生一清潔電漿,其中該氣體混合物包含氨和三氟 化氮且NHs/NFa莫耳比例為約i 〇或更大;及在一電漿清 潔製程期間,使該清潔電漿凝結到該基材上且形成一薄 膜。該薄膜包含部分從原生氧化矽層之矽形成的六氟矽 酸銨。該方法更包括在該製程腔室内加熱該基材到約1〇〇 C或更大之一第二溫度,同時從該基材去除該薄膜且在 〇 該基材上形成一鈍化表面。在一實例中,該基材之第一 溫度介於約20°C至約80°C之間,且該基材之第二溫度介 於約l〇(TC至約20(TC之間。在另一實例中,該基材之第 一溫度介於約22 C至約4〇°C之間,且該基材之第二溫度 介於約110°C至約15〇t之間。 在另一實施例中,本文提供一種用於從一基材表面去 除原生氧化物之方法,其包括:放置一基材於一製程腔 室内,該基材在基材表面上含有一氧化物層;調整該基 D 材之一第一溫度到小於約10(rc ;在該製程腔室内從一 氣體混合物產生一清潔電漿。該氣體混合物包含氨和三 氟化氮且NHVNF3莫耳比例為約2〇或更大,並且該清潔 電漿係以約5瓦至約50瓦之間的RF功率來產生。該方 法更包括在-電漿清潔製程期間,將該基材暴露於該清 潔電漿以形成一含六氟矽酸銨的薄膜。該方法更包括在 該製程腔至内加熱該基材到約1〇〇t>c或更大之一第二溫 度,同時從言亥基材去除該薄膜且在該基材上形成一純化 表面。 200935513 在另一實施例中,本文提供一種用於從一基材表面去 除原生氧化物之方法,其包括:放置一基材於一製程腔 至内,該基材在基材表面上含有一氧化物層;調整該基 材之一第一溫度到小於約1〇〇〇c ;在該製程腔室内從— 氣體混合物產生一清潔電漿。該氣體混合物包含氨和三 氟化氮且NHs/NF3莫耳比例為約10或更大,並且該清潔 電漿係以約5瓦至約50瓦之間的RF功率來產生。該方 Ο ❹ 法更包括:在-電聚;青潔製程期間,將該基材暴露於該 清潔電漿以形成-薄膜,其中該薄膜包含部分從氧化石夕 層形成的六氟矽酸銨;在該製程腔室内加熱該基材到約 100 c或更大之-第二溫度,同肖從該基材去除該薄膜且 在該基材上形鈍化表面;纟在該基材之純化表面上 生長一蟲晶層。 本發明之實施例係提供使NH3/Nf3莫耳比例可以為約 W、約15、約20或更大,同時該清潔電漿係以約5瓦 約50瓦之間(較佳為約15瓦至約3〇瓦)的以功率來 產生。該氣體混合物是藉由將氨和三氟化氮流到且結合 到該製程腔室内來形成。氨之流速可以介於約2。sccm 至約300 SCCm之間,較佳為介於約4〇 sccm至約2〇〇 am 之間’更佳為介於約6〇 sccm至約15〇 之間且更 佳為介於約75 seem $的ιλλ seem至約〗00 sccm之間。三氟化氮之流 速可以介於約1 seem $的厶π 至約60 sccm之間,較佳為介於約 2咖至約5〇咖之間,更佳為介於約33㈣至約25 —之間,且更佳為介於約5sccm至約l5sccm之間。 200935513 於隨後暴露於該製程腔室外面的外界條件時,該鈍化 表面係限制該基材上額外的原生氧化物生長之進一步形 成°例如’在一外界環境中’後來的原生氧化物層可以 在約5小時至約25小時之間的期間内形成為具有約6A 或更小的厚度。在另一實施例中,在一外界環境中,後 來的原生氧化物層可以在約i 5小時至約3〇小時之間的 期間内形成為具有約8A或更小的厚度。在另一實施例 〇 中,在去除原生氧化物層之後, 可以在該基材之鈍化表 面上生長一磊晶層。 【實施方式】 第1圖示出基材10的部分透視圖’其中該基材1〇具 有形成在其中的一淺溝渠隔離區。圖 。圖中顯示的基材10僅
刻/清潔步驟是藉由使用HF ㈣8來形成。預-多晶衫 的濕式蝕刻製程來執行。因 9 200935513 HF蚀刻熱氧化物層7之速度比⑽】沉積的氧化物層8更 快間隙9形成在淺溝渠2中。隨後的多晶矽沉積使得 多晶矽5填入間隙9且包覆源極3或汲極4,造成了寄 生接合或漏電流。 第3圖不出根據本發明一實施例之製程腔室1 〇〇的截 面圖。在此實施例中,製程腔室100包括一設置在腔室 主體112之上端的蓋組件2〇〇、以及一至少部分設置在 ❹ 腔至主體112内的支推組件300。製程腔室也包括遠端 電漿產生器140,遠端電漿產生器14〇具有一 u型截面 的遠端電極》較佳地,製程腔室1〇〇與相關的硬體是由 一或多種與製程相容的材料來形成,例如鋁、陽極化鋁、 鍍鎳的鋁、鍍鎳的鋁6〇61_T6、不銹鋼、以及前述組合 和其合金》 支撐組件300係部分地設置在腔室主體丨丨2中。支樓 組件300藉由軸314來上升和下降,其中該轴314被摺 D 箱(bell〇w)333圍繞。腔室主體112包括形成於其側壁中 的狹縫閥開口 160,以提供存取製程腔室1〇〇的内部。 選擇性開啓和關閉狹縫閥開口 16〇,以便允許基材處理 機器手臂(未示出)存取腔室主體丨丨2内部。在一實施例 中,可透過狹縫閥開口 160將基材傳送進出製程腔室1〇〇 到鄰近的傳送腔室和/或負載閉鎖腔室(未示出),或叢集 工具内的其他腔室。示範的叢集工具包括但不限於可從 加州的聖克拉拉市的應用材料公司購得的
PRODUCER®、CENTURA®、ENDURA®和 ENDURA®SL 200935513 平台。 长 體112亦包括形成於其中的通道113,用於在其 且通熱傳流體。熱傳流體可以是加熱流體或冷卻劑, ;在製程和基材傳送期間控制腔室主體11 2的溫 度。腔室主豸H2的溫度是重要的,以防止氣體或副產 在腔至壁上的不期望凝結。示範性熱傳流體包括水、 乙一醇或其混合物。示範性熱傳流體亦可包括氮氣。 n 腔至主體112進一步包括一内概133’内概⑴圍繞 、件3 0 〇並且爲了維護和清洗是可移除的。内概1 3 3 較佳係由例如鋁的金屬或陶瓷材料所製成。然而,在製 程期間可以使用相容之材料。爲了增加沉積於内襯1 3 3 上之任何材料的附著,可喷砂處理内襯133,藉此避免 導致製程腔室1〇〇之污染的材料剝落。内襯133通常包 括或多個孔洞135和一形成於其中之泵送通道129(其 _ 仇體連通於一真空系統)。孔洞135提供氣體進入泵送通 道129的流動路徑,而泵送通道提供通過内襯133的流 動路徑,以便氣體可以離開製程腔室1 〇〇。 真空系統可以包括真空泵125和節流閥127,以用於 調節製程腔室100内的氣體流動。真空泵125連接到設 置在腔室主體112上的真空埠13ι,並且流體連通於形 成在内襯133中的泵送通道129<>爲了調節製程腔室ι〇〇 内的氣體流動’可通過節流閥127選擇性隔離真空泵125 和腔室主體112。除非另外指出,可交替地使用術語「氣 體」和「多種氣體」’且其是指一或多種前驅物、反應物、 11 200935513 催化劑、載體、淨化(purge)、清潔、其組合、以及任何 導入腔室主體112内的其他流體。 。例如,蓋組 22〇和一頂板 蓋組件200包括堆疊在一起的多個部件 件200包括一蓋緣210、一氣體輸送組件 250。蓋緣210係設計成支撐構成蓋組件2〇〇之多個部件 的重量,並耦接到腔室主體112的上表面,以提供對内 部腔室部件的存取。氣體輸送組件22〇係耦接到蓋緣21〇 ❹
的上表面,並佈置成使其與蓋緣的熱接觸達到最小。蓋 組件200的部件較佳係由具有高熱導率和低熱阻的材料 所製成’諸如具有高光滑度表面的鋁合金。部件的熱阻 較佳係小於約5 X 10·4 m2 K/W。 氣體輸送組件220可包括一氣體分佈板225或噴頭。 通常係用氣體供應面板(未示出)向製程腔室1〇〇提供一 或多種氣體。取決於將要在製程腔室1〇〇内執行的製程 而使用特定的氣體或數種氣體。例如,典型的氣體包括 一或多種前驅物、還原劑、催化劑、載體、淨化、清潔、 或其混合物或組合。通常,使導入製程腔室1〇〇的一或 多種氣體進入蓋組件200並隨後經由氣體輸送組件22〇 進入腔室主體112» —電子操作閥和/或流動控制機構(未 示出)可用來控制從氣體供應器到製程腔室1〇〇内的氣體 流動。 在一態樣中,將氣體從氣體供應面板輸送到製程腔室 100,其中氣體線路分成兩個獨立的氣體線路,該些獨立 的氣體線路如上述般提供氣體給腔室主體112。取決於 12 200935513 製程,任何數目的氣體可以用該方式來輸送,並可在製 程腔室100中或在將其傳送到製程腔室1〇〇之前將其混 合。 仍然參照第3圖,蓋組件200可以進一步包括電極 240 ’用以在蓋組件2〇〇内產生反應物種的電漿。在此實 施例中,電極240被支樓在頂板250上’並且與其電氣 隔離。一隔離體填充環(未示出)設置在電極240的底部 ◎ 周圍’使電極240與頂板250分離。一環形隔離體(未示 出)設置在隔離體填充環的上部周圍並座落在頂板25〇之 上表面上’如第3圖所示。接著將一環形隔離體(未示出) 設置在電極240的上部附近’以便讓電極240與蓋組件 2〇〇的其他部件電氣隔離。各個這些環、隔離體填充環 和環形隔離體可以由氧化鋁或任何其他與製程相容之電 氣絕緣材料製成。 電極240耦接到一電源340,同時氣體輸送組件220 ^ 係接地。因此,一或多種製程氣體的電漿可在電極24〇 和氣體輸送組件220之間形成的空間内引燃。電漿亦可 容納於區隔板形成的空間中。在缺少區隔板組件的情況 下’電漿被引燃並被容納於電極240和氣體輸送組件220 之間。在任一實施例中,電漿可良好地被限制或被容納 於蓋組件200内。 可使用能夠將氣體活化成反應物種並保持反應物種之 電漿的任何電源。例如,可使用射頻(RF)、直流電流 (DC)、交流電流(AC)或微波(MW)基功率放電技術。還可 13 200935513 由熱基技術、氣體崩潰技術、高強度光源(例如uv能 量)、或暴露於X -射線源來産生活化。替代地,可使用例 如遠端電漿産生器的遠端活化源,來産生隨後將傳送到 製程腔室100中之反應物種的電漿。示範性遠端電聚産 生盗可由諸如 MKS Instruments, Inc_和 Advanced Energy Industries,inc.的販售商提供。較佳地,電源係耦接 至電極240。 ❹
取決於製程氣體和將要在製程腔室10〇内執行的操 作,可加熱氣體輸送組件22〇。在一實施例中,例如電 阻式加熱器的加熱構件270係耦接至氣體輸送组件 220。在一實施例中,加熱構件270是管狀構件,並且被 嵌入氧體輸送組件220的上表面。氣體輸送組件22〇的 上表面包括具有略小於加熱構件27〇之外徑的寬度之溝 槽或凹陷通道’以便使用抵觸配合(interference fit)將加 熱構件270固持在溝槽中。 由於輸送組件220(包括氣體輸送組件22〇和區隔元 23〇)的每個部件是彼此導電_的,加熱構件270可 節氣體輸送組件22〇的溫度。可以在2〇〇5年2月Μ 申叫的美國專利申請案冑1"〇63,645而公開為 2005-023G35G巾獲㈣㈣室的額外將 爲參考文獻。 乂表將其 對於執行需要不#摘吉& ^ M ^ 壞真1而加熱和冷卻基材表面的電 漿辅助乾式钱刻製慈 程而5,製程腔 〇 的。在-實施例中,贺藉X特別有用 製転腔至100可用來選擇性去除基 14 200935513 材上的一或多種氧化物。 在一實例中’使用氨(NH3)和三氟化氮(ΝΙ?3)的氣體混 合物來去除一或多種矽氧化物的乾式蝕刻製程可以執行 於製程腔室1〇〇内》吾人相信除了均在單一製程環境内 的基材加熱和冷卻以外,對於可從電漿製程受益的任何 乾式蝕刻製程(包括退火製程)而言,製程腔室1〇〇 利的》 〇 參照第3圖,乾式蝕刻製程開始於將基材i丨〇放入製 程腔室100中。基材通常係通過狹縫閥開口 16〇被放入 腔室主體112中,並設置在支撐構件31〇的上表面上。 可將基材110夾持到支撐構件3 10的上表面。較佳地, 可藉由抽取真空將基材11〇夾持到支撐構件31〇的上表 面。接著,如果支撐構件310還沒有處於製程位置,將 支撐構件310上升至腔室主體112内的製程位置。較佳 係將腔室主體112加熱至約5(rc至約8〇t之間的溫度, 例如約65°C。藉由使熱傳介質流過通道113而保持腔室 主體112的溫度。 藉由將熱傳介質或冷卻劑流過形成於支撐組件300内 的通道,基材11〇被冷卻至約65t以下,例如約15艺至 約5〇t之間。在一實施例中,將基材保持在室溫或室温 以下。在另一實施例中,將基材加熱到約22t至約4〇 C之間的溫度下。通常’爲了達到期望的基材溫度,將 支據構件3〗〇保持在約饥以下。爲了冷卻支律構件 310’使冷卻劑流過形成於支撐組件3〇〇内的流體通道。 15 200935513 爲了更好地控制支撐構件3 10的溫度,較佳係使用連續 流動的冷卻劑。在一實例中,冷卻劑含有約5〇體積百分 比(vol%)的乙二醇和50體積百分比(v〇1%)的水。只要能 將基材保持在期望的溫度,可以使用其他比例的水和乙 二醇。 爲了選擇性去除基材110表面上的各種氧化物,將姓 刻氣體混合物導入製程室1〇〇。在一實施例中,接著將 ❹ ❹ 氨和三氟化氮氣體導入製程腔t 1〇〇J^w形成钱刻氣體 混合物。導入腔室内之各氣體的量是可變的並且可進行 調整’以便相配於例如將要去除之氧化物層的厚度、被 清洗之基材的幾何形態、電漿的體積容量、腔室主體112 的體積容量、以及耦接至腔室主冑112之真空系統的能 力。 可預先決定蝕刻氣體混合物的比例,以選擇性去除基 材表面上的多種氧化物。在-實施例中,可調整敍刻^ 體混合物中的多種氣體的比例,以去除諸如熱氧化物、 沉積氧化物、和/或原生氧化物的多種氧化物。在一實施 例中,可設定#刻氣體混合物中之氨與三氟化氮的莫耳 比m在本文中稱為NH3/NF3莫耳比例)以去除原生氧化 2。在-實施例中,添加㈣好提供氨與三氟化氮的莫 二比例為至少m之氣體混合物。在另一實施例中,钱 心體混合物的NH3/NF3莫耳比例係至少為約10,較佳 為約15或更大’且更佳為約2〇或更大(例如約3〇)。 NH3/NF3莫耳比例係正切氨與三氟化氮的氣體流速 16 200935513 比例。在一實施例中,氨流入製程腔室内的流速可以介 於約20 seem至約300 seem之間,較佳為介於約40 seem 至約200 sccm之間,更佳為介於約60 seem至約150 seem 之間’且更佳為介於約75 seem至約100 seem之間。三 氣化氮流入製程腔室内的流速可以介於約1 seem至約60 seem之間’較佳為介於約2 sccm至約5〇 seem之間,更 佳為介於約3 seem至約25 seem之間,且更佳為介於約 ❹ 5 seem至約15 seem之間。 亦了將淨化氣體或載氣加入蚀刻氣體混合物。可以使 用任何合適的淨化氣體/載氣,例如氬、氦、氫、氮、或 其混合物。通常,整個蝕刻氣體混合物之氨和三氟化氮 為介於約0.05%至約20%體積百分比。載氣流入製程腔 室内的流速可以介於約2〇〇 sccm至約5〇〇〇 sccm之間, 較佳為介於約500 seem至約4000 seem之間,更佳為介 泛於約1〇〇〇 sccm至約3000 sccm之間。在一實施例中, 爲了穩定腔室主體112内的壓力,在反應氣體前先將淨 化氣體或載氣導入腔室主體ll2中。腔室主體内的 操作壓力是可變的。通常,腔室主體ll2的内部壓力可 以介於約500 mT〇rr至約30 τ〇ΓΓ之間,較佳為約i T〇rr 至約10 Ton·之間,且更佳為介於約3 T〇rr至約6 T〇rr 之間。 爲了在容納於氣體輸送組件22〇中之空間261、262和 263内引燃氣體混合物的電漿,可對電極24〇施加rf功 率。RF功率可以介於約5瓦至約6〇〇瓦之間,較佳為小 17 200935513 於約⑽瓦(例如約60瓦或更小),較佳為約5〇瓦或更 小’且更佳為約4〇瓦 瓦戍更小。在一實施例中,可在製程 期間使用較低的Λ & W t功率來點燃氣體混合物並形成清潔 電聚RF功率可以介於約5瓦至約5G瓦之間,較佳為 介於約15瓦至約3〇瓦之間。在一實例+,電漿係使用 約30瓦或更小的RF功率來生。在另一實例巾,電漿係 使用約15瓦或更小的灯功率來生。通常,施加功率的
頻率疋非常低的’例如小於1〇〇 kHz。較佳地,頻率可以 介於約50 kHz至約90 kHz之間。 電漿旎量將氨和三氟化氮分解爲反應物種,該些反應 物種會結合而形成諸如氟化銨(NHj )和/或氟化氫銨 (NI^F’HF2)的反應氣體。此氣體混合物經由氣體分佈板 225的孔洞225A流過氣體輸送組件22〇,以便與含有氧 化物層(例如原生氧化矽層)的基材表面反應。在一實施 例中,首先將載氣導入製程腔室1〇〇中,産生載氣的電 衆’並且...隨後將反應氣體、氨和三氟化氮加到電衆。 不希望受理論限制,吾人相信蝕刻氣體、氟化銨和/或 氟化氫銨與氧化矽表面反應,以形成六氟矽酸銨 ((NH4)2SiF0)、氨和水。可藉由真空泵ι25將氣體的氨 和水從製程腔室10 0中去除。特別地,在氣體經由真空 埠131離開製程腔室100進入真空泵125之前,揮發性 氣體流過形成於内襯133中之孔洞135而進入果送通道 129。一含六氟矽酸銨的薄膜形成在基材表面上。可以如 下概述該反應機制: 18 200935513 NFs + xsNH3-^ nh4f + NH4F-HF2 + n2 2NH4F + 2NH4F.HF2 + Si〇2 - (NH4)2SiF6 + 2H2〇 + 2NH (NH4)2SiF6 + 熱量—2NH3 + 2HF + SiF4 o
在基材表面上形成薄膜之後,可將支撐構件310上升 到緊靠加熱之氣體分佈板225的退火位置。從氣體分佈 板225輻射的熱量可將六氟矽酸銨薄膜分解或昇華爲諸 如四氟化矽、氨和氟化氫的揮發性化合物。如上所述, 接著可透過真空泵125將這些揮發性産物從製程腔 -中去除。通常,…。c或更大的溫度下,較佳為至 約100C或更大(例如介於約115t至約2〇〇它之間),將 薄膜從基材分解且去除。 將六氟石夕酸錄薄膜分解成揮發性成分的熱能是藉由氣 體刀佈板225來對流或輻射。如上所述,將加熱構件㈣ 直接麵接到分佈板225,並且使加熱構件27g工作,以 便將分佈板225及與其熱接觸 C更佳為介於約110°C至約150。。(例如約i20〇c)e 可以多種方式實現該上升變化。例如,升降機構330 上二:支撐構件310朝向分佈板225的下表面。在此 =驟期間,將基材U。固定至支撑構件31〇,例如 由透過升降環持。替代地,可以藉 樓構株, 降梢325,將基材110自支 10上升並且置於緊靠加熱的分佈板225之處。 19 200935513 其上具有薄膜之基材110的上表面與分佈板225之間 的距離不是決定性的,而是例行實驗的結果。任何熟悉 此技術領域之人士可以輕易地決定可快速且有效地蒸發 薄腹且不損傷下方基材所需的間隔。然而,吾人相信約 0.254 mm(10 mils)和 5.08 mm(200 mils)之間的間隔是有 效的。 一旦已經將薄膜從基材上去除,便淨化(pUrge)並排空 ❹ (evacuate)製程腔室1〇〇。隨後透過將基材支撐件3〇〇下 降到傳送位置、鬆持基材、以及經由狹縫閥開口丨6〇傳 送基材’而將處理的基材移出腔室主體112 。 本發明的一實施例可用來在淺溝渠隔離區的製造期間 均勻地去除多種氧化物。STI是用於次-0.25微米製程之 元件隔離技術的主要形式《STI製造通常包括溝渠遮罩 和蝕刻、側壁氧化、溝渠填充和平坦化。第4A-4I圖是 根據本發明一實施例之用於形成淺溝渠隔離區之製程順 ® 序的截面示意圖。 第4A圖示出形成阻障氧化物層402和沉積氮化物層 403之後的半導體基材401。基材401可以是具有<ι〇〇> 晶體方位且直徑爲150 mm(6英叶)、200 mm(8英叶)或 3 00 mm(12英吋)的矽基材。可在高溫氧化爐中在基材4〇1 上生長阻障氧化物層402。阻障氧化物層402的厚度可 以為約1 5 0 A。在後續的氮化物剝離步驟期間,阻障氧 化物層402可保護基材401免受污染。可在高溫低壓化 學氣相沉積(LPCVD)爐中形成氮化物層4〇3 ^氮化物層 200935513 403 —般是由氨和二氣矽烷氣體反應所形成之氮化矽(例 如Sl3N4)的薄膜。氮化物層403是耐用的遮罩材料,其 在氧化物沉積期間保護基材4〇1並且在後續化學機械研 磨(CMP)期間作爲研磨終止材料。 第4B圖示出在氮化物層403上形成、曝光和顯影的光 阻層404。可在光阻層4〇4上形成溝渠圖案。後續的氮 化物蝕刻和氧化物蝕刻步驟可在氮化物層4〇3和阻障層 〇 402中形成溝渠圖案405’其暴露基材401中指定爲隔離 區域之位置。 第4C圖不出使用例如乾式電漿蝕刻的蝕刻製程在基 材401内形成淺溝渠4〇6。淺溝渠4〇6稍後將以介電質 材料來填充且其將作爲建構在基材4〇1上之電子元件(例 如’基材上金屬場效電晶體(M〇SFET))間的隔離材料。 第4D圖示出在淺溝渠4〇6内部形成的内襯氧化物層 407。通常係在高溫氧化爐中生長内襯氧化物層4〇7 ^内 襯氧化物層407的目的是為了改善基材4〇1與將要填充 之溝渠氧化物之間的界面。 第4E圖示出在淺溝渠4〇6内部之内襯氧化物層4〇7 上形成的氮化物内襯408。可透過電漿輔助化學氣相沉 積(PECVD)製程從載氣(諸如氮或氬)中的矽烷和氨形成 氮化物内襯408。氮化物内襯408的目的是為了在淺溝 渠406中引發應力並避免由受應力之氧化物引起的機械 失效》 第4F圖示出填充在淺溝渠4〇6和溝渠圖案々Μ内部的 21 200935513 溝渠氧化物409。通常係透過CVD製程以相當高的沉積 速率來形成溝渠氧化物4〇〜過度填充(〇verfm)溝渠氧化 物409,以致溝渠氧化物4〇9高於基材4〇丨之頂表面。 爲了獲得如帛4G圖所示的平坦表面,可應用製 程。CMP製程可從溝渠氧化物彻去除過量的氧化物。 爲了去除氮化物層403及暴露多種氧化物、阻障層4〇2 的’、’、氧化物、溝渠氧化物4〇9的沉積氧化物、内襯氧化 © 物層4〇7的熱氧化物、和氮化物内襯408的氮化氧化物, 可執行氮化物剝離步驟,如第圖所示。 通常,將執行氧化物蝕刻步驟,以便使淺溝渠結構可 用於後續製程步驟,例如各種井佈植。第41圖示出在乾 式蝕刻製程之後的STI。本發明的乾式蝕刻製程可用於 蝕刻第4H ®巾暴露的多種氧化物,以便在淺溝渠彻 上獲得大致上平坦的頂表面並避免不期望之接合或漏電 :。在-實施例中’可在與製程腔室1〇〇相似的製程腔 室内執行乾式餘刻製程。可將基材4〇〇放置在製程腔室 内並將其加熱到約100t或更小的溫度,較佳為約8吖 或更小’且更佳為約6(TC或更小’例如介於約2〇e>c至約 6〇°C之間,較佳為介於約25。〇至約5〇t之間且更佳為 介於約3(TC至約4(rc之間(例如約乃艺)。 爲了去除基材400表面上的多種氧化物,將钮刻氣體 混合物導人製程腔室⑽。在-實施例中,將包含氨和 二氟化氮氣體的蝕刻氣體混合物導入製程腔室中。爲了 相配於例如將要去除之氧化物層的厚度、基材_的幾 22 200935513 何形態、電漿的體積容量、腔室的體積容量、真空系統 的能力 '以及基材400上不同氧化物的性質,可調整氨 和二氟化氮的量和比例。亦可將淨化氣體或載氣加到蝕 刻*1體混合物。接著引燃蝕刻氣體混合物的電漿。電漿 與氧化物反應而在基材400上留下一層含六氟矽酸銨 薄膜。 Ο Ο 然後,為了將薄膜昇華,將基材4〇〇加熱到約1〇〇它 或更大的溫度,例如介於約1〇〇〇c至約2〇〇c>c之間,較佳 為介於約。were至約15(rc之間,且更佳為介於約u〇ec 至約125°C之間。接著可淨化和排空製程腔室,並且基 材100準備好進行後續步驟。在一實施例中,基材在ς 刻製程期間係介於約20t至約8(rc之間的第一溫度,並 且接著在料製程_基材被加熱到介於約HKTC至約 1 50。(:之間的第二溫度。在 — 實例中’基材在钱刻製程 期間係介於約22t至約㈣之間的第一溫度,並且接著 在昇華製程期間基材被加熱到介㈣uot;至約125t 之間的第二溫度。 此處描述㈣刻製程可用於製造期間 驟,特別是用於去除—或多 X夕種氧化物的步驟中。例如, 佈植和沉積之前的多種回蝕 可使用此處描述的姓刻製 程〇在—貫施例中, ^^ 夕 來形成含矽材料之磊晶生長/ ,儿積製私、多晶矽沉積製 .... 、或矽化製程之前使用此處 描述的姓刻製程 第5A-5H圖示出用於艰士 、形成例如MOSFET結構500之電 23 200935513
子元件之製程順序的截面示意圖,包括此處描述的 «製程和製程腔室.可在例如碎或神化錯基材 乾式 525 的半導體材料上形成MOSFET結 是具有<100>晶體方位且直徑爲 mm(8 英吋)、或 300 mm(12 英 MOSFET結構500包括 構5 00。基材525較佳 150 mm(6 英吋)、200 吋)的矽基材。通常, 以下的組合:(i)介電質層,諸如 二氧切、有機料鹽、碳摻雜的氧切、料酸鹽玻 璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、氮化矽、或其組合; ⑴)半導體層,諸如摻雜的多晶矽、η·型或卜型摻雜的單 曰曰矽,以及(m)從金屬層或金屬矽化物層(諸如鎢、矽化 鎢鈦#化鈦、石夕化話、石夕化銻、或其組合)形成的電 氣接點和互連線。 參照第5A圖,主動電子元件的製造開始於形成可使主 動電子元件與其他元件電氣隔離之電氣隔離區結構。存
在幾種類型的電氣隔離區結構,諸如場氧化物阻障物、 或淺溝渠隔離區。在此情況中’淺溝渠隔離區545A和 545B係圍繞其中形成並準備元件的電子主動構件之暴 露區域。STI可包括如第4A·!圖所述的兩種或多種氧化 物。爲了形成厚度約50到300埃的薄閘極氧化物層55〇, 而熱氧化暴露區域。接著沉積、圖案化並蝕刻多晶矽層, 以便形成閘極電極555。爲了形成絕緣介電質層56〇,可 以再氧化多晶石夕閘極電極555的表面,以提供第5A圖所 示結構。 第5B圖示出源極570A和汲極57〇B,其係藉由以適當 24 200935513 之摻雜原子來摻雜合適區域爽 碘果形成。例如,對於 材525,使用包含砷或磷的 土 ^ ^ I镠雜物種。通常,摻雜 係透過離子佈植器加以執#” 1〇i3 2 執仃並且可包括例如濃度爲約 10 原子/Cm且能量約30到8〇 keV ^ 1λ15 , .. keV的磷、或劑量約1
Xl0到iXl〇 原子/em2且能量約1 1 Λ 月匕菫約ίο到100 keV的砷。 在佈植製程之後,通過加埶某鉍 …丞材(例如在快速熱製程(RTP、
設備中)促使摻雜物進人基材525。此後,透過如上所述 的乾式钱刻製程剝離覆蓋源極57GA和没極5扇區域的 薄閘極氧化物層55〇’以便去除由佈植製程導致薄閑極 氧化物層550中捕獲的任何雜質。亦可蝕刻淺溝渠隔離 區545A和545B中的兩種或多種氧化物。爲了相配於不 同氧化物所需的各種蝕刻速率,可調整蝕刻氣體混合物。 參照第5C和第5D圖,藉由低壓化學氣相沉積(LpcVD) 使用矽烷(SiH4)、氯(C!2)和氨(NH3)的氣體混合物在閘極 電極555上和基材525表面上沉積氮化石夕層5 75。如第 5D圖所示’爲了在閘極電極555的側壁上形成氮化物間 隙壁5 80 ’隨後使用反應離子餘刻(rie)技術來截刻氮化 矽層575。間隙壁580將閘極電極555頂表面上形成的 矽化物層與源極570A和汲極570B上沉積的其他矽化物 層電氣隔離。應該注意的是,可以由例如氧化矽的其他 材料來製造電氣隔離側壁間隙壁580。通常係透過CVD 或PECVD從四乙氧矽烷(TEOS)的饋入氣體在約60CTC到 約1000°C的溫度下沉積用於形成側壁間隙壁580之氧化 矽層。雖然圖中示出的是在佈植和RTP活化之後形成間 25 200935513 隙壁580,但是可在源極/汲極佈植和RTp活化之前形成 間隙壁580。 參照第5E圖,通常係透過在製程之前和之後暴露於大 氣中’而在暴露的矽表面形成原生氧化矽層585。爲了 改進所形成之金屬矽化物的合金化反應和電導率,必須 在形成閘極電極555上的導電金屬矽化物接點、源極 5 70A和汲極570B上之導電金屬矽化物觸點前去除原生 〇 氧化矽層585。原生氧化矽層585可增加半導體材料的 電阻,且不良地影響接下來沉積之矽和金屬層的矽化反 應。因此,必須在形成用於連接主動電子元件之金屬矽 化物接點或導體前使用所述之乾式蝕刻製程去除該原生 氧化矽層585。上述之乾式蝕刻製程可用於去除原生氧 化矽層585,以便暴露源極57〇A、汲極57〇B和閘極電 極555的頂表面,如第5F圖所示。淺.溝渠隔離區a 和545B中的氧化物同樣暴露於乾式蝕刻製程。爲了在不 同表面獲得均句的去除速率,可對乾絲刻製程進行適 當調整,例如反應氣體比例。 此後,如第5G圖所示,爲了沉積金屬層59〇 ,使用物 理氣相沉積(PVD)或濺射製程。隨後,爲了在金屬層59〇 ”矽接觸的區域中形成金屬矽化物’使用傳統爐内退火 來退火金屬和矽層。通常在獨立的處理系統中執行退 火。因此,可在金屬590上沉積保護蓋層(未示出)。蓋 層通常是氮化物材料,且可以包括由氮化鈦、氮化鶴、 氮化鈕、氮化給、氮化石夕、其衍生物、其合金、或其组 26 200935513 合所構成的群組中選擇的-或多種材料。蓋層可藉由任 何/冗積製程加以沉積,較佳係製程。 退火通常包括在氮氣環境中將M〇SFET結構5〇〇加執 至介於6〇〇。〇:與80(TC之間的溫度持續約3〇分鐘。替= 地可使用將MOSFET結構5〇〇快速加熱到約i〇〇〇〇c持 續約30秒的快速熱退火製程來形成金屬矽化物595。合 適的導電金屬包括鈷、鈦、鎳、鎢、鉑、和具有低接觸 〇 電阻且可以在多晶矽和單晶矽上形成可靠金屬矽化物接 點的任何其他金屬。 可以透過使用不侵襲金屬矽化物595、間隙壁580或 場氧化物545A、B而去除金屬的王水(Ηα和HN〇3)的濕 式蝕刻來去除金屬層590的未反應部分’由此在閘極電 極555、源極570A和汲極570B上留下自準直 (self-aligned)金屬矽化物595,如第5JJ圖所示。此後, 可以在電極結構上沉積包括例如氧化矽、Bpsg或PSG ^ 的絕緣罩層》可以透過在CVD室中的化學氣相沉積來沉 積該絕緣罩層’其中來自饋入氣體之材料在低壓或常壓 下凝結,例如如共同受讓的美國專利US 5,500249所描 述的’這裏將其作爲參考文獻。然後,爲了形成光滑的 平坦表面’在玻璃轉變溫度下退火MOSFET結構500。 雖然已經描述了有關MOSFET元件的形成的上述製程 順序,此處描述的乾式蝕刻製程亦可用於形成需要去除 各種氧化物的其他半導體結構和元件。還可以在沉積包 括例如鋁、銅、鈷、鎳、矽、鈦、鈀、鈐、硼、鎢、妲、 27 200935513 或其組合的不同金屬層的沉積之前使用乾式银 I狍例甲,此處描述在實施例中 可以與水溶液蚀刻製程相結合。例 =刻製程 種氧化物的氧化物結構,乾式敍刻製程可能用' =兩 …物纟相對於第二氧化物完全地或部分地
減氧化物特徵。水溶⑨HF钱刻製程可接著用: 去除第二氧化物。 ; 爲了提供前述描述的更好理解,給 例。雖然此實例可能導向特定實施例 在任何特定方面限制了本發明。 實例: 將基材暴露於各種蝕刻製程,以去除原生氧化物層且 在基材上形成鈍化表面。接著將基材在一時序期間暴露
其合金、 刻製程。 出下列非限制性實 但不能認爲實例 於外界條件,並且在鈍化表面上形成次原生氧化物層。 在將基材暴露於外界條件的同時,依時序來監測次原生 氧化物層的厚度,如第6圖所繪示。該各種蝕刻製程包 括實驗A-E,如触< 〇 基材 NH3流速 nf3流速 NH3/NF3莫耳比例 電漿功率 (seem) (seem) (W> A - B 70 14 5 30 C 100 50 2 L 30 28 200935513 D 100 5 20 30 E 100 5 20 15 在實驗A中,基材A暴露於HF濕式清潔溶液與製程。 在實驗B與C中,基材B與C分別暴露於nh3/NF3莫耳 比例為約5和約2之蝕刻氣體混合物,並且皆暴露於以 約30瓦的RF功率來引燃的電漿。在實驗D與E中,基 材D與E皆暴露於NHVNF3莫耳比例為約20之钱刻氣 體混合物,但分別暴露於以約3 0瓦和1 5瓦的不同RF 功率來引燃的電漿。 對於實驗B-E,也將氬以約3500 seem流速隨著氨和三 氟化氮導入製程腔室。製程腔室的内部壓力為約3 Torr, 並且基材溫度為約35C。為了形成一含六氣發酸按的 膜,將基材蝕刻長達120秒。 在隨後的退火製程期間,基材表面與噴頭間的間隔為 約75〇111丨18。在腔室内以約1500 ^(:111流速的氬進行載座 淨化,以約500 seem流速的氬進行邊緣淨化。為了藉由 昇華與/或分解將膜去除同時將基材表面予以鈍化,將蓋 加熱到約12(TC的溫度且將基材退火長達約6〇秒。約5〇人 的含原生氧化矽的材料從各基材表面去除。 —旦實驗A-E完成了蝕刻製程,將基材A_E退出製程 腔至且將其放置在外界環境中從而使基材在室溫(約22 C)下暴露於空氣中的氧和水。在約$小時的時序後,基 材A B和C各包含有大於約5入的氧化物層,而基材d 和E各包含有小於約5入的氧化物層。在,約料時的時 29 200935513 序後,基材a、b* c各包含有大於約7A的氧化物層, 而基材D和E各包含有小於約6A的氧化物層。在約工$ 小時、20小時和25小時的時序後,基材a、B和c各包 含有大於約8A的氧化物層,而基材D和E各包含有小 於約6A的氧化物層。此外,在約3〇小時的時序後,基 材A、B和C各包含有約9A或更大的氧化物層,而基材 D和E各包含有小於約7A的氧化物層。 ❹ ❹ 在實驗D與丑期間形成的鈍化表面會於基材暴露於製 程腔室外面之外界條件時在約5小時至約25小時之間的 期間内限财基材上進H原生氧化物的形成到約 A或更j的厚度^此外’在實驗期間形成的純化 表面會於基材暴露於製程㈣外面之外界條件時在約15 小時至約30小時之間的期間内限制在基材上進一步另 -原生氧化物的形成㈣8A或更小(較佳為約7A或更 小,且更佳為約6A或更小)的厚度。 除非另外指出,衣:旨,丨尤# 4 + i 否貝在說明書和申請專利範圍中用來 表示性質的量、反岸條株望 條件等的所有數字應該理解爲近似 值。這些近似值是基於本發明欲獲得的期望性質和測量 誤差’並且至少㈣考純導的有效數字位元數並應用 一般的四捨五入技術來輊嗜 ㈣來解凟。另外,可以進一步最適化 本文表不的任何數量,包括、、田 祜'皿度、壓力、間隔 '莫耳比 例、流速等,以便辑彳晷细炒 更熳仔期望的蝕刻選擇性和性能。 雖然前述說明指向於本發 A A r 月的實施例,但在不偏離其 丞本I&圍條件下可以玛士+山丄 5十出本發明的其他和額外實施 30 200935513 例, 因此其範圍係由申 請專利範圍所確定 【圖式簡單說明】 發月之前述特徵、詳細說明可以藉由參照實施例來 詳細地瞭解,I + it 、 &實施例係繪示在附圖中。然而, '导意的疋附圖僅示出本發明的典型實施例,並且因 不會限制本發明範圍’本發明允許其他等效的實施例。 ❹ 帛1®7F出基材的部分透視圖,其中該基材具有形成 在其中的一淺溝渠隔離區,如本文的一實施例所述。 第2圖示出一淺溝渠隔離區的部分截面圖,如本文的 一實施例所述。 第3圖示出根據本發明一實施例之製程腔室的截面 圖。 第4A-4I圖示出根據本發明另一實施例之用於形成淺 _ 溝渠隔離區之製程順序的截面示意圖。 第5A-5H圖示出用於形成STI中隔離的電子元件之製 程順序的戴面示意圖,如本文的一實施例所述。 第6圖顯示在各種鈍化基材表面上氧化物生長速率的 圖表,如本文的一些實施例所述。 [主要元件符號說明】 2 淺溝渠 4 彡及極 1 矽層 3 源極 31 200935513
5 多 晶矽 6 閘極氧化物層 7 熱氧化物層 8 沉積的氧化物層 9 間 隙 10 基材 100 製程腔室 110 基材 112 腔室主體 113 通道 125 真空泵 127 節流閥 129 泵送通道 131 真空埠 133 内襯 135 孔洞 140 遠端電漿產生器 160 狹縫閥開口 200 蓋組件 210 蓋緣 220 氣體輸送組件 225 氣體分佈板 225A 孔洞 230 區隔元件 240 電極 250 頂板 270 加熱構件 300 支撐組件 310 支撐構件 314 軸 320 升降環 325 升降梢 330 升降機構 333 摺箱 340 電源 400 基材 401 基材 402 阻障氧化物層 403 氮化物層 404 光阻層 405 溝渠圖案 406 淺溝渠 407 内襯氧化物層 408 氮化物内襯 409 溝渠氧化物 500 MOSFET結構 525 基材 545A 淺溝渠隔離1 32 200935513 545B 淺溝渠隔離區 550 薄閘極氧 555 閘極電極 560 絕緣介電 570A 源極 570B 汲極 575 氮化矽層 580 間隙壁 585 原生氧化矽層 590 金屬層 595 金屬矽化物 化物層 質層
33

Claims (1)

  1. 200935513 七、申請專利範圍: 除原生氡化物之方法,包含 1. 一種用於從一基材表面去 以下步驟: 放置一基材於一製程脒a〜 至内’該基材包含-氧化 物層, 調整該基材之一第一 π戽仏 。 矛,皿度到約80。(:或更小; 在該製程腔室内從_||舻、甘 氣體此合物產生一清潔電 Ο Ο 漿’其中該氣體混合物包含氨和_ ” 虱和二氟化氮且nh3/nf3莫 耳比例為約1 〇或更大; 、 在-電漿清潔製程期間’使該清_凝結到該 基材上且形成一薄膜,其中該薄膜包含部分從該氧化物 形成的六氟矽酸銨;及 在該製程腔室内加熱該基材到約1〇代或更大之 二第二溫度’同時從該基材去除該薄膜且在該基材上形 成一純化表面。 2.如申請專利範圍第〗 #所玟< 万法,其_該nh3/nf3 莫耳比例為約20或更大。 3·如申請專利範圍第2項所述之方法,其W清潔電漿 係以約5瓦至約5〇瓦之㈣ 4.如申請專利範圍第3項所述之方法,其中該rf功率介 34 200935513 於約15瓦至約30瓦之間。 5·如申請專利範圍第!項所述之方法’其中該氣體混合 物是藉由結合流速為約40 seem至約2〇〇 sccm的氨與 流速為約2 seem至約50 seem的三氟化氮來形成。 6·如申請專利範圍第5項所述之方法,其中氨之流速介 〇 於約75secm至約WOseem之間,且三氟化氮之流速 介於約5 seem至約15 seem之間。 法,其中該第一溫度 第二溫度介於約100 7.如申請專利範圍第1項所述之方 介於約20°C至約80°C之間,且該 °C至約200。(:之間。 ❹ 8.如申請專利範圍第7項所述之方法,其中該第一溫度 介於約22°C至约40t之間,且該第二溫度介於約H °C至約150°C之間》 更包含在該基材 9.如申請專利範圍第1項所述之方法 之鈍化表面上生長一磊晶層之步驟 10·如申請專利範圍第i項所述之方法,其中於該基材暴 露於該製程腔室外面的外界條件時,該純化表面係在 約5小時至約2 5小時之間的期間内限制該基材上另一 35 200935513 原生氧化物層之進-步形成到約6Α或更小的厚度。 如申請專利範圍第1項所述 k之方法,其中於該基材暴 露於該製程腔室外面的外界侔 ., 保件時,該鈍化表面係在 ^ 15小時至約30小時之間的期間内限制該基材上另 原生氧化物層之進一步形成到約8Α或更小的厚度。 Ο ❹ 12. 一種用於從一基材表面去除原生氧化物之方法,包含 以下步驟: 放置一基材於一製程腔室内,該基材包含一氧化 物層; 調整該基材之一第一溫度到小於約1 〇 〇 °C ; 在該製程腔室内從一氣體混合物產生一清潔電 漿,其中該氣體混合物包含氨和三氟化氮且NH3/NF3莫 耳比例為約20或更大,並且該清潔電漿係以約5瓦至約 50瓦之間的RF功率來產生; 在一電漿清潔製程期間,將該基材暴露於該清潔 電漿以形成一薄膜,其中該薄膜包含部分從該氧化物層 形成的六氟矽酸銨;及 在該製程腔室内加熱諸基材到約1 00°C或更大之 一第一溫度’同時從該基材去除該薄膜且在該基材上形 成一純化表面。 13.如申請專利範圍第12項所述之方法,其中該rf功 36 200935513 率介於約1 5瓦至約30瓦之間。 14.如申請專利範圍第I?項所述之方法,其中該氣體混 合物是藉由結合流速為約1 seem至約i 〇 sccrn的氨與 迷為約5〇 seem至約200 seem的三氟化氮來形成。 15·如申請專利範圍第14項所述之方法,其中氨之流逮 介於約2 sccm至約8 seem之間’且三氟化氮之流迷 ”於約75 seem至約15〇 seem之間。 1 6·如申請專利範圍第12項所述之方法,其中該第一溫 度"於約20 C至約80°C之間,且該第二溫度介於約 100°C至約200°C之間。 17·如申請專利範圍第16項所述之方法,其中該第一溫 度介於約22t至約贼之間且該第二溫度介於約 ll〇°C至約I50t之間。 18·如申請專利範圍第12項所述之方法,更包含在該基 材之鈍化表面上生長一磊晶層之步驟。 如申請專利範圍第12項所述之方法,其中於 暴露於該製程腔室外面的外界條件時,該純化表面係 丨夺至、’、勺25小時之間的期間内限制該基材上另 37 200935513 步形成到約 一原生氧化物之進— 6A或更小的厚度。 20· 一種用於從— 以下步驟: 基材表面去除原生氡化物之方法,包含 該基材包含一氧化 放置一基材於一製程腔室内 物層; 調整該基材之一第—溫度到小於約10(rc;
    在該製程腔室内從一氣體混合物產生一清潔電 浆’其中該氣體混合物包含氨和三氟化氮且NH3/NF3莫 耳比例為、約1G或更大,並且該清潔電衆係以約5瓦至約 5〇瓦之間的RF功率來產生; 在一電漿清潔製程期間,將該基材暴露於該清潔 電漿以形成-薄膜’其中該薄膜包含部分從該氧化物層 形成的六氟石夕酸敍; 在該製程腔室内加熱該基材到約1 〇〇〇c或更大之 —第二溫度,同時從該基材去除該薄膜且在該基材上形 成一純化表面;及 在該基材之鈍化表面上生長一磊晶層。 38
TW097149788A 2007-12-21 2008-12-19 利用電漿清潔處理形成鈍化層以降低原生氧化物生長之方法 TWI438839B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/962,791 US7780793B2 (en) 2004-02-26 2007-12-21 Passivation layer formation by plasma clean process to reduce native oxide growth

Publications (2)

Publication Number Publication Date
TW200935513A true TW200935513A (en) 2009-08-16
TWI438839B TWI438839B (zh) 2014-05-21

Family

ID=40825013

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097149788A TWI438839B (zh) 2007-12-21 2008-12-19 利用電漿清潔處理形成鈍化層以降低原生氧化物生長之方法

Country Status (6)

Country Link
US (1) US7780793B2 (zh)
JP (1) JP2011508433A (zh)
KR (1) KR20100114503A (zh)
CN (1) CN101903984B (zh)
TW (1) TWI438839B (zh)
WO (1) WO2009085958A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9984870B2 (en) 2016-06-30 2018-05-29 International Business Machines Corporation Combined reactive gas species for high-mobility channel passivation
TWI739473B (zh) * 2019-07-08 2021-09-11 大陸商合肥晶合集成電路股份有限公司 預處理方法、金屬矽化物的形成方法以及半導體處理裝置
TWI814329B (zh) * 2016-12-23 2023-09-01 美商蘭姆研究公司 用於執行原子層蝕刻之多站處理工具

Families Citing this family (203)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7786016B2 (en) * 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US7867900B2 (en) * 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US7968441B2 (en) * 2008-10-08 2011-06-28 Applied Materials, Inc. Dopant activation anneal to achieve less dopant diffusion (better USJ profile) and higher activation percentage
US20100099263A1 (en) * 2008-10-20 2010-04-22 Applied Materials, Inc. Nf3/h2 remote plasma process with high etch selectivity of psg/bpsg over thermal oxide and low density surface defects
US7994002B2 (en) * 2008-11-24 2011-08-09 Applied Materials, Inc. Method and apparatus for trench and via profile modification
US7749917B1 (en) * 2008-12-31 2010-07-06 Applied Materials, Inc. Dry cleaning of silicon surface for solar cell applications
JP4968861B2 (ja) * 2009-03-19 2012-07-04 東京エレクトロン株式会社 基板のエッチング方法及びシステム
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2012008179A1 (ja) * 2010-07-12 2012-01-19 住友精密工業株式会社 エッチング方法
US8492899B2 (en) 2010-10-14 2013-07-23 International Business Machines Corporation Method to electrodeposit nickel on silicon for forming controllable nickel silicide
US8741778B2 (en) * 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8912096B2 (en) * 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5840505B2 (ja) * 2012-01-12 2016-01-06 株式会社東芝 半導体装置の製造方法
US8455352B1 (en) * 2012-05-24 2013-06-04 Applied Materials, Inc. Method for removing native oxide and associated residue from a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9224644B2 (en) 2012-12-26 2015-12-29 Intermolecular, Inc. Method to control depth profiles of dopants using a remote plasma source
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8748322B1 (en) * 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9953975B2 (en) * 2013-07-19 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming STI regions in integrated circuits
US9543163B2 (en) * 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US20150064921A1 (en) * 2013-08-30 2015-03-05 Applied Materials, Inc. Low temperature plasma anneal process for sublimative etch processes
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US8945414B1 (en) 2013-11-13 2015-02-03 Intermolecular, Inc. Oxide removal by remote plasma treatment with fluorine and oxygen radicals
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9245793B2 (en) 2013-12-19 2016-01-26 Intermolecular, Inc. Plasma treatment of low-K surface to improve barrier deposition
CN104733283A (zh) * 2013-12-23 2015-06-24 中芯国际集成电路制造(上海)有限公司 一种半导体器件表面预清洁方法
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
CN103752562A (zh) * 2014-02-13 2014-04-30 苏州众显电子科技有限公司 一种利用等离子清洗机洗液晶显示屏基板工艺
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9368370B2 (en) * 2014-03-14 2016-06-14 Applied Materials, Inc. Temperature ramping using gas distribution plate heat
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9653320B2 (en) 2014-09-12 2017-05-16 Applied Materials, Inc. Methods for etching a hardmask layer for an interconnection structure for semiconductor applications
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9359679B2 (en) 2014-10-03 2016-06-07 Applied Materials, Inc. Methods for cyclically etching a metal layer for an interconnection structure for semiconductor applications
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
CN105702724B (zh) * 2014-11-27 2019-01-22 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160181111A1 (en) * 2014-12-19 2016-06-23 Lam Research Corporation Silicon etch and clean
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10008366B2 (en) 2015-09-08 2018-06-26 Applied Materials, Inc. Seasoning process for establishing a stable process and extending chamber uptime for semiconductor chip processing
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
WO2018026509A1 (en) * 2016-08-05 2018-02-08 Applied Materials, Inc. Aluminum fluoride mitigation by plasma treatment
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
DE102017100725A1 (de) * 2016-09-09 2018-03-15 Aixtron Se CVD-Reaktor und Verfahren zum Reinigen eines CVD-Reaktors
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
KR101850895B1 (ko) 2017-01-03 2018-04-20 한국표준과학연구원 플라즈마 발생 장치
US10224212B2 (en) * 2017-01-27 2019-03-05 Lam Research Corporation Isotropic etching of film with atomic layer control
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
CN110945626B (zh) * 2017-05-26 2023-07-04 应用材料公司 金属硅化物的选择性沉积
US10586707B2 (en) 2017-05-26 2020-03-10 Applied Materials, Inc. Selective deposition of metal silicides
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107611007A (zh) * 2017-08-24 2018-01-19 长江存储科技有限责任公司 一种深沟槽的预清洗方法及3d nand制备工艺
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019235196A1 (ja) * 2018-06-08 2019-12-12 株式会社アルバック 酸化膜除去方法、および、酸化膜除去装置
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11355620B2 (en) * 2018-10-31 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
CN113348532A (zh) * 2018-11-13 2021-09-03 应用材料公司 金属硅化物的选择性沉积和选择性氧化物移除
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN110060944A (zh) * 2019-04-03 2019-07-26 长电科技(宿迁)有限公司 一种具有等离子清洗功能的包封预热台
RU193772U1 (ru) * 2019-09-17 2019-11-14 Сергей Иванович Алексеев Стол нейтральный для кофемашин
CN115662924B (zh) * 2022-12-12 2023-03-31 广州湾区半导体产业集团有限公司 半导体基板的洁净控制系统及方法、洁净设备

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4209357A (en) 1979-05-18 1980-06-24 Tegal Corporation Plasma reactor apparatus
US4585920A (en) 1982-05-21 1986-04-29 Tegal Corporation Plasma reactor removable insert
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5228501A (en) 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
DE3884653T2 (de) 1987-04-03 1994-02-03 Fujitsu Ltd Verfahren und Vorrichtung zur Gasphasenabscheidung von Diamant.
US4886570A (en) 1987-07-16 1989-12-12 Texas Instruments Incorporated Processing apparatus and method
US5030319A (en) 1988-12-27 1991-07-09 Kabushiki Kaisha Toshiba Method of oxide etching with condensed plasma reaction product
JP2981243B2 (ja) * 1988-12-27 1999-11-22 株式会社東芝 表面処理方法
US4985372A (en) 1989-02-17 1991-01-15 Tokyo Electron Limited Method of forming conductive layer including removal of native oxide
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
EP0447155B1 (en) 1990-03-12 1995-07-26 Ngk Insulators, Ltd. Wafer heaters for use in semi-conductor-producing apparatus, heating units using such wafer heaters, and production of heaters
US5089441A (en) 1990-04-16 1992-02-18 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafers
US5238499A (en) 1990-07-16 1993-08-24 Novellus Systems, Inc. Gas-based substrate protection during processing
US5549780A (en) 1990-10-23 1996-08-27 Semiconductor Energy Laboratory Co., Ltd. Method for plasma processing and apparatus for plasma processing
US5578130A (en) 1990-12-12 1996-11-26 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for depositing a film
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5282925A (en) * 1992-11-09 1994-02-01 International Business Machines Corporation Device and method for accurate etching and removal of thin film
US5382311A (en) 1992-12-17 1995-01-17 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
US5500249A (en) 1992-12-22 1996-03-19 Applied Materials, Inc. Uniform tungsten silicide films produced by chemical vapor deposition
US5345999A (en) 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
US5695568A (en) 1993-04-05 1997-12-09 Applied Materials, Inc. Chemical vapor deposition chamber
US5560779A (en) 1993-07-12 1996-10-01 Olin Corporation Apparatus for synthesizing diamond films utilizing an arc plasma
DE69421465T2 (de) 1993-07-30 2000-02-10 Applied Materials Inc Verfahren zur Ablagerung von Silzium-Nitrid auf Siliziumoberflächen
SE501888C2 (sv) 1993-10-18 1995-06-12 Ladislav Bardos En metod och en apparat för generering av en urladdning i egna ångor från en radiofrekvenselektrod för kontinuerlig självförstoftning av elektroden
US5505816A (en) 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5451259A (en) 1994-02-17 1995-09-19 Krogh; Ole D. ECR plasma source for remote processing
US5531835A (en) 1994-05-18 1996-07-02 Applied Materials, Inc. Patterned susceptor to reduce electrostatic force in a CVD chamber
US5767373A (en) 1994-06-16 1998-06-16 Novartis Finance Corporation Manipulation of protoporphyrinogen oxidase enzyme activity in eukaryotic organisms
US5683517A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
JP2814370B2 (ja) 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5716506A (en) 1995-10-06 1998-02-10 Board Of Trustees Of The University Of Illinois Electrochemical sensors for gas detection
EP0891684B1 (en) 1996-03-25 2008-11-12 S. George Lesinski Attaching of an implantable hearing aid microactuator
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US5993916A (en) 1996-07-12 1999-11-30 Applied Materials, Inc. Method for substrate processing with improved throughput and yield
US6197116B1 (en) * 1996-08-29 2001-03-06 Fujitsu Limited Plasma processing system
US5846375A (en) 1996-09-26 1998-12-08 Micron Technology, Inc. Area specific temperature control for electrode plates and chucks used in semiconductor processing equipment
US5951776A (en) 1996-10-25 1999-09-14 Applied Materials, Inc. Self aligning lift mechanism
KR100237825B1 (ko) 1996-11-05 2000-01-15 윤종용 반도체장치 제조설비의 페디스탈
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6083344A (en) 1997-05-29 2000-07-04 Applied Materials, Inc. Multi-zone RF inductively coupled source configuration
US6706334B1 (en) 1997-06-04 2004-03-16 Tokyo Electron Limited Processing method and apparatus for removing oxide film
US6364957B1 (en) 1997-10-09 2002-04-02 Applied Materials, Inc. Support assembly with thermal expansion compensation
KR100551980B1 (ko) * 1997-11-03 2006-02-20 에이에스엠 아메리카, 인코포레이티드 저질량 지지체를 이용한 웨이퍼의 처리방법 및 장치
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6406759B1 (en) 1998-01-08 2002-06-18 The University Of Tennessee Research Corporation Remote exposure of workpieces using a recirculated plasma
US6179924B1 (en) 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
US6086677A (en) 1998-06-16 2000-07-11 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6562128B1 (en) 2001-11-28 2003-05-13 Seh America, Inc. In-situ post epitaxial treatment process
US6176198B1 (en) 1998-11-02 2001-01-23 Applied Materials, Inc. Apparatus and method for depositing low K dielectric materials
JP4124543B2 (ja) 1998-11-11 2008-07-23 東京エレクトロン株式会社 表面処理方法及びその装置
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US20020033233A1 (en) 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
JP4057198B2 (ja) 1999-08-13 2008-03-05 東京エレクトロン株式会社 処理装置及び処理方法
US6287643B1 (en) 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
EP1099776A1 (en) 1999-11-09 2001-05-16 Applied Materials, Inc. Plasma cleaning step in a salicide process
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6342453B1 (en) 1999-12-03 2002-01-29 Applied Materials, Inc. Method for CVD process control for enhancing device performance
US6238513B1 (en) 1999-12-28 2001-05-29 International Business Machines Corporation Wafer lift assembly
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
US6656831B1 (en) 2000-01-26 2003-12-02 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of a metal nitride layer
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
US6350320B1 (en) 2000-02-22 2002-02-26 Applied Materials, Inc. Heater for processing chamber
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6679981B1 (en) 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
US6603269B1 (en) 2000-06-13 2003-08-05 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
US6800830B2 (en) 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
US6446572B1 (en) 2000-08-18 2002-09-10 Tokyo Electron Limited Embedded plasma source for plasma density improvement
US6372657B1 (en) 2000-08-31 2002-04-16 Micron Technology, Inc. Method for selective etching of oxides
JP2002100578A (ja) 2000-09-25 2002-04-05 Crystage Co Ltd 薄膜形成装置
AUPR179500A0 (en) 2000-11-30 2000-12-21 Saintech Pty Limited Ion source
US6544340B2 (en) 2000-12-08 2003-04-08 Applied Materials, Inc. Heater with detachable ceramic top plate
US6448537B1 (en) 2000-12-11 2002-09-10 Eric Anton Nering Single-wafer process chamber thermal convection processes
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6879981B2 (en) 2001-01-16 2005-04-12 Corigin Ltd. Sharing live data with a non cooperative DBMS
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
CN1302152C (zh) 2001-03-19 2007-02-28 株式会社Ips 化学气相沉积设备
US6670278B2 (en) 2001-03-30 2003-12-30 Lam Research Corporation Method of plasma etching of silicon carbide
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
JP2003019433A (ja) 2001-07-06 2003-01-21 Sekisui Chem Co Ltd 放電プラズマ処理装置及びそれを用いた処理方法
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US7138649B2 (en) 2001-08-09 2006-11-21 Amberwave Systems Corporation Dual-channel CMOS transistors with differentially strained channels
JP2003059914A (ja) 2001-08-21 2003-02-28 Hitachi Kokusai Electric Inc プラズマ処理装置
US6753506B2 (en) 2001-08-23 2004-06-22 Axcelis Technologies System and method of fast ambient switching for rapid thermal processing
US20030129106A1 (en) 2001-08-29 2003-07-10 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support
JP3954833B2 (ja) 2001-10-19 2007-08-08 株式会社アルバック バッチ式真空処理装置
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
KR100443121B1 (ko) 2001-11-29 2004-08-04 삼성전자주식회사 반도체 공정의 수행 방법 및 반도체 공정 장치
AU2002353145A1 (en) 2001-12-13 2003-06-30 Applied Materials, Inc. Self-aligned contact etch with high sensitivity to nitride shoulder
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6827815B2 (en) 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
JP2003217898A (ja) 2002-01-16 2003-07-31 Sekisui Chem Co Ltd 放電プラズマ処理装置
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US7256370B2 (en) 2002-03-15 2007-08-14 Steed Technology, Inc. Vacuum thermal annealer
US6500728B1 (en) 2002-05-24 2002-12-31 Taiwan Semiconductor Manufacturing Company Shallow trench isolation (STI) module to improve contact etch process window
US6767844B2 (en) 2002-07-03 2004-07-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma chamber equipped with temperature-controlled focus ring and method of operating
EP1403131A3 (en) * 2002-09-27 2005-06-15 Combi Corporation Child car seat
KR100500852B1 (ko) 2002-10-10 2005-07-12 최대규 원격 플라즈마 발생기
KR101127294B1 (ko) 2003-02-14 2012-03-30 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정
KR100728173B1 (ko) 2003-03-07 2007-06-13 앰버웨이브 시스템즈 코포레이션 쉘로우 트렌치 분리법
US20040182315A1 (en) 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US6958286B2 (en) 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7049200B2 (en) 2004-05-25 2006-05-23 Applied Materials Inc. Method for forming a low thermal budget spacer
US7122949B2 (en) 2004-06-21 2006-10-17 Neocera, Inc. Cylindrical electron beam generating/triggering device and method for generation of electrons
KR100593740B1 (ko) 2004-09-16 2006-06-28 삼성전자주식회사 반도체 자연산화막 제거방법
EP1831430A2 (en) * 2004-12-21 2007-09-12 Applied Materials, Inc. An in-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20060130971A1 (en) 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US7253123B2 (en) 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
JP4475136B2 (ja) 2005-02-18 2010-06-09 東京エレクトロン株式会社 処理システム、前処理装置及び記憶媒体
US20070087573A1 (en) 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9984870B2 (en) 2016-06-30 2018-05-29 International Business Machines Corporation Combined reactive gas species for high-mobility channel passivation
TWI814329B (zh) * 2016-12-23 2023-09-01 美商蘭姆研究公司 用於執行原子層蝕刻之多站處理工具
TWI739473B (zh) * 2019-07-08 2021-09-11 大陸商合肥晶合集成電路股份有限公司 預處理方法、金屬矽化物的形成方法以及半導體處理裝置

Also Published As

Publication number Publication date
US20080160210A1 (en) 2008-07-03
JP2011508433A (ja) 2011-03-10
WO2009085958A3 (en) 2009-09-03
CN101903984B (zh) 2012-10-03
KR20100114503A (ko) 2010-10-25
TWI438839B (zh) 2014-05-21
US7780793B2 (en) 2010-08-24
WO2009085958A2 (en) 2009-07-09
CN101903984A (zh) 2010-12-01

Similar Documents

Publication Publication Date Title
TW200935513A (en) Passivation layer formation by plasma clean process to reduce native oxide growth
JP4995102B2 (ja) Nh3−nf3化学による酸化物エッチング
US10199215B2 (en) Apparatus and method for selective deposition
US20060130971A1 (en) Apparatus for generating plasma by RF power
CN103824746B (zh) 用于沟槽与介层洞轮廓修饰的方法与设备
US8951913B2 (en) Method for removing native oxide and associated residue from a substrate
TW201102455A (en) In-situ dry clean chamber for front end of line fabrication
US6524918B2 (en) Method for manufacturing a gate structure incorporating therein aluminum oxide as a gate dielectric
TW201246359A (en) Dry chemical cleaning for gate stack preparation
CN110024079A (zh) 金属膜的沉积
US20090191703A1 (en) Process with saturation at low etch amount for high contact bottom cleaning efficiency for chemical dry clean process
KR20190051082A (ko) Pvd 루테늄을 사용하는 방법들 및 디바이스들
JPH06120355A (ja) 半導体装置の製造方法