TW200919246A - Enhancing speed of simulation of an IC design while testing scan circuitry - Google Patents

Enhancing speed of simulation of an IC design while testing scan circuitry Download PDF

Info

Publication number
TW200919246A
TW200919246A TW097130812A TW97130812A TW200919246A TW 200919246 A TW200919246 A TW 200919246A TW 097130812 A TW097130812 A TW 097130812A TW 97130812 A TW97130812 A TW 97130812A TW 200919246 A TW200919246 A TW 200919246A
Authority
TW
Taiwan
Prior art keywords
design
computer
scan
path
instruction set
Prior art date
Application number
TW097130812A
Other languages
English (en)
Other versions
TWI457777B (zh
Inventor
Yogesh Pandey
Vijay Anand Sankar
Manish Jain
Original Assignee
Synopsys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synopsys Inc filed Critical Synopsys Inc
Publication of TW200919246A publication Critical patent/TW200919246A/zh
Application granted granted Critical
Publication of TWI457777B publication Critical patent/TWI457777B/zh

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/267Reconfiguring circuits for testing, e.g. LSSD, partitioning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/261Functional testing by simulating additional hardware, e.g. fault simulation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318583Design for test
    • G01R31/318591Tools

Description

200919246 九、發明說明: 【發明所屬之技術領域】 本發明之具體實施例係關於用於測試圖案之測試的積體 電路(ic)晶片之模擬,該等測試圖案係藉由自動測試圖案 產生(ATPG)而建立以結合實體積體電路晶片内之掃描電路 使用。 【先前技術】 電子器件現今包含數百萬個別電路或”單元"片。為了使 此類器件之設計與製造自動化,已開發電子設計自動化 (EDA)系統。EDA系統包括一或多個供晶片設計者使用的 經私式化用以設計電子器件之電腦,該等電子器件可以包 括一或多個積體電路晶片。EDA系統通常接收欲内建於積 體電路晶片中之電路的一或多個高階行為描述(例如,採 用硬體描述語言(HDL),像VHDL、VerUog等)且將此行為 描述轉譯為各種抽象層之電路規劃清單(netUst) ^電路規 劃清單通常係儲存於EDA系統内之電腦可讀取媒體中且使 用許多熟知技術加以處理及驗證。EDA系統使用電路規劃 清單最終產生一採用遮罩(mask)形式之實體器件佈局,以 在製造實體積體電路晶片時使用。 測試設計(D F T)程序可以獲得實施一所需行為(例如數位 信號處理(DSP))的積體電路晶片之設計(例如採用電路規劃 清單之形式),及採用稱為"掃描單元"之特殊單元21至 22(圖1B)取代一或多個正反器丨丨至12(圖1A),,,掃描單元"Η 至22經設計用以將來自積體電路晶片1〇(圖1B)之主要輸入 133295.doc 200919246 之設計^供應至一或多個部分13。原始積體電路晶片 邛为13通常包括耦合正反器11與12的組合邏輯。 剛剛說明的採用播Ρm D邈輯。 η樹 代正反器期間,部分13通常保 此—已修改設計具有兩個操作模式,執行設計積 體電路,片10所基於之預期功能(例如DSP)的任務模式, 是否已正確製造積體電路晶片10中之電路元件的測 成模式。 通常,積艘電路晶U之此—已修改設計中之择描單元 (圖)匕括ϋ由多工器21M驅動的正反器多工器 在%作於測試模式下(該時間期間掃描啟用信細起 作用)之條件下將信號81供應至正反器21F之資料輸入(D輸 入)接針及或者在操作於任務模式下(該時間期間信細不 起作用)之條件下供應另一信號⑷。圖16中將輸入至正反 器21F之信號顯示為多工器之輸出信號MO。掃描設計期 間曰曰片叹什者可以將掃描單元21與22識別為意欲搞合至 -掃描鏈中,其涉及藉由耗合掃描單元2_2(例如,單 元22之輸入接針SI係麵合至單元21中之正反器川的輸出 接針Q)建立-掃描路徑23(參見圖1B)。掃描路_經過部 们3之任務路徑13p的一替代者,且多工器22m基於其掃 描啟用信號選擇來自此等兩個路徑之一的一信號。晶片設 計者可以指定-共同掃描啟用信號犯或指定不同掃描啟用 信號,以操作多工器21肘與221^。 開發積體電路晶片之設計中的一額外步驟涉及產生欲應 用於積體電路晶片1G之測試_。採驗叫軟體所程式 133295.doc 200919246 化之電腦可以分析採用電路規劃清單形式的積體電路設計 之-或多個表示且可以自動產生測試圖案。藉由一硬體器 件(稱為’’測試器”)將此類測試圖案(也稱為測試向幻應用 於-實體積體電路晶片中之掃描單元以測試(例如)是否正 確製造電路之某些選定部分。 更明確言之,測試器(夫錮-、叶, 顯不)藉由移動操作(也稱為"載 财,)期間從積體電路晶片1〇之主要輸入η 測試圖案連續載入一或多個掃 夕1回 留-,,、+ , 拎描早凡21(也稱為"輸入掃描 中,同時啟動掃描啟用信號來測試積體電路晶片 電路晶…之主要輸入31與主要輸出32係從晶片片 1: 了存取(例如’任何测試器可存取)的外部接針。此 一移動操作之後,測試器 按針此 試圖案應用於部分13(處於 =用號’且在測 積體電路時脈循環/中)的情況下操作 測試操作後跟隨有 移動操作(也稱為,,卸載操作”)中 ==描啟用信號之—或多個循環,其 : 兀22所鎖存之測試 "田早 要輸出32。本發明者、主立°果係移至積體電路晶片之主 間,來源式掃描單-2/㈣人操作與卸載操作兩者期 電路之選* W %與館集式(Sink)掃描單元22之間之 電路之選定部分】3繼續以先前技 門之 評估此等部分中之所有閑。 的正常方式細作’即 製造實體積體電路晶片 體電路晶片之間層^常將測試圖案應用於積 一採用Hm* i)f觸㈣。例如’藉由將 表達之積體電路設計轉換為在電腦中加以執行 J33295.doc 200919246 (編譯後)或解5睪(無編譯)的軟體原始碼(例如,採用程式設 汁》。。(:或C++)來獲得電腦指令4〇(圖⑴)。圖a之解說 中電腦指令4〇包括三個函式,第一函式 valuate_Fhpfl〇p”模擬掃描單元21(圖ib)中之正反器21F 之輸出接針Q處的信號,第二函式,,㈣㈣也”模擬此信號 &過組合邏輯13之傳播(經由任務路徑i3p至掃描單元22之 MI輸入接針)。最後’第三函式"Evaiuate-Multiplexer,,模 擬多工器22M供應至正反器22F之輸人接針D的一信號。編 厚後電腦4G之執行比解譯執行快,且因此通常將此類 軟體原始碼編譯為編譯碼。 先刚#又落中所說明的函式”pr〇pagate”可以視組態而定模 擬或不模擬信號在掃描路徑23上之行進。例如,正反器通 常具有另一輸出接針,即Q_接針(其係Q接針之添加物), 且在某些組態中Q-接針係用於掃描鏈結中,在該情況下函 式’’propagate"確實不進行任何額外模擬。在其他組態中, 不使用Q-接針,而是在單元例項化(ceU instantiati〇n)處發 生路徑分叉。在此類組態中,可以模擬Q•接針,以在掃描 路徑23上驅動信號。 基於編澤碼之模擬係在(例如)University 〇f如仏匕⑽於 1994年3月所公開的Michael A. Riepe等人之,,Ravei_XL: A Hardware Accelerator for Assigned-Delay Compiled-Code Logic Gate Simulation"中進行說明,其係作為背景以引用 方式全文併入本文中。 此外,2001年4月24日授予Ashar之美國專利6,223,141中 133295.doc 200919246
也說明先前技術之某些編譯碼模擬器,該專利也作為背景 以引用方式全文併入本文中。Ashar說明使用電路規劃清 單變換加速層級化編譯碼模擬。明確言之’採用層級化= 譯碼模擬的同步數位電路之延遲無關以循環為基礎之邏輯 模擬實質上增加速度。Sweep、eUminate、及fact〇r減少文 字之數目。明確言之,eliminate函式將其存在增加文字數 目的閘之電路規劃清單去除,即將此等閘隱縮為其直接扇 出減少文字之數目。將一閘隱縮為其扇出之前,該函式估 汁新啟動件之大小。若估計A小係大於預設限制,則不執 仃隱縮。文字計數減少之大部分係透過eHminate函式。 本發明者認為編譯碼模擬器可能變得過分緩慢。明確言 之’實現高故覆蓋所需要的測試圖案數目隨電路大小增 加。此外’深亞微米技術以更多失效機制及更多缺陷類型 之可能性挑戰現有故障模型。更多故障㈣進而需要用於 相同故障覆蓋與品質位準之更多測試圖案,其增加模擬測 -式圖案之測試所需要的時間。因此,本發明者認為需要進 一步改進編譯碼模擬之速度。 【發明内容】 本文所揭示的本發明之具體實施例提供一種用以製備用 於模擬-積體電路(IC)晶片之操作之一電腦程式以便測試 其中之掃描電路的電腦實施方法、裝置及一種電腦可讀取 媒體。 本毛明之一範例性具體實施例提供一種用以製備用於模 擬-積體電路晶片之操作之—電腦程式以便測試掃描電路 133295.doc •10- 200919246 的電腦實施方法。該方法追縱該積體電路晶片之__設計中 經過組合邏輯的一路徑;建立一楚收八杜 恧立第—指令集以模擬經過該 路徑傳播-信號;修改該第一指令集以建立—第二指令 集’該第二指令集要求滿足一預定條件才能執行該第^ 令集,及將該第一指令集與該第二指令集儲存於一記憶體 中。 ^ 轉明之-範例性具體實施例提供—㈣Μ備用於模 a 擬積體電路晶片之操作之一雷聰典 料《電腦程切❹m掃描電路 的裝置。該裝置包括:記情體, 己隐體其係採用一說明該積體電 路晶片之設計來編碼;追蹤構件, 再仟其係用於追蹤該設計中 經過組合邏輯的-路徑;檢查構件,其係用於檢杳該第一 =元與該第二掃描單元是否接收一共同掃描 =產生構件’其係用於產生該電腦程式之在該共同掃描 啟用仏號不起作用之條养下古 户用之條件下有條件地經過 號及該共同啟用信號起作u 俨缺& , ㉛起仙之條件下不經過該路徑傳播該 t號的至少一部分.;g # μ u 之哕邛八饴,於,子冓件,其係用於將該電腦程式 之。亥邛刀儲存於該記憶體中。 擬本一範例性具體實施例提供-種用以製備用於模 路(Μ)晶片之操作之—電腦程式以便測_ 電路的電腦可讀取战。 令,其係用以读俄/ 6亥電腦可讀取媒體包括追蹤指 輯的败、 5亥積體電路晶片之-設計中經過組合邏 輯的-路徑;建立指令,其係用以 = 指令隼…“虎,修改指令,其係用以修改該第一 伯·?果以獲^于_笛-北人 一 s 7集,該第二指令集要求滿足一預 I33295.doc 200919246 定條件才能執行該第一指令 該第一指令集與該第n隼=儲存^,其係用以將 存於-電^之^ 電職式之—部分儲 r 冤腦之一 c憶體中。 【實施方式】 依據本發明之一具賴眘& 〜 、體實施例,程式化電腦150(圖5)以執 仃方法200(圖2A)以建立槎古接縣命 ^ —兩 堤楗间積體電路晶片模擬之速度的 -電腦程式以便測試掃描電路。明確言之,本專利申請案
W明者注意_描啟用信號SE(圖ib)起 邏 輯13之輸出處的多工巧22Mip摆^ & 、且σ邏 為22Μ選擇經由掃描路徑23行進之作 號。因此’發明者認為此一多工器之操作(掃描啟用信號 起作用時)使得不必模擬信號經過部分13(經由㈣㈣ 13Ρ)之傳播。基於此概念,發明者製定方法200,如下一 段落中所述,方法200藉由掃描啟用信號起作用時避免路 徑13Ρ上之不必要模擬來提高模擬之速度。熟習此項技術 者應明白,視具體實施例而定,掃描啟用信號可為一高態 有效信號(即,信號高或具有值”丨,,時起作用)或替代地為二 低態有效信號(即,信號低或具有值”〇”時起作用)。 如圖2Α所解說,在第一操作2〇1中,電腦15〇最初決定積 體電路晶片之哪些組件形成掃描單元。在此操作中,電腦 150也針對每一掃描單元決定其接針中哪些接針分別載送 (1)掃描資料信號’(2)由任務模式下之操作所得的資料信 號’(3)掃描啟用信號,及(4)時脈信號。電腦15〇係進—步 經程式化用以執行追蹤操作202 ’例如識別經過組合邏輯 13之路徑13Ρ。如圖1Β所示,任務路徑13Ρ從來源式掃描單 133295.doc •12· 200919246 元21中之正反器21F的輸出接針Q開始,且在儲集式掃描單 兀22中之多工器22M的輸入接針MI中結束。電腦ι5〇也經 程式化用以執行操作2〇3以建立軟體指令來模擬信號經過 任務路徑1 3 P之傳播。
應注意,藉由圖1C中之先前技術電腦指令4〇來解說操作 203之結果。也應注意,電腦150可以經程式化用以採用熟 習此項技術者明白的任何方式來實施操作2〇1至2〇3。因 此,電腦150執行操作2〇1、2〇2及2〇3所採用之方式的特定 細節並非實施本發明之具體實施例的關鍵所在。 電腦1 5 〇係進一步經程式化用以檢查一或多個條件(操作 2〇4中)且若滿足條件,則電腦150執行操作205,若不滿足 條件’則將該操作2〇5略過。操作2()4中所使用之條件 997(圖5)係預定的,且係儲存於電腦15()之記㈣中。操作 204之某些條件係用以確保路徑i3p之不模擬不改變測試— 或f、個測試圖案之結果,該-或多個測試圖案係藉由自動 測4圖案產生(ATPG)而建立以結合掃描電路使用。若滿足 該等條件,則決定路徑13 擬候選。 『被佳使其為非模 之使用门某些具體實施例支援多個掃描啟用信號 =因此’此類具體實施例在操作⑽中如下檢杳一 是否同步使用(或未使用)來源式掃描單元二供 :從3P之信號與健集式掃描單元22從路徑 否別掃描單元21與22中之多一與2戰 描啟用㈣來操作。若結果為真,則決定路 133295.doc 200919246 徑13P可最佳化。本發明之某些具體實施例之操作⑽令進 行檢查的另-此類預定條件係路徑】3p是否包含任何循序 兀件’且只有在結果為否時則電腦⑽才將路徑⑽標記為 "可最佳化"。應注意,本發明之某些具體實施例在路徑於 掃描單元之資料接針十開始且最後於掃描單元之資料接針 中結束的條件下將該路徑視為可最佳化。追縱此一路徑 時,一解說性具體實施例經過組合元件而非經過其他電路 兀件追蹤。組合元件之輸出狀態係可從其輸入處之狀態予 以瞬間決定。若本文所述路徑追縱期間遇到與組合元件不 同的任何電路元件’則該解說性具體實施例將路徑標記為 不可最佳化。 如上所述’若操作204發現路徑13p不可最佳化,則電腦 〇僅轉至操作206,其中操作2G3所建立之電腦指令⑽(圖 1C)係作為電腦程式之-部分儲存至記憶體以結合其他此 類部分(例如’操作203藉由重複所建立者)使用。應注意, 指令40(即軟體)包括一藉以無條件模擬信號在路徑⑽上 之傳播的㈣式(statement)42。#操作2〇4發現路徑! 可 最佳化,則電腦150執行可選操作2〇5,如接下來所述。 操作205 +電腦150藉由其中添加一或多個待檢查條件 來修改操作203中所建立之電腦指令4〇,以獲得已修改電 腦指令,該等已修改電腦指令在不必要時避免沿可最佳化 路徑13P之#號傳播之模擬。例如,如圖2b所示已修改電 腦指令25〇中之陳述式252所解說,檢查掃描啟用信號且若 其起作用’ Μ執行函式,,p-agate”,除祕徑i3p為不 133295.doc 200919246 可最佳化。明確言之,軟體陳述式252檢查路徑13ρ是否不 可最佳化且若不可最佳化,則執行函式"pr〇pagate"。另一 方面,不管i3P是否可最佳化,若掃描啟用信號不起作用 (例如正在模擬任務模式時),則同樣執行函式pr〇pagate。 應注意,指令250包括藉以有條件地執行信號傳播之模擬 的陳述式252。更明確言之’陳述式攻係以掃描啟用信號 之狀態及路徑13P是否可最佳化為條件。 Γ
L 因此,熟習此項技術者鑑於此揭示内容應明白,藉由檢 查此類已修改《指令25G中之—或多個條件來消除經過 任務路徑13P之信號傳播的模擬’其進而加速載入與卸載 操作,R自/至主要輸入/輸出移入或移出測試圖案之操 作因此積體電路。又δ十之測试其中掃描電路期間的模擬 係藉由圖2Β所解說之已修改電腦指令25〇來加速。因此, 操作205之後’電腦150執行操作2〇6,其中將已修改電腦 指令250作為電腦程式部分(即軟體)儲存至記憶體以結合其 他此類部分使用。操作2〇6之後,電腦⑼轉至操作 檢查積體電路晶片之設計(例如,採用閘層級電路規劃清 單之形式,參見圖5)中從所有掃描單元開始之所有路徑是 否已追蹤。若否1電腦15G返回至操作2Q2(如上所述 若操作207中發現所有路徑已追蹤,則電腦⑼已完成此方 法’且因此其退出(參見操作2〇8)。 由操作203所得的電腦指令假如已無條件(相對於掃描啟 用信號)執行,如圖1C所解說。依據本發明,摔作2〇5(圖 2A)修改此等電腦指令’以使其可有條件執行,如陳述式 133295.doc 15 200919246 252(圖2B)所示。雖然陳述式252中顯示某些條件範例,但 熟習此項技術者鑑於此揭示内容應明白,在其他具體實施 例中可以檢查其他條件。 在本發明之某些具體實施例中,基於圖2A之方法2〇〇中 之操作201,電腦150實施圖3所解說之類型的一程序。明 確言之,在動作301中,電腦150將積體電路晶片1〇之設計 中的一或多個使用者定義基元(UDP)識別為用於正反器。
所使用之特定UDP取決於許多因素,例如製造廠所提供的 單元之技術庫。接下來,在動作302中,電腦15〇將設計中 之額外UDP識別為用於多工器。應注意,可以採用熟習此 項技術者鑑於此揭示内容應明白的任何方式來實施動作 301與302 。 此後,在動作303中,電腦150從積體電路晶片設計之資 料模型獲得例項化動作3(H中所識別之正反器的所有模組 之一列表。接下來,在動作3G4中,f腦15〇從該f料模型 獲得動作303中所識別之各模組(其在進行個別處理時下面 係稱為"目前模組")之所有埠的一列表。在動作3〇4中,電 腦150也獲得至資料模型中之各正反器之—輸人接針的所 有連接。接著’在動作3〇5中,電腦15〇從該資料模型獲得 將資料信號驅動至各正反器之所有驅動程式的一列表。接 著在動作寫中,電腦150檢查動作302中是否已將動作3〇5 中所獲得之列表中之任何驅動程式識別為—多工器。若這 樣的話,則電腦晴至動作3〇7以進—步處理多°: 係稱為”目前”多工器),否則轉至動作31〇。在動作加中:、 133295.doc 200919246 電腦1 50將至正反器之資料接針〇的路徑標記為不可最佳 化,然後進行至動作3 11。 在動作307中,電腦15〇識別目前多工器之哪一接針接收 掃描資料(即識別ST;& #、 a 取W SI接針),及哪一接針接收任務資料(即 別MI接針)。控_ π杰
U 接下來,在動作308中,電腦150將來自目前 多工崙2此等兩個輸入接針(即31與ΜΙ接針)的信號反向追 *引模、、且之輸入埠。接著,在動作309中,電腦15〇將 來自目刖正反器之Q接針的信號正向追蹤至目前模組之輸 出埠。接下來,電腦15〇轉至動作311 ’纟中(例如)重複上 V動作的或夕㈣’ ^掃描單元間存在未曾訪問且標記為 可最佳化與不可最佳化之—的路徑。若不存在未訪問路 徑,則電腦150在動作312中退出此方法。 依據本發明的某些解說性具體實關執行接下來論述的 圖4A所解說之動作。明確言之,某些具體實施例開始執行 動作401至4G4,其中動作彻實施一 „f〇r„迴圈在該迴圈 :電腦丨50個別選擇—表示積體電路設計之”電路規劃清 單中的各模組”m"。在動作402中,電腦150檢查模組"m" 中是否存纟_描單元。若回答為"是"’則電腦”〇轉至 動作403,且儲存關於掃描單元之資訊,例如其身分及其 中之組件,例如多工器與正反器。動作403之後,電腦15〇 轉至動作404。若動作4〇2中之回答為丨,則電腦”〇也轉 至動作404。動作404藉由檢查是否已訪問電路規劃清單中 之所有模組來實施動作4〇1的迴圈終止,已訪問所有模組 之該情況下,電腦15〇轉至操作4〇5且未訪問所有模組之條 133295.doc 200919246 件下其返回至動作4〇}。靡音 別楛γ , 應/主意,視具體實施例而定,識 田早兀(及其組件(例如多工器盥 所尨田士— 正反器)之一或多個) 所採用的特定方式係不同# 甘a J m g如以上參考圖3所述, 某些具體實施例係基於UDp之辨識。 操作405中,電腦1 ._ 饿宜母對知描皁兀實例(例如,動 作403中所識別者)以杳看一 ^ , —有對中之兩個實例是否藉由相同 知描啟用信號來驅動,若 右^樣的話,則此一對之身分係儲 存於一資料結構(例如,視具體實施例而定,可以使用一 -維幻中。操作405之後,電腦15〇轉至接下來論述 作 406。 動作406實施另-,,for”迴圈,在該迴圈中電腦15〇個別選 擇動作4〇3中所識別的各掃描單元實例且轉至動作彻。在 ㈣術中,電腦15〇(例如)藉由追縱扇出檢查來自目前掃 描皁元實例之所有路徑是^可最佳化。若回答為"是",則 電腦150轉至動作408並將所有此類路徑標記為可最佳化。 動作408之後,電腦15〇轉至動作4〇9。若動作4〇7中之回答 為否,則電腦15〇也轉至動作4〇9。動作4〇9藉由檢查是否 已訪問動卩403中所識別之所有掃描單元實例來實施動作 406的迴圈終止’且若已訪問所有掃描單元實例則轉至 操作41 〇,否則返回至動作406。 在操作410中,電腦15〇產生用以模擬信號經過組合邏輯 之傳播的軟體指令,該等軟體指令包括條件(圖2B之陳述 式252中所解說之類型)或為無條件的。如上所述,軟體指 令中所使用的條件係基於掃描啟用信號。此外,所產生之 133295.doc •18- 200919246 軟體指令是否包含此類條件取決於路徑之可最佳化性。若 路徑可最佳化’則使得軟體指令為有條件的。若路徑不可 最佳化,則軟體指令為無條件的。 可以採用熟習此項技術者鑑於此揭示内容應明白的任何 方式來執行圖4A之操作405,且操作405之詳細實施方案並 非本發明之關鍵態樣。不過,基於解說目的,應注意’某 些具體實施例實施圖4B所解說之動作411至418來實施操作
405。明確言之,動作411中,電腦15〇藉由個別選擇動作 403(圖4A)中所識別之各掃描單元實例來實施一%迴 圈,且轉至動作412。動作412中,電腦15〇反向追蹤以識 別掃描啟用信號之根網路並針對目前單元實例神已識別 根網路。接著,電腦150轉至動作413,其中其檢查是否已 訪問所有單元實例且在未訪問所有單元實例之條件下返回 至動作4U。若已訪問所有單元實例,則電腦15〇轉至接下 來論述的動作414。 WJ 7\γ ^ ί ^ ψ ....... 习评很早7C貫例來 實施另-"如”迴圈,且返回至動作化。動作415中,電腦 BO檢查目前選定對中之掃描單元實例之每-者的掃插啟 用^虎之根網路是否相同。若動作415中之回答為"是”, 則電腦晴至動作416,否則轉至動作川。 417中,電腦150將—旗 乍6與 供铋储存為真或假以分別指示該 描啟用信號相同或不相同。動作4ί6與417之 轉至動作418,J:藉由蚣罨腦丨50 檢一疋否已訪問所有掃描單元實例 對來實施動作414之迴圖線B 1 、圏終止且在未訪問所有掃描單元實 i33295.doc -19- 200919246 例對之條件下反向轉至動作4 14。 也可以採用熟習此項技術者鑑於此揭示内容應明白的任 何方式來執行圖4Α之動作4〇7,且操作407之詳細實施方案 並非本發明之關鍵態樣。不過,基於解說目的,應注意, 某些具體實施例實施圖4(:所解說之動作421至427來實施動 Γ 作4〇7。明確言之,動作421中,t腦150藉由個別選擇欲 追蹤其扇出的一掃描單元之Q接針之各扇出f來實施一 ”for” 迴圈。接下來’在動作422中,電腦15〇檢查此扇出f是否 士 -簡單單向組合元件,例如AND閉或⑽閘,或者反相 益右動作422中之回答為”否”,則電腦15〇轉至動作424 且檢查扇出f是否為推斷之掃描單元實例,若否則返回”假", 意指該路徑不可最佳化。若動作424中之回答為,,是",則 電腦150轉至動作425以檢查扇出填掃描單元是否具有相 2掃描啟用信號,若否則同樣返回"假",意指該路徑不可 最佳化。若動作425中之回答為"是",則電腦15〇轉至動作 =6以檢查扇出f是否與掃描單元如_相同,若否則 返回”假”,即路徑不可最佳化1動作似中之回答為,,是”, 則電腦150返回,,真",意指路徑可最佳化。 ’、、' 動作^中1回答為"是",則電腦⑽轉至動作似且 進仃-遞迴呼叫以返回至動作似,但以新” f ”返回至動作 ,新f係進入動作423所採用之舊"p φ -f- .. . 的扇出。動作423 15: 他扇出時’例如,若達到主要輸出,則電腦 實施動至作動作427以藉由檢查是否已訪問所有掃描單元對來 似之迴圈終止且在未訪問所有掃描單元對之條 133295.doc -20· 200919246 若已訪問所有掃描單元對,則電腦 完成動作4〇7(圖4A)。 件下返回至動作421。 1 5 0從此方法返回,即
應注意,執行方法200以實施以上所述(例如參考圖Μ) 模擬速度提高的任何適當程式化電腦(下文為"編譯碼模擬 可以用於圖6以一簡化範例性表示所解說的一數位 ASK:設計流程中。高階處,設計晶片之程序以產品構思 (_)開始且係在—軟體設計程序(91())中實現。最終 化設計時,可以將其設計定案(事件94())。設計定案後,製 程及封裝與裝配程序(_)出現,最終導致完成晶片 (結果990)。 EDA軟體叹a十釦序(91〇)實際上係由許多階段Μ]至"ο組 成,該等階段9丨2至930係基於簡單以線性方式顯示。實際 ASIC設計程序中,特定設計可能必須返回執行若干步驟直 到某些測試合格。同樣地,在任何實際設計程序中,此等 步驟可以以不同順序及組合出現。因此此描述係藉由上下 文與一般說明而非作為特別ASIC之特定或推薦設計流程來 提供。現在將提供EDA軟體設計程序(階段91〇)之組件的簡 要描述。 系統設計(階段912):電路設計者說明其想實施的功能 性’其可以執行若-則規劃以使功能性精細化、檢查成本 等等。此階段中可以進行硬體-軟體架構分隔。此階段中 可使用的來自Synopsys®,Inc.之範例性EDA軟體產品包括
Model Architect、Saber、System Studio、及 DesignWare® 產品。 133295.doc -21 - 200919246 邏輯設計與功能驗證(階段914):此階段中,編寫系統 中之模組的VHDL或Verilog程式碼且檢查設計(其可以具有 混合時脈域)之功能準確性。此階段中可使用的來自
Synopsys®, Inc·之範例性EDA軟體產品包括VCS、VERA、 DesignWare®、Magellan、Formality、ESP及 LEDA產品。 合成與測試設計(階段916):此處,將VHDL/Verilog轉 譯為電路規劃清單。可以針對目標技術最佳化電路規劃清 單。此外,用以允許完成晶片之檢查的測試之設計與實施 方案出現。此階段中可使用的來自Synopsys®, Inc.之範例 性 EDA 軟體產品包括 Design Compiler®、Physical Compiler、Test Compiler、Power Compiler、FPGA Compiler、 Tetramax、及 DesignWare®產品。 設計規劃(階段91 8):此處,構造晶片之總體平面佈置 圖且針對時序與頂層選路加以分析。此階段中可使用的來 自Synopsys®,Inc.之範例性EDA軟體產品包括Jupiter及 Floorplan Compiler產品。 電路規劃清單驗證(階段920):此步驟中,檢查電路規 劃清單是否與時序約束相容及是否與VHDL/Verilog原始碼 相對應。此階段中可使用的來自Synopsys®,Inc.之範例性 EDA軟體產品包括 VCS、VERA、Formality 及PrimeTime產 品° 應注意,編譯碼模擬器999(以上所述執行圖2A之方法的 類型)可以在此階段920期間使用,如圖6所示。若所顯示 之結果不令人滿意,則晶片設計者可以返回至階段916以 133295.doc -22- 200919246 對積體電路設計進行更改,如圖5所示。 實體實施方案(階段922):此步驟中進行放置(電路元件 (例如以上所述序列單元及組合單元)之定位)與選路(相同 者之連接)。此階段中可使用的來自Syn〇psys⑧,—之範例 性EDA軟體產品包括Astr〇產品。儘管此階段中可以如同其 存在於現實中一樣看待電路及其部分(例如矩形),但應明 白此階段中僅佈局存在於電腦15〇中。此階段之後如下所 述建立現實中的實際電路。 分析與擷取(階段924):此步驟中,按電晶體層級驗證 電路功能,此進而允許若-則精細化。此階段中可使用的 來自Synopsys®,Inc•之範例性eDA軟體產品包括Star RC/XT、Raphael、及 Aurora產品。 實體驗證(階段926):此階段中執行各種檢查功能以確 保以下者之正確性:製造、電問題、微影問題、及電路。 此階段中可使用的來自Synopsys®,Ine.之範例性EDA軟體 產品包括Hercules產品。 解析度提咼(階段9 2 8):此涉及佈局之幾何形狀操控以 改進設計之可製造性。此階段中可使用的來自SynopSyS®, Inc.之範例性EDA軟體產品包括iN-Phase、Proteus、及 AFGen產品。 遮罩資料製備(階段930):此提供用於微影使用之遮罩 之生產的”設計定案”資料以產生完成晶片。此階段中可使 用的來自Synopsys®,Inc·之範例性EDA軟體產品包括 CATS(R)產品系列。此階段後在晶圓製造廠(也稱為nfab") 133295.doc -23- 200919246 中建立現實中之實際電路。 用於實施此詳細說明中所說明之一或多個動作的資料結 構及軟體程式碼(例如_、3、W及/或下面的子段 可以編碼於電腦可讀取媒體中,電腦可讀取媒體可為 可以保存程式碼及/或資料以供電腦使用的任何儲存媒體 及’或任何傳輸媒體。儲存媒體包括(但不受限於)磁性與光 學儲存器件’例如磁碟機、磁帶、c戦碟)、及刪(數 多力月b碟片)。傳輸媒體(具有或不具有其上調變信號之 載波)包括(但不受限於)有線或無線通信網路,例如網際網 路。在一具體實施例中,該傳輸媒體使用一載波,該載波 包括用於實行圖2A所解說之方法所執行之-或多個步驟的 電腦指令信號。另-具體實施例使用—包括用以執行圖Μ 所解說之方法之指令的載波。 應注意,某些具體實施例中所使料心實施本文所述 類型之模擬速度提高器的電腦系統使用經由區域網路(乙 太網路)互連的一或多個丨化似⑧作業系統工作站(基於ibm⑧ 相容PC)及/或unix®作業系統工作站(例如,SUNuitr零rc、 HPPA-RISC、或等效者),其各包含2 GHz cpiwi GB記憶 體。 位於下面剛好在申請專利範圍前面的此詳細說明之子段 落A係此詳細說明之整合部分且係以引用方式全文併入本 文中。子段落A包括用於實施依據本發明之一模擬速度提 高器之一解說性具體實施例,例如,藉由使用從 Synopsys®,Inc.可獲得的稱為"VCS"之軟體產品實施圖々a 133295.doc -24- 200919246 至4C所解說之動作的偽碼及相關資訊。 熟習此項技術者鑑於此揭示内容將會明白本文所述具體 實施例的許多修改及改編。因此,本文所述具體實施例的 許多修改及改編係為本發明之範_所包含。
子段落A /*本發明之一解說性實施方案的偽碼如下 /*頂層入口 */ doScanOpt(netlist)
/* *推斷哪些HDL模組與一 Mux-DFF掃描單元之樣板匹 *若成功推斷,則相關D/SI/SE/Q網路係在該模組中。 */ foreach modules "m" in the 'netlist'
if (isScanCell(m, &DataNet, &ScanDataNet, &ScanEnableNet, &Qnet)==true) { scanCellModuleTable.append({m, DataNet, ScanDataNet, ScanEnableNet, Qnet}); 133295.doc -25- 200919246 /*在完全展開的HDL描述中收集一掃描單元之所有實 例*/ scanCellInstanceTable= {instances of all scan cell modules in 'scanCellModuleTable'}; /* *建立SE當量表以回答一對掃描單元實例是否係 *依賴於相同ScanEnable根信號。 */ SEEquivTable = createSEEquivTable(scanCellInstanceTable, netlist); /*識別可最佳化掃描單元輸出(Q)信號並對其作標記以 用於程式碼產生時之特殊處理*/ foreach instance 'fi' in 'scanCelllnstanceTable' { if (allPathsFromQAreOptimizable(fi, netlist)) { markOutputAsOptimized(fi); /*用以建立SE根網路當量表之常式*/
Table createSEEquivTable(cellInstTable, netlist) { foreach instance 'fi' in 'celllnstTable' 133295.doc -26- 200919246 fi.rootSENet = traceBackAndFindRootNet(fi.ScanEnableNet); } foreach pair <fil, fi2> { if (fil.rootSENet != fi2.rootSENet) SEEquivTable[<fi 1, fi2>] = false; else
Ο SEEquivTable[<fi 1, fi2>] = true; } return SEEquivTable; } /*檢查此實例是否可以使其輸出得以最佳傳播的常式 ScanCell In stance current Sourcelnst; bool traceFanouts(signal, netlist) { foreach fanout T of signal { if (T is a simple combinational gate) { return traceFanouts(f->fanOut);/*遞迴呼叫扇出 */ } else if ('f is an inferred scanCelllnstance) { if (SQEquivTable[<currentSourceInst, f>]== false) return false; I33295.doc -27- 200919246 else if (signal == f.DataNet) return true; else return false; } else { return false; bool allPathsFromQAreOptimizable(SourceScanCelllnstance, netlist) { currentSourcelnst = SourceScanCelllnstance; /*正向追縱30 311€6111113131106.(5的扇出*/ if (traceFanouts(Q,netlist) == true) { return true; } else { return false; } /*用於掃描單元樣板匹配的常式*/ bool isScanCell(m, pD, pSI, pSE, pQ) 133295.doc -28- 200919246 if (m->hasOneSequentialUDP() == false) return false; pQ = udp.Q; /*反向追蹤UDP之經過簡單閘的資料pport(若有的 話)*/ if ((muxFound = traceBackTillMux(udp.D)) == false) return false; else { D = mux.A; SI = mux.B; SE = mux.C; } /*反向追蹤D/SI/SE信號直到模組埠邊界。若存在任何 迴圈則返回假,在路徑中發現複合閘 if ((traceBackTillPort(D, pD, SI, pSI, SE, dSE)== false) return false; /*與樣板匹配時成功。返回真;*/ return true; } /*對程式碼產生常式之改變*/ doCodeGen(netlist) /* *產生celllnstance.Q之傳播常式時,檢查是否 133295.doc •29- 200919246 *將其標記為藉由doScanOpt()來最佳化。若是,則產 生保護碼。 */ if (isMarkedAsOptimized(celllnstance.Q)) { codeGenIfCheck("if (celllnstance.SE == 〇) ")· } codeGenPropagate("propagate(Q);"); 【圖式簡單說明】 圖1A與1B解說一積體電路晶片之插入掃描電路之前及 之後的先前技術設計。 .圖ic解說用於圖1B之設計之模擬的一先前技術電腦程 式之一部分,以測試掃描電路。 圖2A在一流程圖中解說依據本發明之一具體實施例所使 用的方法,以製備一提高模擬速度之電腦程式。 圖2B解說藉由執行圖2八之方法依據本發明之一具體實 施例所產生的該電腦程式之一部分,以包括一條件陳述 式。 圖3在一流程圖中解說本發明之一解說性具體實施例中 所執行的動作,以實施圖2A之方法。 圖4A至4C在流程圖中解說本發明之—具體實施例之, 實施方案中所執行的動作。 133295.doc •30- 200919246 圖5在方塊圖中解說依據本發明之一具體實施例加以程 式化的一電腦。 圖6解說依據本發明之一具體實施例之一範例性數位應 用特定積體電路(ASIC)設計流程的簡化表示。 ’ 【主要元件符號說明】 10 積體電路晶片 11 正反器 12 正反器 13 部分/組合邏輯 13P 任務路徑 21 掃描單元 21F 正反器 21M 多工器 22 掃描單元 22F 正反器 22M 多工器 23 掃描路徑 31 主要輸入 32 主要輸出 40 電腦指令 42 陳述式 150 電腦 250 已修改電腦指令 252 陳述式 133295.doc 31 200919246 f ί 900 產品構思 910 EDA軟體設計程序 912 系統設計 914 邏輯設計與功能驗證 916 合成與測試設計 918 設計規劃 920 電路規劃清單驗證 922 實體實施方案 924 分析與擷取 926 實體驗證 928 解析度提高 930 遮罩資料製備 940 設計定案/事件 950 製程 960 封裝與裝配程序 990 完成晶片/結果 997 條件 999 編譯碼模擬器 D 輸入接針 MI 信號/輸入接針 MO 多工器之輸出信號 Q 輸出接針 SE 掃描啟用信號 SI 信號/輸入接針 133295.doc 32-

Claims (1)

  1. 200919246 十、申請專利範圍: 1' 種製備用於模擬一積體電路(ic)晶片夕π q心讳作之—雷腦 程式以測試該積體電路晶片中所包括电胸 實施方法,該方法包含: 掃^元的電腦 ^蹤該積體電路晶片之-設計中經過心邏輯的―路 _ 仏,该路徑從該設計中之一第一掃描單元之^ 私B 4 K 一輸出琿開 . 始且該路徑在該設計中之一第二掃描 結束; ^早凡之—輸入埠中 Γ 建立-第-指令集以模擬經過該路徑傳播―信號; 修改該第一指令集以建立一第二指令化, 集要求滿足-預定條件才能執行㈣-指令集指令 將該第-指令集與該第二指令集作為該電腦程式之一 口Ρ刀儲存於一記憶體中。 2.如請求項1之方法,其中: 修改該第-齡集包含:若將—㈣掃減 應至該第-掃描單元與該第二掃描單元之每一者:: ί 改該第一指令集;及 $則修 儲存該第一指令集與該第-和 單开Μ ^ «令集包含:若第-掃描 /、 一描早7C之每一者接收不同掃描啟用信號, 則儲存該第一指令集與該第二指令集。 3·如請求項1之方法,其中·· ' 儲存該第一指令隼虚兮筮_ Λ ? Μ與該第—指令集包含:若將—乒 掃描啟用信號供應至該第一掃描單元與該第二掃描單元 之母一者,則儲存該第二指令集。 133295.doc 200919246 4.如請求項3之方法,其中: 該預定條件包含該共同掃描啟用信號不起作用。 5 ·如請求項1之方法’其進一步包含,該追蹤之前: 自該設計決定複數個掃描單元,該複數個掃描單元包 含至少該第一掃描單元與該第二掃描單元。 6.如請求項5之方法,其中該決定包含: - 針對該複數個中的每一掃描單元識別一正反器及該正 反器前面的一多工器。 ^ 1 7,如請求項6之方法,其中該決定進一步包含: 基於該設計識別至少一例項化該正反器及該多工器的 模組。 8. 如請求項7之方法’其中該決定包含: 識別該模組用以表示該多工器的一使用者定義基元。 9. -種製備用於模擬一積體電路(IC)晶片之操作之一電腦 程式以測試該積體電路晶片中所包括之掃描單元的裝 置,*亥裝置包含: 。己憶體’其係、採用—說明該積體電路晶片之設計來編 碼; L蹤構件,其係用於追蹤該設計中經過組合邏輯的一 . 路徑’該路徑從該設計中之-第-掃描單元之一輸出埠 開始且該路徑在該設計中之一第二掃描單元之一輸入埠 中結束; +檢查構件,其係用於檢查該第一掃梅單元與該第二掃 描單几是否接收一共同掃描啟用信號; 133295.doc 200919246 產生構件,其係用於產生該電腦程式之在該共同掃描 啟用信號不起作用之條件下有條件地經過該路徑傳播一 仏號及β亥共同啟用信號起作用之條件下不經過該路徑傳 播§亥k號的至少一部分;及 存構件,其係用於將該電腦程式之該部分儲存於該 記憶體中。 '° ίο.如請求項9之裝置,其進一步包含: 决疋構件,其係用於自該設計決定複數個掃描單元, 違複數個掃描單元包含至少該第一掃描單元與該第二掃 11.如h求項1〇之裂置,其中該用 識別構件,其係用
    的每—掃描單元 5 反器及該正反器前面的一多工器。 12·如請求項10之裝置’其中該用於決定之構件包含: U 件’其係用於基於該設計識別至少—例項化該 正反器及該多工器的模組。 13·如請求項12之裝置’其中該用於決定之構件包含: 識别構件,其係用於識別該至少一模組 工器的-使用者定義基元。 表不β亥多 14.種採用指令進行編碼的電腦可讀取媒 用以製備用於指松 β寺才曰令係 … Μ擬—積體電路(IC)晶片之操作之一電腦 體包含: 電路曰曰片中所包括之掃描單元,該媒 追縱和令,其係用以追縱該積體電路晶片之一設計中 133295.doc 200919246 經過組合邏輯的—路徑,該路徑從該設 描單元之一耠*檢叫υ α — ^ 弟—掃 ’出車開始且該路徑在該設計中之一第 描皁70之一輪入埠中結 知 建立指令,其係用以建立第— ^ ^ 坪知令杲以模擬經過哕攸 徑傳播一信號; 、该路 修改指令, 指令集’該第 第一指令集; 其係用以修改該第一指令集以獲得—第二 二指令集要求滿足—預定條件才能執行該 15. 16. 17. 18. s存礼·?,其係用以將該第一指令集與該第二指人 作為該電腦程式之—部分儲存於—電腦之—記憶體W、 如請求項14之媒體,其進一步包含: 、疋#曰7,其係用以自該設計決定複數個掃描單元, 該複數個掃描單元包含至少該第一掃描單元 描單元。 第一知 如凊求項14之媒體,其進一步包含: 識別指令,其係用以針對該複數個掃描單元中的每一 掃描單元識別一正反器及該正反器前面的一多工器。 如請求項14之媒體,其進一步包含: 識別指令,其係用以基於該設計識別例項化_正反器 及該正反器前面之一多工器的至少一模組。 如請求項14之媒體,其進一步包含: 識別指令,其係用以識別該設計中之至少—模組用以 表示一多工器的一使用者定義基元。 133295.doc
TW097130812A 2007-10-17 2008-08-13 用於模擬積體電路(ic)之操作以測試掃描單元之方法、裝置及電腦可讀取媒體 TWI457777B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/873,800 US7925940B2 (en) 2007-10-17 2007-10-17 Enhancing speed of simulation of an IC design while testing scan circuitry

Publications (2)

Publication Number Publication Date
TW200919246A true TW200919246A (en) 2009-05-01
TWI457777B TWI457777B (zh) 2014-10-21

Family

ID=40564711

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097130812A TWI457777B (zh) 2007-10-17 2008-08-13 用於模擬積體電路(ic)之操作以測試掃描單元之方法、裝置及電腦可讀取媒體

Country Status (6)

Country Link
US (1) US7925940B2 (zh)
EP (1) EP2208078A4 (zh)
JP (1) JP5263904B2 (zh)
CN (1) CN101828120B (zh)
TW (1) TWI457777B (zh)
WO (1) WO2009051871A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI425795B (zh) * 2010-07-29 2014-02-01 Univ Nat Chiao Tung 追蹤網路封包之處理程序的方法
TWI477794B (zh) * 2012-10-02 2015-03-21 Realtek Semiconductor Corp 積體電路掃描時脈域分配方法以及相關機器可讀媒體
TWI670617B (zh) * 2018-10-31 2019-09-01 財團法人工業技術研究院 模擬系統與方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8589835B2 (en) 2012-01-17 2013-11-19 Atrenta, Inc. System and method for inferring higher level descriptions from RTL topology based on naming similarities and dependency
US8656335B2 (en) 2012-04-27 2014-02-18 Atrenta, Inc. System and methods for inferring higher level descriptions from RTL topology based on connectivity propagation
US8782587B2 (en) 2012-07-30 2014-07-15 Atrenta, Inc. Systems and methods for generating a higher level description of a circuit design based on connectivity strengths
US9274171B1 (en) 2014-11-12 2016-03-01 International Business Machines Corporation Customer-transparent logic redundancy for improved yield
EP3157172B1 (en) * 2015-10-15 2018-11-28 Menta System and method for testing and configuration of an fpga
US11231462B1 (en) * 2019-06-28 2022-01-25 Synopsys, Inc. Augmenting an integrated circuit (IC) design simulation model to improve performance during verification
EP4200717A2 (en) * 2020-08-24 2023-06-28 Unlikely Artificial Intelligence Limited A computer implemented method for the automated analysis or use of data
US11977854B2 (en) 2021-08-24 2024-05-07 Unlikely Artificial Intelligence Limited Computer implemented methods for the automated analysis or use of data, including use of a large language model
CN114253862A (zh) * 2021-12-29 2022-03-29 湖南泛联新安信息科技有限公司 一种hdl代码仿真覆盖率异步事件驱动自动分析方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3064552B2 (ja) * 1991-09-18 2000-07-12 日本電気株式会社 演算制御シミュレーション方式
JPH0926981A (ja) * 1995-07-11 1997-01-28 Fujitsu Ltd 回路の故障シミュレーション方法および故障シミュレーション装置
US5854752A (en) * 1996-01-19 1998-12-29 Ikos Systems, Inc. Circuit partitioning technique for use with multiplexed inter-connections
US6046984A (en) * 1997-04-11 2000-04-04 Digital Equipment Corp. Pruning of short paths in static timing verifier
US5960191A (en) * 1997-05-30 1999-09-28 Quickturn Design Systems, Inc. Emulation system with time-multiplexed interconnect
JPH1115860A (ja) * 1997-06-20 1999-01-22 Toshiba Microelectron Corp 論理シミュレーション方法、論理シミュレーション装置及び論理シミュレーションプログラムを格納したコンピュータ読み取り可能な記録媒体
JP3357577B2 (ja) 1997-07-24 2002-12-16 富士通株式会社 故障シミュレーション方法および装置並びに故障シミュレーションプログラムを格納した記憶媒体
US6134689A (en) * 1998-02-12 2000-10-17 Motorola Inc. Method of testing logic devices
DE19917884C1 (de) * 1999-04-20 2000-11-16 Siemens Ag Schaltung mit eingebautem Selbsttest
JP3555071B2 (ja) * 1999-07-06 2004-08-18 Necエレクトロニクス株式会社 故障伝搬経路推定方法、故障伝搬経路推定装置及び記録媒体
US6895372B1 (en) 1999-09-27 2005-05-17 International Business Machines Corporation System and method for VLSI visualization
JP3614811B2 (ja) * 2001-02-15 2005-01-26 Necエレクトロニクス株式会社 組合せ論理回路における故障伝搬経路推定システム及び方法並びにプログラム
US6957403B2 (en) 2001-03-30 2005-10-18 Syntest Technologies, Inc. Computer-aided design system to automate scan synthesis at register-transfer level
US7363099B2 (en) * 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
JP4322509B2 (ja) * 2003-01-16 2009-09-02 株式会社東芝 故障検出率算出装置及び故障検出率算出方法
US6993736B2 (en) 2003-12-10 2006-01-31 Texas Instruments Incorporated Pending bug monitors for efficient processor development and debug
CN1997909B (zh) * 2004-05-22 2010-11-10 株式会社爱德万测试 用于控制模块化测试系统中可互换部件的方法和系统
US7437698B2 (en) * 2005-11-30 2008-10-14 Freescale Semiconductor, Inc. Method and program product for protecting information in EDA tool design views
JP5073638B2 (ja) * 2008-11-17 2012-11-14 本田技研工業株式会社 車体側部構造

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI425795B (zh) * 2010-07-29 2014-02-01 Univ Nat Chiao Tung 追蹤網路封包之處理程序的方法
TWI477794B (zh) * 2012-10-02 2015-03-21 Realtek Semiconductor Corp 積體電路掃描時脈域分配方法以及相關機器可讀媒體
US9568553B2 (en) 2012-10-02 2017-02-14 Realtek Semiconductor Corp. Method of integrated circuit scan clock domain allocation and machine readable media thereof
TWI670617B (zh) * 2018-10-31 2019-09-01 財團法人工業技術研究院 模擬系統與方法

Also Published As

Publication number Publication date
JP2011501290A (ja) 2011-01-06
EP2208078A4 (en) 2013-03-20
JP5263904B2 (ja) 2013-08-14
CN101828120A (zh) 2010-09-08
US20090106612A1 (en) 2009-04-23
WO2009051871A1 (en) 2009-04-23
CN101828120B (zh) 2013-01-30
TWI457777B (zh) 2014-10-21
EP2208078A1 (en) 2010-07-21
US7925940B2 (en) 2011-04-12

Similar Documents

Publication Publication Date Title
TW200919246A (en) Enhancing speed of simulation of an IC design while testing scan circuitry
Foster et al. Assertion-based design
Navabi Digital system test and testable design
JP5432127B2 (ja) 自動回路設計及びシミュレーションに使用するための技術
JP5405451B2 (ja) 自動回路設計及びシミュレーションに使用するための技術
TWI479351B (zh) 模擬電路設計之方法及設備以及電腦可讀取儲存媒體
US7587690B1 (en) Method and system for global coverage analysis
JP2010531000A (ja) 回路エミュレーションの入力及び遅延入力のマルチプレクシング
US8650513B2 (en) Reducing x-pessimism in gate-level simulation and verification
US7139988B2 (en) Modeling metastability in circuit design
US20060190870A1 (en) Latch modeling technique for formal verification
US11216607B2 (en) Double glitch capture mode power integrity analysis
US7263675B2 (en) Tuple propagator and its use in analysis of mixed clock domain designs
Gong et al. Modeling dynamically reconfigurable systems for simulation-based functional verification
Perelroyzen Digital integrated circuits: design-for-test using Simulink and Stateflow
CN104981806B (zh) 自动时钟树综合例外生成
Chew et al. Integrating Design for Testability Technique into OpenLane with Skywater 130-Nanometer Process Design Kit
Portolan Evolutions of the Software Flow for Automated Testing
Das et al. Circuit architecture test verification based on hardware software co-design with ModelSim
Indino An open source platform and EDA tool framework to enable scan test power analysis testing
Fontova Musté Design for Testability methodologies applied to a RISC-Vprocessor
Wickberg HDL code analysis for ASICs in mobile systems
Tessier Rethinking Your Verification Strategies for Multimillion-Gate FPGAs
Chang SOC design methodologies
Navabi et al. Fault Simulation Applications and Methods

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent