CN104981806B - 自动时钟树综合例外生成 - Google Patents

自动时钟树综合例外生成 Download PDF

Info

Publication number
CN104981806B
CN104981806B CN201480007956.2A CN201480007956A CN104981806B CN 104981806 B CN104981806 B CN 104981806B CN 201480007956 A CN201480007956 A CN 201480007956A CN 104981806 B CN104981806 B CN 104981806B
Authority
CN
China
Prior art keywords
sequential
sequential circuit
circuit element
clock
clock tree
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201480007956.2A
Other languages
English (en)
Other versions
CN104981806A (zh
Inventor
S-M·常
曹爱群
C-L·丁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synopsys Inc
Original Assignee
Synopsys Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synopsys Inc filed Critical Synopsys Inc
Publication of CN104981806A publication Critical patent/CN104981806A/zh
Application granted granted Critical
Publication of CN104981806B publication Critical patent/CN104981806B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/396Clock trees
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

描述了用于自动生成时钟树综合(CTS)例外的系统和技术。过程可以使用一个或多个标准来标识在时钟偏差最小化期间可以被忽略的顺序电路元件。例如,过程可以标识由于结构的原因其时钟偏差不能与其他顺序电路元件平衡的顺序电路元件,标识与时钟树中的其他顺序时序元件不具有时序关系的顺序时序元件,和/或标识数据管脚具有足够大的松弛使得时钟偏差不被预期为引起任何数据管脚处的时序违规的顺序电路元件。接下来,处理可以基于所标识的顺序电路元件来生成时钟树例外。

Description

自动时钟树综合例外生成
技术领域
本发明涉及电路设计期间的时钟树综合。更具体地,本发明涉及自动时钟树综合例外生成。
背景技术
当前半导体技术的进步使得有可能将亿万个晶体管集成到单个半导体芯片上。这种半导体集成密度的急剧增加已经使得电路设计更具挑战性。
时钟树综合(CTS)是电子设计自动化(EDA)中的重要步骤,其是指创建用于向电路设计中的顺序电路元件的集合分配时钟信号的时钟分配网络的过程。由CTS生成的时钟树的质量会对EDA设计流程中的下游步骤具有显著的影响。
发明内容
本文描述的一些实施例自动地生成CTS例外。CTS例外指示CTS引擎在时钟偏差最小化期间忽略一个或多个顺序电路元件。一旦已经生成了用于电路设计的CTS例外,就可以通过使用CTS例外对电路设计执行CTS。
本文描述的一些实施例使用一个或多个标准来标识可以在时钟偏差最小化期间被忽略的顺序电路元件。具体地,一些实施例可以标识由于结构的原因其时钟偏差不能够与其他顺序电路元件平衡的顺序电路元件,标识与时钟树中的其他顺序时序元件不具有时序关系的顺序时序元件,和/或标识其数据管脚具有足够大的松弛使得时钟偏差不被预期为引起任何数据管脚处的时序违规的顺序电路元件。接下来,实施例可以基于所标识的顺序电路元件来生成时钟树例外。
附图说明
图1示出了根据本文描述的一些实施例的同步电路。
图2示出了根据本文描述的一些实施例的在结构上不可能平衡时钟树的情况。
图3示出了根据本文描述的一些实施例的用于生成CTS例外的过程。
图4示出了根据本文描述的一些实施例的计算机系统。
具体实施方式
以下描述被呈现以使得本领域技术人员能够制造并使用本发明,并且在特定应用及其需求的上下文中被提供。针对所公开的实施例的各种修改对于本领域技术人员而言将是显而易见的,并且在此定义的总体原则可以被应用于其它实施例和应用而并不偏离本发明的精神和范围。因此,本发明不限于所示的实施例,而是将被赋予与在此公开的原则和特征一致的最宽范围。在本公开中,当术语“和/或”与实体列表一同使用时,其指代该实体列表中的所有可能组合。例如,短语“X、Y和/或Z”覆盖了以下情形:(1)仅X;(2)仅Y;(3)仅Z;(4)X和Y;(6)X和Z;(6)Y和Z;以及(7)X、Y和Z。此外,在本公开中,术语“基于”是指“仅基于或部分基于”。
电子设计自动化(EDA)流程的概述
EDA流程能够被用来创建电路设计。一旦电路设计完成,其能够进行制造、封装和装配以生产集成电路芯片。EDA流程可以包括多个步骤,并且每个步骤能够涉及到使用一种或多种EDA软件工具。以下描述了一些EDA步骤和软件工具。EDA步骤和软件工具的这些示例仅出于说明的目的而非旨在将实施例限于所公开的形式。
一些EDA软件工具使得电路设计者能够描述电路设计的功能。这些工具还使得电路设计者能够执行假设情景(what-if)规划以完善功能、检查成本等。在逻辑设计和功能验证期间,能够编写针对系统中的模块的例如SystemVerilog的HDL(硬件描述语言)代码并且能够检查设计的功能准确性,例如,能够对设计进行检查以确保其产生正确的输出。
在针对测试的综合和设计期间,HDL能够使用一种或多种EDA软件工具将HDL代码翻译为网表(netlist)。进一步地,网表能够针对目标技术被优化,并且能够设计并实施测试以检查所完成的芯片。在网表验证期间,能够针对与时序约束的兼容性以及与HDL代码的对应性来检查网表。
在设计规划期间,芯片的整体平面布局(floorplan)能够被构建以及针对时序和顶层布线而被分析。在物理实施期间,电路元件能够被放置在布局(放置)中并且能够被电耦合(布线)。
在分析和提取期间,能够在晶体管层面来验证电路的功能并且能够提取到寄生效应。在物理验证期间,能够对设计进行检查以确保制造、电气问题、平版印刷问题和电路的正确性。
在分辨率增强期间,可以在布局上形成几何操控以提高设计的可制造性。在掩模数据准备期间,设计能够被“流片(taped-out)”以产生在制造期间被使用的掩模。
时钟树
同步电路设计可以被视为是经由组合逻辑云电连接的顺序电路元件的集合。例如,图1示出了根据本文描述的一些实施例的同步电路。电路100包括缓冲器104、106、107和108、顺序电路元件110、112、114、116和118、以及组合逻辑云120、122和124。经由包括缓冲器104、106、107和108的时钟树将时钟信号从时钟管脚102分配给顺序电路元件110、112、114、116和118。顺序电路元件通常是基于时钟信号来执行操作的任何元件。例如,触发器是顺序电路元件。组合逻辑云包括一个或多个组合逻辑门(例如,与门、或门、非门、异或门、多路复用器、解多路复用器、缓冲器、中继器等),但不包括任何顺序电路元件。
使用一个或多个时钟信号来同步顺序电路元件之间的数据传送。例如,顺序电路元件110可以发射传输通过组合逻辑云120(可以逻辑地将信号与其他信号组合)并且随后可以被顺序电路元件118捕获的信号。基于提供给顺序电路元件110和118的时钟信号来同步发射和捕获。
时钟树包括将时钟信号分配给电路设计中的一个或多个顺序电路元件的电路。例如,图1所示的时钟树包括缓冲器104、106、107和108,并且将时钟管脚102电连接至顺序电路元件110、112、114、116和118的时钟输入管脚。时钟域可以指代电路设计中使用给定时钟信号来定时的部分。例如,图1所示的电路100是时钟域中对应于从时钟管脚102分配的时钟信号的一部分。电路设计可以包括多个时钟域,并且每个时钟域都可以包括多个时钟树。
用于自动生成CTS例外的处理
CTS的目标在于创建最佳时钟树。根据一个定义,最佳时钟树是使得时钟偏差最小同时满足时序、面积和泄露功率约束的时钟树。通常,时钟树优化问题随着时钟树的大小的增加而变得更加困难。此外,如果CTS生成包括不需要平衡时钟偏差的时钟管脚的时钟树,则生成的时钟树不可能如在CTS引擎已经忽略了不需要平衡时钟偏差的时钟管脚时将由CTS引擎生成的时钟树那样最佳。因此,标识可以在CTS期间为了时钟偏差最小化而被忽略的管脚是有利的。可以在CTS期间为了时钟偏差最小化而被忽略的每个管脚都被称为“CTS例外”。本公开中描述的一些实施例自动地生成CTS例外。现在来描述管脚可以为了时钟偏差最小化而被忽略的几种情况。
在一些情况下,结构上不可能平衡时钟树(即,结构上不可能使得跨时钟树的偏差最小化)。当顺序电路元件被用于生成时钟时(例如,当顺序电路元件被用作时钟分频器时)可以发生这种情况。图2示出了根据本文描述的一些实施例的在结构上不可能平衡时钟树的情况。电路200包括两组顺序电路元件:组202和组204。多路复用器208接收两个时钟信号:FCLK(该时钟在电路200的正常运行期间被使用)和SCANCLK(该时钟被用于测试电路200)。选择输入“MODE”确定哪个时钟信号被多路复用器208输出。具体地,根据电路200的操作模式(例如,“正常”或“测试”),多路复用器208在其输出管脚上输出适当的时钟。
使用多路复用器208的输出来对组202计时。使用基于时钟信号FCLK由顺序电路元件206生成的时钟信号GenFCLK来对组204计时。在图2所示的示例中,时钟信号GenFCLK的频率为时钟信号FCLK的频率的一半。
注意,功能时钟信号FCLK和扫描时钟信号SCANCLK具有冲突的时钟偏差平衡需求。具体地,对于SCANCLK,需要在组202中的顺序电路元件和顺序电路元件206之间平衡时钟偏差。换句话说,对于SCANCLK,期望使得顺序电路元件的以下集合中的任何两个顺序电路元件之间的时钟偏差最小化:顺序电路元件206和组202中的顺序电路元件。另一方面,对于时钟信号FCLK,需要在组202和组204中的顺序电路元件之间平衡时钟偏差。换句话说,对于FCLK,期望使得顺序电路元件的以下集合中的任何两个顺序电路元件之间的时钟偏差最小化:组202和组204中的顺序电路元件。注意,这两个时钟偏差平衡需求暗示最小化顺序电路元件的以下集合中的任何两个顺序电路元件之间的时钟偏差:顺序电路元件206和组204中的顺序电路元件。然而,这在结构上是不可能的,因为组204中的顺序电路元件使用来自顺序电路元件206的输出来定时。
因此,在这种情况下,当针对时钟信号SCANCLK平衡时钟树时,本文描述的一些实施例针对顺序电路元件206创建CTS例外。当针对SCANCLK最小化时钟偏差时,CTS例外指示CTS引擎忽略顺序电路元件206的时钟输入。
在时钟偏差最小化期间管脚可以被忽略的另一种情况是在顺序电路元件和其他顺序电路元件之间不存在时序关系时。如果一个顺序电路元件发射信号而另一个顺序电路元件捕获信号(信号可以可选地在被捕获之前传输通过组合逻辑云),则在两个顺序电路元件之间存在直接的时序关系。例如,在图1中,顺序电路元件112发射信号,该信号传输通过组合逻辑云122(其可以逻辑地将信号与其他信号组合)并且被顺序电路元件114捕获。因此,顺序电路元件112和114具有直接的时序关系。
当并且仅当存在一系列顺序电路元件F1、F2、…FN-1、FN时,在顺序电路元件F1和FN之间存在传递的时序关系,使得在该系列中的每一对相邻的顺序电路元件之间存在直接的时序关系(即,在顺序电路元件Fi和Fi+1之间存在直接的时序关系,其中1≤i≤N–1)。例如,在顺序电路元件114和顺序电路元件112之间存在直接的时序关系(由于顺序电路元件114发射传输通过组合逻辑块124并且被顺序电路元件112捕获的信号),并且在顺序电路元件112和顺序电路元件116之间存在直接的时序关系(由于顺序电路元件112发射传输通过组合逻辑块122并且被顺序电路元件116捕获的信号)。因此,在顺序电路元件114和顺序电路元件116之间存在传递的时序关系。注意,可以在两个顺序电路元件之间存在直接和传递的时序关系。
然而,存在其中在顺序电路元件和其他顺序电路元件之间不存在时序关系(即,既非直接也非传递的时序关系)的情况。例如,在顺序电路元件110和其他顺序电路元件之间不存在时序关系。类似地,在顺序电路元件118和其他顺序电路元件之间不存在时序关系。因此,本文描述的一些实施例可以针对顺序电路元件110和顺序电路元件118生成CTS例外,使得对于时钟偏差最小化而言这些顺序电路元件可以被忽略。
在一些实施例中,系统可以标识不与如下其他顺序电路元件具有任何时序关系的顺序电路元件。电路设计中的每个顺序电路元件都可以由图中的顶点来表示,并且如果对应顺序电路元件具有直接或传递的时序关系,则可以在两个顶点之间创建边。注意,图中的连接的部件对应于在其之间具有时序关系的顺序电路元件的组。因此,如果图中的连接的部件仅具有一个顺序电路元件,则一些实施例可以针对该顺序电路元件生成CTS例外(使得在时钟偏差最小化期间忽略该顺序电路元件)。
在CTS期间管脚可以被忽略的又一情况是在顺序电路元件的数据管脚上的松弛足够大时。具体地,如果顺序电路元件的数据管脚上的松弛大到时钟偏差绝不被预期为引起时序违规,则在CTS期间可以忽略顺序电路元件上的时钟管脚。在统计时序分析期间,到达时间从时序起点被向前传播至时序终点,并且需求时间从时序终点反向传播到时序起点。电路设计中的给定管脚处的松弛是管脚处的传播的到达时间和管脚处的传播的需求时间之间的差值。
为了示出到达时间和需求时间,考虑图1所示的电路100。顺序电路元件112在给定时钟边缘处发射数据信号。然后,该数据信号传输通过逻辑云122并到达顺序电路元件114的数据输入管脚处(这是到达时间)。对于正确的电路操作,数据信号必须在下一时钟边缘到达顺序电路元件114的时钟输入处之前在特定时间(这是需求时间)到达顺序电路元件114的数据输入管脚处。顺序电路元件的建立时间表示数据信号到达数据管脚和下一时钟边缘到达时钟输入管脚之间的时间差。可以基于用于顺序电路元件的保持时间得到类似的时序约束。
管脚处的到达时间和需求时间之间的差值被称为管脚处的松弛。负松弛对应于时序违规并且需要被固定以确保电路的正确操作。正松弛对应于到达时间或需求时间可以被恶化(即,到达时间可被延迟或者要求时间可以被移动得更早)而不引起时序违规的时间量。注意,通过逻辑云122的延迟以及顺序电路元件112和顺序电路元件114之间的时钟偏差影响顺序电路元件114的数据输入管脚处的松弛。如果顺序电路元件114的数据输入管脚处的松弛足够大,则顺序电路元件112和顺序电路元件114之间的时钟偏差引起时序违规的可能性非常小。在这种情况下,对于时钟偏差最小化而言,顺序电路元件114可以被忽略。
本文描述的一些实施例可以确定顺序电路元件的所有数据输入管脚上的最小松弛。接下来,实施例可以将最小松弛与期望在时钟树中存在的最大时钟松弛进行比较。如果最小松弛比最大时钟偏差大阈值量(阈值可以为0或者可以为正值),则一些实施例可以针对顺序电路元件生成CTS例外。
图3示出了根据本文所述一些实施例的用于生成CTS例外的过程。过程可以使用一个或多个标准以标识在时钟偏差最小化期间可以被忽略的顺序电路元件。例如,过程可以标识由于结构的原因其时钟偏差不能与其他顺序电路元件平衡的顺序电路元件(操作302),标识与时钟树中的其他顺序时序元件不具有时序关系的顺序时序元件(操作304),和/或标识其数据管脚具有足够大的松弛使得时钟偏差不被预期为引起任何数据管脚处的时序违规的顺序电路元件(操作306)。接下来,过程可以基于所标识的顺序电路元件来生成时钟树例外(操作308)。
计算机系统
图4示出了根据本发明的实施例的计算机系统。计算机或计算机系统通常可以为可执行计算的任何系统。具体地,计算机系统可以是微处理器、专用集成电路、分布式计算系统、云计算系统或者现在已知或未来开发的任何其他计算系统。计算机系统402包括处理器404、存储器406和存储设备408。计算机系统402可以与显示器414、键盘410和定点设备412耦合。存储设备408通常可以是可存储数据的任何设备。具体地,存储设备可以是磁存储设备、光存储设备或磁光存储设备,或者其可以基于快闪存储器和/或电池备份的存储器。存储设备408可以存储应用416、操作系统418和数据420。
应用416可以包括指令,该指令当由计算机402执行时使得计算机402执行本公开中暗示或明确描述的一个或多个过程。数据420可以包括被输入至应用416或者由应用416输出的任何数据。
以下描述被呈现以使得本领域技术人员能够制造并使用本发明。针对所公开的实施例的各种修改对于本领域技术人员而言将是显而易见的,并且在此定义的总体原则可以被应用于其它实施例和应用而并不偏离本发明的精神和范围。因此,本发明不限于所示的实施例,而是将被赋予与在此公开的原则和特征一致的最宽范围。
本公开中所描述的数据结构和代码可以被部分地或完全地存储在非瞬态计算机可读存储介质和/或硬件模块和/或硬件装置上。非瞬态计算机可读存储介质包括所有计算机可读存储介质,仅有的例外是传播中的电磁波或信号。具体地,非瞬态计算机可读存储介质包括但不限于易失性存储器、非易失性存储器、磁存储设备和光存储设备,诸如磁盘驱动器、磁带、CD(紧凑盘)、DVD(数字多功能盘或数字视频盘),或者目前已知或后续研发的能够存储代码和/或数据的其它媒体。本公开中所描述的硬件模块或装置包括但不限于专用集成电路(ASIC)、现场可编程门阵列(FPGA)、专用或共享处理器,和/或目前已知或后续研发的其它硬件模块或装置。
本公开中所描述的方法和过程可以被部分地或完全地体现为存储在非瞬态计算机可读存储介质或设备中的代码和/或数据,使得当计算机系统读取并执行该代码和/或数据时,该计算机系统执行相关联的方法和过程。方法和过程还可以被部分地或完全地体现在硬件模块或装置中。注意,方法和处理可以使用代码、数据以及硬件模块或装置的组合来体现。
仅出于说明和描述的目的已经呈现了本发明的实施例的前述描述。它们不旨在是穷举的或者将本发明限于所公开的形式。因此,许多修改和变型对于本领域技术人员将是显而易见的。此外,以上公开不旨在于限制本发明。本发明的范围由所附权利要求限定。

Claims (12)

1.一种用于针对电路设计生成时钟树综合例外的方法,所述方法包括:
在所述电路设计中标识在时钟偏差最小化期间可以被忽略的顺序电路元件的集合,其中所述顺序电路元件的集合包括其数据管脚具有大于阈值松弛值的松弛值的顺序电路元件;
基于标识的顺序电路元件的所述集合来生成时钟树综合例外;以及
基于所述时钟树综合例外创建向所述电路设计中的一个或多个顺序电路元件分配时钟信号的电路。
2.根据权利要求1所述的方法,其中所述顺序电路元件的集合包括由于结构的原因其时钟偏差不能与其他顺序电路元件平衡的顺序电路元件。
3.根据权利要求1所述的方法,其中所述顺序电路元件的集合包括与所述时钟树中的其他顺序时序元件不具有时序关系的顺序时序元件。
4.根据权利要求1所述的方法,其中所述阈值松弛值对应于足够大的松弛使得时钟偏差不被预期为引起所述数据管脚处的时序违规。
5.一种存储指令的非瞬态计算机可读存储介质,所述指令在被计算机执行时使得所述计算机执行用于针对电路设计生成时钟树综合例外的方法,所述方法包括:
在所述电路设计中标识在时钟偏差最小化期间可以被忽略的顺序电路元件的集合,其中所述顺序电路元件的集合包括其数据管脚具有大于阈值松弛值的松弛值的顺序电路元件;
基于标识的顺序电路元件的所述集合来生成时钟树综合例外;以及
基于所述时钟树综合例外创建向所述电路设计中的一个或多个顺序电路元件分配时钟信号的电路。
6.根据权利要求5所述的非瞬态计算机可读存储介质,其中所述顺序电路元件的集合包括由于结构的原因其时钟偏差不能与其他顺序电路元件平衡的顺序电路元件。
7.根据权利要求5所述的非瞬态计算机可读存储介质,其中所述顺序电路元件的集合包括与所述时钟树中的其他顺序时序元件不具有时序关系的顺序时序元件。
8.根据权利要求5所述的非瞬态计算机可读存储介质,其中所述阈值松弛值对应于足够大的松弛使得时钟偏差不被预期为引起所述数据管脚处的时序违规。
9.一种用于针对电路设计生成时钟树综合例外的装置,包括:
处理器;以及
存储指令的非瞬态计算机可读存储介质,所述指令在被所述处理器执行时使得所述装置执行用于针对所述电路设计生成时钟树综合例外的方法,所述方法包括:
在所述电路设计中标识在时钟偏差最小化期间可以被忽略的顺序电路元件的集合,其中所述顺序电路元件的集合包括其数据管脚具有大于阈值松弛值的松弛值的顺序电路元件;
基于标识的顺序电路元件的所述集合来生成时钟树综合例外;以及
基于所述时钟树综合例外创建向所述电路设计中的一个或多个顺序电路元件分配时钟信号的电路。
10.根据权利要求9所述的装置,其中所述顺序电路元件的集合包括由于结构的原因其时钟偏差不能与其他顺序电路元件平衡的顺序电路元件。
11.根据权利要求9所述的装置,其中所述顺序电路元件的集合包括与所述时钟树中的其他顺序时序元件不具有时序关系的顺序时序元件。
12.根据权利要求9所述的装置,其中所述阈值松弛值对应于足够大的松弛使得时钟偏差不被预期为引起所述数据管脚处的时序违规。
CN201480007956.2A 2013-03-15 2014-03-11 自动时钟树综合例外生成 Active CN104981806B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361792688P 2013-03-15 2013-03-15
US61/792,688 2013-03-15
US14/066,324 2013-10-29
US14/066,324 US8843872B1 (en) 2013-03-15 2013-10-29 Automatic clock tree synthesis exceptions generation
PCT/US2014/023812 WO2014150620A1 (en) 2013-03-15 2014-03-11 Automatic clock tree synthesis exceptions generation

Publications (2)

Publication Number Publication Date
CN104981806A CN104981806A (zh) 2015-10-14
CN104981806B true CN104981806B (zh) 2019-05-17

Family

ID=51534613

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201480007956.2A Active CN104981806B (zh) 2013-03-15 2014-03-11 自动时钟树综合例外生成

Country Status (4)

Country Link
US (1) US8843872B1 (zh)
KR (1) KR102129649B1 (zh)
CN (1) CN104981806B (zh)
WO (1) WO2014150620A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180092692A (ko) * 2017-02-10 2018-08-20 삼성전자주식회사 Beol을 고려하여 집적 회로를 설계하기 위한 컴퓨터 구현 방법 및 컴퓨팅 시스템
US11176293B1 (en) * 2018-03-07 2021-11-16 Synopsys, Inc. Method and system for emulation clock tree reduction
CN113076710B (zh) * 2021-06-07 2021-08-20 上海国微思尔芯技术股份有限公司 时钟信号全局同步及分割验证方法、装置、电子设备、存储介质

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102799698A (zh) * 2011-05-26 2012-11-28 国际商业机器公司 一种用于专用集成电路的时钟树规划的方法和系统

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5452239A (en) * 1993-01-29 1995-09-19 Quickturn Design Systems, Inc. Method of removing gated clocks from the clock nets of a netlist for timing sensitive implementation of the netlist in a hardware emulation system
US5963730A (en) * 1995-09-26 1999-10-05 Matsushita Electric Industrial Co., Ltd. Method for automating top-down design processing for the design of LSI functions and LSI mask layouts
US6071003A (en) * 1996-03-14 2000-06-06 Intel Corporation Method and apparatus for locating critical speed paths in integrated circuits using a clock driver circuit with variable delay
US5911063A (en) * 1996-07-10 1999-06-08 International Business Machines Corporation Method and apparatus for single phase clock distribution with minimal clock skew
US6052811A (en) * 1997-04-15 2000-04-18 Intel Corporation Method and apparatus for locating critical speed paths in integrated circuits using JTAG protocol
JP3217022B2 (ja) * 1998-02-10 2001-10-09 エヌイーシーマイクロシステム株式会社 クロックツリー合成方法
JP3251250B2 (ja) * 1999-01-27 2002-01-28 エヌイーシーマイクロシステム株式会社 クロックスキュー低減方法及びクロックスキュー低減方法を記録したコンピュータ読み取り可能な記録媒体
US6367060B1 (en) 1999-06-18 2002-04-02 C. K. Cheng Method and apparatus for clock tree solution synthesis based on design constraints
US6434731B1 (en) * 1999-10-26 2002-08-13 International Business Machines Corporation Automated placement of signal distribution to diminish skew among same capacitance targets in integrated circuits
JP2002009155A (ja) * 2000-06-20 2002-01-11 Mitsubishi Electric Corp 半導体回路の設計方法及びそれを用いて設計する半導体回路
US6728917B2 (en) * 2001-02-09 2004-04-27 Agere Systems Inc. Sequential test pattern generation using combinational techniques
JP5193406B2 (ja) * 2001-06-13 2013-05-08 富士通セミコンダクター株式会社 クロック分配回路の設計方法,設計装置および設計プログラム並びに同プログラムを記録したコンピュータ読取可能な記録媒体
US6550045B1 (en) * 2001-11-20 2003-04-15 Lsi Logic Corporation Changing clock delays in an integrated circuit for skew optimization
US7017096B2 (en) * 2002-03-26 2006-03-21 Agere Systems Inc. Sequential test pattern generation using clock-control design for testability structures
US6763505B2 (en) * 2002-04-04 2004-07-13 International Business Machines Corporation Apparatus and method for automated use of phase abstraction for enhanced verification of circuit designs
US6807660B1 (en) * 2002-10-01 2004-10-19 Sequence Design, Inc. Vectorless instantaneous current estimation
US6822481B1 (en) * 2003-06-12 2004-11-23 Agilent Technologies, Inc. Method and apparatus for clock gating clock trees to reduce power dissipation
US7447961B2 (en) * 2004-07-29 2008-11-04 Marvell International Ltd. Inversion of scan clock for scan cells
US7810061B2 (en) * 2004-09-17 2010-10-05 Cadence Design Systems, Inc. Method and system for creating a useful skew for an electronic circuit
US7555689B2 (en) * 2005-06-28 2009-06-30 Dhiraj Goswami Generating responses to patterns stimulating an electronic circuit with timing exception paths
JP4314233B2 (ja) * 2005-11-07 2009-08-12 富士通株式会社 設計支援装置、設計支援方法、設計支援プログラム、および記録媒体
US7546567B2 (en) * 2007-01-10 2009-06-09 Synopsys, Inc. Method and apparatus for generating a variation-tolerant clock-tree for an integrated circuit chip
US7624364B2 (en) * 2007-05-02 2009-11-24 Cadence Design Systems, Inc. Data path and placement optimization in an integrated circuit through use of sequential timing information
US8205182B1 (en) * 2007-08-22 2012-06-19 Cadence Design Systems, Inc. Automatic synthesis of clock distribution networks
US9310831B2 (en) 2008-02-06 2016-04-12 Mentor Graphics Corporation Multi-mode multi-corner clocktree synthesis
JP4706738B2 (ja) * 2008-08-20 2011-06-22 日本電気株式会社 遅延解析装置、遅延解析方法、及びプログラム
JP5326471B2 (ja) * 2008-09-30 2013-10-30 富士通株式会社 クロック信号供給回路の設計方法、情報処理装置およびプログラム
US8336012B2 (en) * 2009-04-09 2012-12-18 Lsi Corporation Automated timing optimization
US8302047B2 (en) * 2009-05-06 2012-10-30 Texas Instruments Incorporated Statistical static timing analysis in non-linear regions
JP5444985B2 (ja) * 2009-09-16 2014-03-19 日本電気株式会社 情報処理装置
JP2011141805A (ja) * 2010-01-08 2011-07-21 Renesas Electronics Corp クロックツリー合成装置、クロックツリー合成方法及びプログラム
US8413099B2 (en) 2010-06-07 2013-04-02 Synopsys, Inc. Performing scenario reduction
US8578310B2 (en) * 2010-08-17 2013-11-05 International Business Machines Corporation Method of measuring the impact of clock skew on slack during a statistical static timing analysis
US8384436B2 (en) 2011-01-10 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Clock-tree transformation in high-speed ASIC implementation
US8635579B1 (en) * 2012-12-31 2014-01-21 Synopsys, Inc. Local clock skew optimization

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102799698A (zh) * 2011-05-26 2012-11-28 国际商业机器公司 一种用于专用集成电路的时钟树规划的方法和系统

Also Published As

Publication number Publication date
US8843872B1 (en) 2014-09-23
US20140282350A1 (en) 2014-09-18
CN104981806A (zh) 2015-10-14
KR102129649B1 (ko) 2020-07-02
WO2014150620A1 (en) 2014-09-25
KR20150130285A (ko) 2015-11-23

Similar Documents

Publication Publication Date Title
US8321824B2 (en) Multiple-power-domain static timing analysis
US10423740B2 (en) Logic simulation and/or emulation which follows hardware semantics
CN101842789B (zh) 用于存储器抽象和使用该存储器抽象来验证的方法和装置
US10990121B2 (en) Apparatus and method for achieving glitch-free clock domain crossing signals
US9009645B2 (en) Automatic clock tree routing rule generation
US8650513B2 (en) Reducing x-pessimism in gate-level simulation and verification
US10423742B2 (en) Method to perform full accuracy hierarchical block level timing analysis with parameterized chip level contexts
US9183335B2 (en) Dynamic power driven clock tree synthesis (CTS)
US8706467B2 (en) Compact circuit-simulation output
CN104969226B (zh) 跨管线的时序瓶颈分析以利用有用偏差引导优化
CN104981806B (zh) 自动时钟树综合例外生成
US9449127B1 (en) System for verifying timing constraints of IC design
US10521528B2 (en) Signal reconstruction in sequential logic circuitry
US8091052B2 (en) Optimization of post-layout arrays of cells for accelerated transistor level simulation
US9104829B2 (en) Method of validating timing issues in gate-level simulation
US20220327269A1 (en) Computing device and method for detecting clock domain crossing violation in design of memory device
US10885248B1 (en) Method for modeling glitches during circuit simulation
US10437956B2 (en) Pessimism reduction in static timing analysis
KR20080091528A (ko) 게이트수준에서의 타이밍 시뮬레이션 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant