TW200844361A - Method and apparatus for controlling gas flow to a processing chamber - Google Patents

Method and apparatus for controlling gas flow to a processing chamber Download PDF

Info

Publication number
TW200844361A
TW200844361A TW97106676A TW97106676A TW200844361A TW 200844361 A TW200844361 A TW 200844361A TW 97106676 A TW97106676 A TW 97106676A TW 97106676 A TW97106676 A TW 97106676A TW 200844361 A TW200844361 A TW 200844361A
Authority
TW
Taiwan
Prior art keywords
gas
flow
outlet
coupled
manifold
Prior art date
Application number
TW97106676A
Other languages
English (en)
Other versions
TWI365948B (en
Inventor
Ezra Robert Gold
Richard Charles Fovell
James Patrick Cruse
Jared Ahmad Lee
Bruno Geoffrion
Jr Douglas Arthur Buchberger
Martin Jeffrey Salinas
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200844361A publication Critical patent/TW200844361A/zh
Application granted granted Critical
Publication of TWI365948B publication Critical patent/TWI365948B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/7722Line condition change responsive valves
    • Y10T137/7758Pilot or servo controlled
    • Y10T137/7761Electrically actuated valve
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87249Multiple inlet with multiple outlet

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

200844361 九、發明說明: 【發明所屬之技術領域】 本發明的實施例一般涉及用於控制流到處理腔室的氣 々丨L之方法和設備。 【先前技術】 對於許多微電子元件製程來說,氣流的精確控制是重 〇 要的製程控制因素。在半導體處理腔室中而於基板和基板 支撐件之間提供氣體是一種用於改善基板和基板支撐件之 間的熱傳遞並從而增強基板溫度控制的精確性和均勻性的 已知方法。另外,爲了獲得所需的處理結果,特別是隨著 關鍵尺寸和薄膜厚度縮小,則需要對於流到處理腔室中的 製程氣流進行精確控制。並且,可以將氣體添加到處理腔 室流出物流(effluent stream),以減輕基板處理對於環境的 影響。對於添加到流出物流中的氣體必須要有良好的控 制’從而確保成本效益和適當的橋正措施。 ί、 % 與半導體處理腔室一同使用的傳統氣體輸送系統一般 包括氣體質量流量計(mass gas fi〇w meter,MFC )作爲主 要的流量調整器件。然而,MFC的精確度可能受到引起實 際氣流的不確定性之多個因素影響。例如,MFc的精確性 通常將隨溫度、輸送管路壓力和容量(v〇lume )的變化而 改變。由於MFC之不準確所引起的從氣流設定點的偏差將 會引起處理缺陷、不良的排放控制和昂貴氣體的無效浪費。 儘管傳統的壓力控制系統已經證明為相當地可靠,但 5 200844361 是利用現有技術的現場試驗已經增加了對於流 確測量的要求。例如,在背側基板冷卻應用中 流之不良控制將導致不良的基板溫度控制,從 . 的薄膜沉積或#刻結果,這在下一代線路設5十 忍的。 然而,傳統的氣體輸送系統一般具有固定 於將氣體從氣體源導引至處理腔室中。因而, 〇 合的製程氣體可在任意時間被傳送到處理腔室 的氣體輸送路徑妨礙了製程彈性。例如,具有 送路徑的處理腔室不能容納需要不同製程氣體 或修改的製程配方(process recipe)。另外, 計以輸送一種製程氣體組合從而執行第一製程 不能執行利用不同氣體組合的第二製程,因而 室用於其他製程,並使晶圓廠(FAB)擁有者投 定設備(capitol equipment)。因而,期望設計 隹利用彈性的氣體輸送系統。 ϋ 因此,需要一種用於控制流到半導體處理 輪送之改進的方法和設備。 【發明内容】 本發明提供一種用於將氣體輸送到半導體 方法和設備。在一個實施例中,一種用於將氣 導體處理系統的設備包括:多個氣體輪入管路 具有一入口;以及多個氣體輸出管路,各個管 量進行更準 所使用的氣 而導致不良 中是無法容 的導管,用 只有預定組 中。該固定 固定氣體輸 組合的新的 一種具有設 的處理腔室 妨礙處理腔 資額外的固 一種具有較 系統的氣體 處理系統的 體輸送到半 ,各個管路 路具有一出 6 200844361 口。並提供有耦接各對氣體輸入和 接管路。 氣體輸出管路的多個連
多個氣體 量0 一種用於控制流到處理系統的
將一種或多種氣體流入處理腔室中。 在另一實施例中,提供一種用 氣流之方法,其中該處理系統包括 設備排氣裝置的一處理腔室,該方 在另實施例中,提供了 一種用於控制流到處理系統 的氣流之方法’該處理系統包括經過前級管路而耦接到設 備排氣裝置的處理腔室。該方法包括:將來自第一氣體源 的第一氣體流入具有至少一第一出口 、第二出口、第三出 〇 口和第四出口的歧管中;將來自第二氣體源的第二氣體流 入歧官,選擇歧管内之闊的操作狀態,以在處理模式下使 第一和第二氣體經過第二或第三出口的至少其中之一者而 離開;使第一和第二氣體流經歧管,並且繞過處理腔室而 進入前級管路直到獲得歧管内氣體的預定狀態;在已經獲 得預定狀態之後,將離開歧管的第一和第二氣體導引進入 到處理腔室中;以及處理所述處理腔室内的基板。 7 200844361 【實施方式】 第1圖描述了氣體輸送系統丨0〇的簡要 將氣體供應到示例性的半導體處理腔室1 j 4 可用於執行化學氣相沉積(c VD )、物理氣相 蝕刻處理、離子佈植、熱處理、灰化、除氣 ' 真空處理技術。控制器150耦接到處理腔室 送系統1 00,以控制其操作。控制器丨5〇 一 ^ 支援線路和記憶體。 Ο 位於處理腔室11 4外部的氣體輸送系統 到氣體歧管1 3 4的多個氣體源。歧管丨3 4包 合的氣體源耦接到處理腔室11 4的多個闕 論)。歧管134還用於允許獨立的系統部件和 放和流量校驗(flow verification )。儘管系 爲與任意數目的氣體源相接,但是在第i圖 例中示出了六個氣體源102A-F。 每個氣體源102A-F耦接到各個歧管入 止闊142和質量流量計(MFC ) 170設置 CJ 1 A-F和相應的歧管入口 1 04 A-F之間,以 體源102A-F進入到歧管134的氣體之流量 括多個歧管出口 l〇6A_F,其可經過歧管入〔 擇性地搞接到氣體源1 〇 2 a - F的任意一個。 出口 106A-F可耦接到校準線路i44和/或淨 在第1圖所示出的實施例中,提供了 106A-F。第一氣體輸送出口 i〇6a輕接到校与 校準線路1 44係用於精確測量氣流。校準線 視圖,其用於 >處理腔室114 沉積(PVD)、 、定向或其他 114和氣體輸 :包括處理器、 1〇〇包括耦接 括能使任意組 (將在以下討 導管的快速排 統1 0 0可配置 中示出的實施 口 104A-F。截 在每個氣體源 控制從每個氣 。歧管134包 f 104A-F 而選 至少一個歧管 ib管路1 5 4。 六個氣體出口 I線路144,該 路144包括孔 200844361 130 (orifice),其尺寸之設計係用以提供阻流條件(ch〇cked flow condition)。在一個實施例中,孔13〇的大小係經設 計,從而提供實質等於處理腔室的限制之限制。孔丨3〇在 歧管1 3 4中産生之流動條件係類似於當氣體流入處理腔室 114中時存在的條件。校準線路144可用於執行mfc或其 他系統部件的流量校驗,同時不需要流入實際的處理腔室 114。孔130可通過實驗、經驗分析或通過其他適當的方法 而確疋。在一實施例中,孔丨3 〇可以通過測量孔丨3 〇的壓 力下斿(pressure downstream )以及調整孔尺寸直到實現 所需的壓力而確定。 在一實施例中’校準線路丨44包括氣體源、分流閥 (diverter valve )、孔、調節裝置和感應線路。調節裝置係 OIL體1*生地輕接在氣體源和分流闊的入口之間。孔係流體性 地耦接到分流閥的第一出口並具有與處理腔室實質相同的 Μ動阻力。感應線路係配置以接收經過孔的氣體流量。在 另實施例中’校準線路1 44利用已校準過的容積而接收 氣流。通過已校準容積中的氣體所測量得到的特性和/或屬 性則可檢驗進入感應線路的氣體的流速和/或壓力。在另 只施例中,校準線路144利用未校準的容積而接收氣 流。通過測量在未校準容積中氣體的特性和/或屬性隨著時 間的變化’則可檢驗進入感應線路的氣體之流速和/或壓 力在又一實施例中,校準線路1 44包括設置在已校準容 積中的振動構件。在其他實施例+,校準線路⑷可包括 感應& ’ λ配置以檢測設置在已校準容積中的氣體之電特 ]·生或磁特陡中至少之一者。在再一實施例中,校準線路1 Ο 9 200844361 a秸田m霄所支撐的槽(tank)。 離開校準線路1 44的流體藉由 線路出口管路146 而搞接到淨化管路154。隔離閥14〇 、擇性地隔離校準 路144與淨化管路154。淨化管 準線
塔i 34耦接到離開處理胪 室114而連接到設備排氣裝置136的前級管路處理L 出口 106B-E耦接到處理腔宮 n 至14的一個或多個入 口 ’以供應來自氣體源i 02a_f
Ο 的各種製程氣體。在第H 圖中不出的實施例中’出口 106…分別輕接到處理腔室 ⑴的入σ 110A_D。多個最終^16可分別輕接在歧管出 口 106B-E和腔室入口 110A-D之問,以田备 炙間,以用作進入處理腔室 Π 4的氣流之開/關流量控制。 第六出口 1〇6F透過隔離閥172而輕接到淨化管路 154。當隔離閥172開啟時,淨化管路154提供快速排放路 徑,其有助於從氣體歧管134有效地去除氣體以及有助於 氣體進入到設備排氣裝置136。節流閥156可用於控制從 歧管134經過淨化管路154的氣體之流量。快速排放路徑 允許在氣體之間的串擾最小的情況下實現快速氣體變化。二 快速排放路徑還可選擇性耦接到歧管出口 1〇6Α_Ε孝 校準線路144。在第1圖示出的實施例中,旁通闊1〇8a e 設置在歧管出口 106A-E和淨化管路U4之間。套、s 万通閥 1 0 8 A - E可選擇性操作,以將出口 1 〇 6 A - E耦接到前級管路 138。例如,旁通闕1〇8A可選擇性操作以導引從歧管出口 106A排出的氣體進入淨化管路154而繞過校準線路144。 在另一實施例中,旁通閥108B-E可選擇性地操作以導弓丨 從歧管出口 106B-E排出的氣體進入淨化管路154而繞過 10 200844361 處理腔室114。隔離闕172控制從歧管134的第六出口 1〇6f 進入淨化管路1 5 4的流量。 亦可在氣體輸送系統1 00中的多個位置處提供减應^
Ο 1 9 0,以提供表示在系統1 0 0内氣流和/或化學物質% _ 量。控制器150可以利用由感應器190提供的度量以調^ 氣體輸送系統1〇〇的MFC 170或其他元件的輸出,從而使 得具有所需組成、壓力、速度或體積的氣體提供到腔^ 114。感應器190可以是壓力感應器、化學物質咸應器 (chemistry sensor)、流速感應器等等。 第2圖是在第1圖中示出的歧管134的一個音 耳苑例的 簡要視圖。每個歧管入口 104A-F分別耦接到氣體輪入& 路220A-F,以有助於將氣體從氣體源1〇2Α_ρ傳送到歧二 134中。每個歧管出口 106A_F分別耦接到氣體輸出管^ 232A-F。每個氣體輸出管路232A_F可選擇性耦接到一個 或多個入口氣體輸入管路220A-F。儘管歧管134可配置爲 與任意數目的氣體輸入、氣體輸出管路接合,但是在第2 圖中示出的實施方式中示出了六個氣體輸入管路220 A-F 和六個氣體輸出管路23 2 A-F。通常,氣體輸入管路的數目 與氣體源的數目相當。 氣體輸入管路220 A包括多個連接管路25〇A_F,其將 氣體輸入管路220A耦接到各個氣體輸出管路232A-F。根 據閥204A-F的所選操作狀態,連接閥2〇4a_f設置爲與連 接管路250A-F為連通,並且可操作以透過氣體輸入管路 220A而將氣體輸入管路220A流體性地耦接到一個或多個 氣體輸出管路232A-F。連接閥204A-F將氣體源102A選 11 200844361 擇性地耦接到所選的出口 106A_F,從而控制從氣體源1〇2八 提供的氣體通過歧管134的路線。例如,如果連接閾2 〇4a 處於開啟操作狀態,且同時連接閥204B-F保持關閉,則 來自氣體源1 02 A的氣體會經過出口 1 〇6a而至校準線路 144。在另一實施例中,如果連接閥2〇4B_c處於開啟操作 • 狀態,且同時連接閥2〇4A、D-F保持關閉,來自氣體源1〇2八 的氣體則會經過出口 106B-C。其他氣體輸入管路22〇B-F 的每一個都類似地配置有連接管路250A-F和閥204A-F, ‘ 用於將氣體輸入管路22〇B-F耦接到各個氣體輸出管路 232A-F。省略與氣體輸入管路22〇B-f相關的元件符號 250A-F和204A-F,以避免第2圖的混亂。 第3圖描述了氣體歧管3 34的可選實施例。氣體歧管 334實質類似於在第2圖中描述的氣體歧管134,除了其中 氣體歧管334包括多個可變連接閥3 04 A-F,其將氣體輸入 管路220A輕接到每個氣體輸出管路232A-F。可變連接閥 3 04 A-F可經過調整以允許經過氣體輸入管路22〇a的一定 比例之流量進入各個氣體輸出管路。可變連接閥304A-F 〇 可以是比例闊、夾管閥、節流閥、質量流量控制器、針閥 或適於調節氣體輸入和輸出管路之間的流量之其他流量控 制裝置。 可以控制可變連接閥304A-F的操作狀態,以使通過 闕的流量與繞過該閥的流量爲期望之比率,從而闕304A-F 可作爲營路220A中的流量比率控制器。相應於感應器190 (未在第3圖中示出)提供的度量,可藉由控制器150調 整町變連接閥304A-F的操作狀態。以這種方式,例如, 12 200844361 可相應於由感應器190提供的度量,而調整從單一氣體輸 入管路220A提供到兩個(或多個)氣體輸出管路232a_f 中的氣體之比率,使得可在歧管334的出口 1〇6A-F處辦 得目標化學組成、流速和/或壓力。其他氣體輪入管路 220B-F的每一個都類似地配置有連接管路25〇a_f和闕 304A-F,用於將氣體輸入管路220B_f耦接到各個氣體輪 出管路232A-F。省略與氣體輸入管路220B-F相關的元件 符號250A-F和304A-F,以避免第3圖的混敗。 Ο 返回第2圖,歧管134可另外地包括橋接線路2〇2。 橋接線路202包括橋接管路260,其可藉由各個選擇器闕 262A-F而選擇性耦接到每個出口氣體輸送232a_f。使用 橋接線路202,任意的流動元件(例如,連接閥2〇4中的 一個)可以耦接到校準線路1 4 4。橋接線路2 0 2亦允許與 例如氣體源102A的流體源連結的MFC17〇經由結合連接 闕204A的阻力的第二路徑而耦接到校準線路ι44。而且, 橋接線路202允許每個輸出管路232A-E從相對端耦接(經 過輸出管路232F)到淨化管路154,從而減少淨化歧管134 〇 所需的時間。 還可長:供多個斷路器(disconnect)以允許多個氣體歧 管134的耦接。在第2圖中示出的實施例中,第一组的斷 路器216A鄰近每個出口 1〇6A-F設置,而第二組斷路器 216B設置在橋接線路2〇2中而位於橋接管路26〇和閥 262A-F之間。例如,如在第4圖中所示,第二組斷路器 216B允許去除第一歧管434A的橋接管路26〇,並且使用 每個歧官43 4A、434B的斷路器216a、216B之配對部分, 13 200844361 而允許第一歧管43 4A的氣體輸出管路232A的第一端4 02 搞接到第二歧管434B的氣體輸出管路232A的第二端 4〇4。其他氣體輸出管路23 2B-F (未在第3圖中示出)係 類似地輕接。應該理解,任意數目的歧管可以利用該方式 輕接在一起。 返回第2圖,在以上所述的一個或多個感應器1 90可 以與任何之管路220A-F、232A-F、260、154連接,以提 供表示系統1 00内的氣流和/或化學物質的度量。控制器 150利用由感應器19〇提供的度量來調整MFc 170、閥 2 62A-F、2 04A-F、3 04A-F或氣體輸送系統100的其他元件 之操作狀態,從而使得具有所需組成、壓力、速度或體積 的氣體提供到腔室114。度量還可用於監控氣體輸送系統 1 〇〇的各種部分内的氣體組成,使得可以即時檢測淨化、 化學混合、氣體變化等等的狀態,從而提高系統回應時間, 並使昂貴製程氣體的浪費最小化。 第5圖描述了用於供應氣體到處理腔室114的另一實 施例之氣體輸送系統500。氣體輸送系統500包括如上所 述耦接到氣體源102A-F、淨化管路154和校準線路144的 歧管134〇歧管134的出口 106B-E選擇性地耦接到處理腔 室114的入口 516、518,以有助於傳送氣體到處理腔室 114。在第5圖所示的實施例中,兩個分離的氣體入口 516、 518用於將自歧管134供應的氣體輸送到處理腔室114。在 一個實施例中,入口 5 1 6提供氣體到處理腔室丨丨4的中央, 同時入口 5 18設置得比入口 516更靠外側並且提供氣體到 處理腔室的外部區域(例如,到設置在腔室内的基板之周 14 200844361 邊)。例如,入口 516可提供氣體到噴氣頭的中央區域,同 時入口 5 1 8可提供氣體到喷氣頭的外部區域。在另一實例 中,入口 516可設置在處理腔室的頂壁中並且向下提供氣 體到基板,而入口 518設置在處理腔室的侧壁中並且提供 氣體到基板的外部區域。在又一實施例中,氣體可分別經 由入口 5 1 6、5 1 8而提供,使得氣體的混合僅在處理腔室 11 4的内部空間内發生一次。 流量比率控制器(FRC ) 502、504耦接到每個出口 10 604。?1^ 502、5 04將從每個出口1〇604排出的流量 進行區分,使得在處理腔室114的每個入口 516、518之間 提供預定流量比率。FRC 502、504可具有固定的輸出比 率,或者可具有可調整的輸出比率。FRC 502、504的輸出 和歧管輸出口 106B-C可分別結合到共同的輸送管路522、 524。藉由僅設置在處理腔室114的入口 516、518的上游 之最終閥508、506控制經過每個輸送管路522、524的流 量。 旁通閥510、512耦接到每個輸送管路5 22、5 24。旁 通闕510、512可開啟,以將每個輸送管路522、524耦接 到淨化管路154。 所述的氣體輸送系統相對於傳統的系統提供多種優 點。除了系統的模組性,氣體輸送系統可操作以提供預流 路徑、快速排放路徑、受控化學混合、更有效地使用化學 物質、接續降低填充和排放時間、用於化學物質輸送精細 調節的閉環控制和流量校驗。 、 15 Ο Ο 200844361 褐流路徑 在一個操作模式中,預流路徑界定爲經過氣體賴 統100並且提供從化學物質源(例如,氣體源1〇2 A-過一個或多個閥至與處理腔室114分離的真空環境的 (例如,經過淨化管路1 54的前級管路1 3 8 )。在處理 114中需求氣體之前,可在實質匹配如同氣體正流入 腔室1 1 4中的氣體條件下,而將氣體供應到氣體輸送 100的歧管134之適當管路中。這允許歧管134内的 快速達到穩態條件,即實質保持此穩態條件直到氣體 到處理腔室11 4中。由於預流路徑允許氣體輸送系錢 内的氣體在被輸送到處理腔室114中之前,先在“製 件下穩定,所以一旦到腔室中的流動開始,則輸送 1〇〇内的流動條件(flow condition)就报少或沒有變 不同於以往經歷壓降和流速減少的傳統氣體輸送系統 此,由於預流路徑提供如同氣體流入處理腔室114時 質相同的阻力和流動條件,所以可以快速建立在處理 2的氣體均勻性。亦可以使用節流閥144以將預流氣 徑中的條件與處理腔室114中的條件匹配。 另外,任何分流裝置,諸如流量比率控制器5〇2 . 〇閥/〇仏F,可具有在處理之前導引至預流路徑中 义j從而使得分流裝置的輸出在其氣流輸送到處理腔 :可士破穩定。在—個實施例中,預流路徑係界定爲 淨化管路154,以及通過歧管出σ 1〇6A_B、經過旁 108Α·Ε、並進入淨化管路154中的旁通管路。 送系 F )經 連接 腔室 處理 系統 氣體 轉移 100 程條 糸統 化, °因 之實 腔室 體路 504 的輸 室之 經過 通閥 16 200844361 快速排放路獲 在另一操作模式中,快速排放路徑係界定在氣 系統1 0 0中,並提供從歧管1 3 4經過淨化管路1 $ 4 管路138的連接。快速排放路徑提供從化學物質輸 透過一個或多個闊以經過處理腔室輸送路徑並到達 腔室114分離的真空環境之連接(例如,經過淨化管 的前級管路1 3 8 )。快速排放路徑耦接到每個腔室之 分’從而在任何兩個流量限制器之間存在至少一個 分,諸如流量比率控制器502、504,閥304AJ或 量限制器。當需要在處理腔室114内的化學物質變 將開啟到真空環境之隔離閥172和旁通闊108a_e, 學輸送路徑移除過量的製程化學物質。 如上所述,確定歧管134内的各種閥之操作狀 序,從而使得經過快速排放路徑而從氣體輪送系統 除化學物質的淨化時間最小化。另外,還可確定閥 的操作狀態之順序,從而當歧管1 3 4的特定區域清 前製程中使用的化學物質,然後清空的區域可填充 學物質,使得以最有效的方式更換歧管134内的氣 且,當歧管134的某些部分可以相對於歧管的其他 快速地排空時,以一方式確定閥2 0 4 A - F的順序, 許新的化學物質替代舊的化學物質,而在盡可能短 中達到平衡(例如,製程流量條件)。 在一個實施例中,可將來自氣體源1 〇 2 A - F的 高壓力、體積和/或流量的替代氣體提供到歧管134 或多個區域中,以加速變換。當從歧管1 3 4排出的 體輪送 到前級 送源而 與處理 k 路 154 連接部 連接部 其他流 化時, 而自化 態的順 100移 204A-F 空在之 新的化 體。而 部分更 藉以允 的時間 具有較 的一個 替代氣 17 200844361 體流接近所需的化學物質混合物、壓力和/或體積 持流出歧管1 34的期望流量下,使流入化學物質 1 〇〇的化學物質之流量降低到期望層級。在化學 系統100將由來自較早製程的化學物質填充的情 改變進入化學物質輪送系統的流量(即,取決於 而降低或增加流量),使得進入處理腔室的所需流 快地達到所需值。當流出歧管的化學物質接近所 物質混合物和流速時,在保持進入處理腔室i 14 1之下,將進入歧管134的化學物質流量調整朝 速。 在另一實施例中,可藉由確定閥204A-F的 速化學物質變化,使得只有含有還沒有被替代的 體輸出管路232A-F保持耦接到淨化管路154。一 一個氣體輸出管路232A-F或在其他預定位置檢 氣體離開歧管134,則供應替代氣體的氣體〗 232A-F與淨化管路154斷開,使得不浪費替代氣 個實施例中,替代氣體可以由淨化管路丨5 4轉向 至114中’直到完成變換(change 〇ver)D以這 淨化管路154的抽氣容量只專用於需要去除氣體 路’使得以有效的方式進行處理氣體的變換。·還肩 最快速的排放路徑可包括在至少部分變換時間將 經過橋接線路2 0 2而至淨化管路1 5 4。 也._學..物質..混i和閉環抟制 在另一操作模式中,氣體輸送系統j 〇〇可用 時,在保 輸送系統 物質輸送 形下,則 所需效果 量盡可能 需的化學 的期望流 向期望流 順序而加 氣體之氣 旦從其中 測到替代 輪出管路 ,體。在一 至處理腔 種方式, 的那些管 i該理解, 氣流導引 於增進化 18 200844361 學物質之混合。在一個實施例中,化學物質之混合係使用 閥3 04A-F或藉由耦接兩個或多個氣體源i〇2a_f到單一的 氣體輸出官路232A-F而在歧管134内進行。 在另一實施例中,感應器190可用於提供氣體輸送系 統内的化學物質混合之閉環控制。藉由使用感應器19〇監 控進入腔室114的化學物質、離開歧管134的化學物質和/ 或在氣體輸送系統1 〇 〇内的任何其他點的化學物質,則可 實現化學物質參數,諸如期望組成(例如,氣體混合物)、 速度和/或壓力的即時調整。例如,如果感應器在出口丨06C 處偵測到從歧管離開且來自氣體源i 02A-B的化學物質之 不適當流量比率,則可以調整將氣體輸入管路22〇a_22〇b 搞接到氣體輸出管路2 3 2 C的閥3 0 4 C之操作狀態,以使化 學物質流達到所需的目標比率。可使用其他閥或流量比率 控制器執行相同的處理。來自感應器丨9〇的資訊還可以用 於調整MFC設定、由氣體源i〇2A-F提供的氣體之流速和 /或壓力。 1體和/或化學物皙的保存 在另一操作模式中,氣體輸送系統1 0 〇增進化學物質 的有效使用。例如,以從歧管134最有效地去除氣體並且 具有氣體的最小混合之方式而排列閥204A-F、172、 108A-E、2 62A-F的操作狀態變化的次序,從而允許更快的 回應時間和較少的處理時間。因而,在氣體變化期間,可 使用節流閥1 56以調整氣體經過輸送路徑(例如,經過氣 體輸出管路232A-F )抽吸並進入到淨化管路1 54的速度, 19 200844361 從而使氣體快速經過歧管1 34。然而,當淨化管路〗54抽 吸經過歧管134的氣體時,含有預備進行下一個處理次序 的氣體之管路(諸如新導入的氣體、淨化氣體和/或之前包 含於歧管中的殘餘物)可從淨化管路154轉向並流入處理 腔室114。這允許耦接到淨化管路154的剩餘管路更加快 速地排空。在一個實施例中,由感應器1 90提供的度量可 用於表示從淨化管路154到腔室114應當發生轉向的時 間,例如,藉由氣體的組成、管路内氣體的流速和/或壓力 的變化或穩定情形。 流量校驗 在另一操作模式中,氣體輸送系統1 00可用於使用校 準線路1 44來校驗系統内任意組成的流速。例如,系統1 〇〇 的閥可提供從任意一個入口到校準線路1 44的流動。在另 一實施例中,可校驗由系統的闕分流的多個流沿著分流後 的流之每個分支的流速。 第6圖是輛接到氣體輸送系統600之另一實施例的的 半導體處理腔室114之簡要視圖。氣體輸送系統600之配 置係實質類似於以上所述的氣體輸送系統,除了其中系統 600包括耦接到系統的歧管134之至少一個出口 i〇6A-F的 儲存槽630。儲存槽630可耦接到處理腔室114、第二處理 腔室614(以虛線示出)、第二處理腔室614的氣體輸送系 統100 ( 5 00或600 )、校準線路144或設備排氣裝置136 的至少一個或多個。可提供感應器190,以提供表示儲存 槽63 0内的氣體之度量。在一個實施例中,度量可表示氣 20 200844361 體壓力、氣體組成(例如,化學物質)、溫度或其 在一個實施例中,每個出口 1 0 6 A - F可單獨 儲存槽630。在另一實施例中,儲存槽630可經 以虛線示出),使得每個出口 1 〇6A-F可以保持在儲 中而不混合,然後單獨耦接到處理腔室11 4。可 以使用分離的儲存槽630。還應該理解,儲存槽 口可用於將氣體輸送到處理腔室114。 因而,具有快速排放路徑的氣體輸送系統係 使由氣體輸送系統所供應的製程氣體以穩定的氣 的波動而進入到處理系統中。使用快速排放路徑 選的方式,藉以校驗和/或校準來自氣體輸送系統 從而提供對供應到處理系統的氣流之良好控制。 惟本發明雖以較佳實施例說明如上,然其並 定本發明,任何熟習此技術人員,在不脫離本發 和範圍内所作的更動與潤飾,仍應屬本發明的技; 【圖式簡單說明】 爲了能詳細理解本發明的上述特徵,將參照 圖中示出的實施例對以上的概述進行更加詳細的 而,應該注意到,附圖僅示出了本發明的典型實 並因此其不能被理解爲是對本發明範圍的限制, 明允許存在其他等效的實施方式。 第1圖是搞接到本發明之氣體輸送系統的一 之半導體處理腔室的簡要示意圖; 第2圖是第1圖的氣體輸送系統之混合歧管 他屬性。 地耦接到 切割(如 存槽630 選地,可 6 3 0的入 可有利地 流和最小 以提供可 的氣流, 非用以限 明的精神 術範_ 〇 部分在附 描述。然 施方式, 因爲本發 個實施例 的一個實 21 200844361 施例之簡要視圖; 第3圖是混合歧管的另一實施例之簡要視圖; 第4圖是兩個彼此耦接的混合歧管之一個實施例的簡 要視圖, 第5圖是耦接到氣體輸送系統的另一實施例之半導體 處理腔室的簡要視圖;以及 第6圖是耦接到氣體輸送系統的另一實施例之半導體 處理腔室的簡要視圖。 爲了有助於理解,盡可能使用相同的元件符號來表示 附圖中共有的相似同元件。應該理解,一個實施例的特徵 可以有益地結合到其他實施例中而不用進一步闡述。 【主要元件符號說明】 100 (氣體輸送)系統 102A-F氣體源 104A-F 入口 108A-E旁通閥 Ο 110A -D入口 130 孔 136 排氣裝置 140 隔離閥 144 校準線路 150 控制器 156 節流閥 172 隔離閥 106A-F 出口 114 腔室 116 閥 134 歧管 138 前級管路 142 截止閥 146 出口管路 154 淨化管路 170 質量流量計/MFC 190 感應器 22 200844361 202 橋接線路 216A 斷路器 220A-F 管路 250A-F 連接管路 262A-F 閥 334 氣體歧管 404 第二端 500 氣體輸送系統 502,504 流量比率控制 510,512 旁通閥 522,524 輸送管路 614 第二處理腔室 C: 204A-F (連接)閥 216B 斷路器 232A-F管路 260 橋接管路 304A-F連接闊 402 第一端
434A,434B 歧管 506,508 閥 器 /FRC 516,518 入口 600 氣體輸送系統 630 儲存槽 〇 23

Claims (1)

  1. 200844361 十、申請專利範圍: 1. 一種用於將氣體輸送到一半導體處理系統的設備,包 含: 多個氣體輸入管路,其中各個該些氣體輸入管路具有 一入口; 多個氣體輸出管路,其中各個該些氣體輸出管路具有 一出口; 多個連接管路,各個該些連接管路係耦接各自一對的 該些氣體輸入管路和該些氣體輸出管路; 多個連接閥,各個該些連接閥係配置爲控制經過各自 之該些連接管路的流量;以及 多個氣體質量流量控制器,各個該些氣體質量流量控 制器係配置以控制進入各自之該入口的流量。 2. 如申請專利範圍第1項所述之設備,其中該些連接閥係 以一栅格圖案配置,且該入口沿著該柵格的一第一軸配 置,該出口則沿著該柵格的一第二軸配置。 3. 如申請專利範圍第1項所述之設備,其中該些連接管路 係爲一第一整體部件(monolithic part )。 4. 如申請專利範圍第3項所述之設備,更包含: 一第二整體部件,係耦接到該第一整體部件;該第二 24 200844361 整體部件具有以一栅格圖案配置的連接閥,其中該第二整 體部件的入口係沿著該柵格的一第一軸配置,並且該第二 整體部件的出口係沿著該柵格的一第二軸配置。 5. 如申請專利範圍第1項所述之設備,更包含: 一校準線路(calibration circuit),輕接到該些出口之 至少其中之一者。 C 6. 如申請專利範圍第1項所述之設備,更包含: 至少一感應器,係與該些氣體輸出管路、該些氣體輸 入管路或該些連接管路之至少其中之一者相接,該至少一 感應器係配置以提供一表示流量、壓力或化學物質的度量 (metric ) 〇 7. 如申請專利範圍第1項所述之設備,更包含: g 至少二可調式閥(adj ustable valve ),搞接至該些出口 的一第一出口 ,該些可調式閥係配置以區分來自該第一出 口的流量。 8. 如申請專利範圍第1項所述之設備,其中該些連接閥之 至少其中之一者更包含: 至少二可調式闕,係配置以區分來自一入口而在二或 多個該些出口之間的流量。 25 200844361 9. 如申請專利範圍第8項所述之設備,更包含: 一校準線路,係配置以藉由量測通過該些閥的流量並 同時維持通過該些閥的臨界流量(c r i t i c a 1 f 1 〇 w )來校準該 些可調式閥的一有效開口面積。 10. 如申請專利範圍第1項所述之設備,其中該些出口之至 ζ) 少其中之一者係耦接至繞過(by-passing )該處理系統的 一設備排氣裝置。 1 1 ·如申請專利範圍第5項所述之設備,其中該校準線路更 包含: 一流量限制器,具有類似於該處理系統的流量限制。 12.如申請專利範圍第1項所述之設備,更包含: y —儲存容器,係耦接至該些出口之至少其中之一者。 1 3 ·如申請專利範圍第1 2項所述之設備,更包含: 至少一感應器,係配置以提供該儲存容器内的流量、 壓力或化學物質的一度量。 14.如申請專利範圍第12項所述之設備,其中該儲存容器 更包含: 26 200844361 至少一出口,連接到該處理系統。 1 5 .如申請專利範圍第1 2項所述之設備,其中該儲存容器 更包含: 一第二出口 ,連接到一第二處理系統。 1 6.如申請專利範圍第1 2項所述之設備,其中該儲存容器 的一入口亦耦接到該處理系統。 1 7.如申請專利範圍第1 2項所述之設備,其中該儲存容器 的至少一出口係透過配置呈一第二栅格圖案的一第二組連 接閥而連接到該處理系統,其中該第二柵格圖案的入口係 沿著該第二柵格圖案的一第一軸配置,並且該第二柵格圖 案的出口係沿著該第二柵格圖案的一第二軸配置。 D 18.如申請專利範圍第12項所述之設備,其中該儲存容器 的一出口係經過一質量流量控制器而連接到該半導體處理 系統。 1 9.如申請專利範圍第1 2項所述之設備,其中該儲存容器 的一出口和該些氣體輸出管路的至少一該些出口係連接到 通往該半導體處理系統的一共用入口。 27 200844361 20.如申請專利範圍第1 2項所述之設備,其中該儲存容器 係連接到至少二分離的半導體處理系統。 2 1.如申請專利範圍第1 2項所述之設備,更包含: 一個二位閥(two position valve ),係流體地輕接在該 儲存容器和一設備排氣裝置之間,該二位閥可在完全關 閉、預設限制和完全開啟之間切換。
    〇 28
TW097106676A 2007-02-26 2008-02-26 Method and apparatus for controlling gas flow to a processing chamber TWI365948B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/678,621 US8074677B2 (en) 2007-02-26 2007-02-26 Method and apparatus for controlling gas flow to a processing chamber

Publications (2)

Publication Number Publication Date
TW200844361A true TW200844361A (en) 2008-11-16
TWI365948B TWI365948B (en) 2012-06-11

Family

ID=39312971

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097106676A TWI365948B (en) 2007-02-26 2008-02-26 Method and apparatus for controlling gas flow to a processing chamber

Country Status (7)

Country Link
US (1) US8074677B2 (zh)
EP (1) EP1961836A1 (zh)
JP (1) JP5582684B2 (zh)
KR (1) KR100961793B1 (zh)
CN (1) CN101256936B (zh)
SG (1) SG145669A1 (zh)
TW (1) TWI365948B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI629429B (zh) * 2013-09-12 2018-07-11 蘭姆研究公司 群集質量流裝置及將其併入之複式線路質量流裝置

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
JP5216632B2 (ja) * 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
US8328980B2 (en) * 2009-09-04 2012-12-11 Lam Research Corporation Apparatus and methods for enhanced fluid delivery on bevel etch applications
US8707754B2 (en) * 2010-04-30 2014-04-29 Applied Materials, Inc. Methods and apparatus for calibrating flow controllers in substrate processing systems
WO2012004194A1 (en) * 2010-07-05 2012-01-12 Solvay Sa Purge box for fluorine supply
CN101989068B (zh) * 2010-11-05 2012-07-18 北京七星华创电子股份有限公司 基于质量流量控制器的模拟工艺系统和方法
US8931512B2 (en) * 2011-03-07 2015-01-13 Applied Materials, Inc. Gas delivery system and method of use thereof
TWI489054B (zh) * 2011-06-21 2015-06-21 Au Optronics Corp 閥箱模組
JP5433660B2 (ja) * 2011-10-12 2014-03-05 Ckd株式会社 ガス流量監視システム
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
CN102537661A (zh) * 2012-02-27 2012-07-04 无锡超科食品有限公司 液态物料进料系统
US9004107B2 (en) 2012-08-21 2015-04-14 Applied Materials, Inc. Methods and apparatus for enhanced gas flow rate control
CN104167345B (zh) * 2013-05-17 2016-08-24 中微半导体设备(上海)有限公司 等离子处理装置及其气体输送装置、气体切换方法
US9478390B2 (en) * 2014-06-30 2016-10-25 Fei Company Integrated light optics and gas delivery in a charged particle lens
US10658222B2 (en) 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
TW201634738A (zh) * 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US11357966B2 (en) 2015-04-23 2022-06-14 B. Braun Medical Inc. Compounding device, system, kit, software, and method
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10256075B2 (en) * 2016-01-22 2019-04-09 Applied Materials, Inc. Gas splitting by time average injection into different zones by fast gas valves
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
CN108121370B (zh) * 2017-12-23 2020-06-02 东北大学 一种真空环境气体流量的测控方法及测控系统
US11327510B2 (en) * 2018-05-23 2022-05-10 Hitachi Metals, Ltd. Multi-chamber rate-of-change system for gas flow verification
WO2020033046A1 (en) * 2018-08-08 2020-02-13 Applied Materials, Inc. Method of gas composition determination, adjustment, and usage
CN113366602A (zh) * 2019-01-31 2021-09-07 朗姆研究公司 用于先进半导体应用的多通道液体输送系统
US11788190B2 (en) 2019-07-05 2023-10-17 Asm Ip Holding B.V. Liquid vaporizer
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
US20220387949A1 (en) * 2019-11-19 2022-12-08 Linde Gmbh Smart gas mixer
JP7200166B2 (ja) * 2020-04-03 2023-01-06 大陽日酸株式会社 混合ガス供給装置及び方法
CN113867434B (zh) * 2021-11-22 2024-01-12 北京七星华创流量计有限公司 气体质量流量控制器
CN115948727A (zh) * 2023-01-10 2023-04-11 江苏微导纳米科技股份有限公司 气体流量校准装置及方法
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5292788A (en) 1976-01-30 1977-08-04 Standard Technology Gas diluting apparatus
JPS61254242A (ja) * 1985-05-01 1986-11-12 Sumitomo Electric Ind Ltd 原料供給装置
US4590790A (en) 1985-05-16 1986-05-27 American Meter Company Method for determining the accuracy of a gas measurement instrument
US4687020A (en) 1985-05-17 1987-08-18 Doyle James H Fluid mass flow controller
JPS62143427A (ja) 1985-12-18 1987-06-26 Hitachi Ltd 処理ガス供給装置
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4911101A (en) 1988-07-20 1990-03-27 General Electric Company Metal organic molecular beam epitaxy (MOMBE) apparatus
JP2888253B2 (ja) 1989-07-20 1999-05-10 富士通株式会社 化学気相成長法およびその実施のための装置
JPH03156509A (ja) 1989-11-14 1991-07-04 Stec Kk マスフローコントローラ
US5062446A (en) 1991-01-07 1991-11-05 Sematech, Inc. Intelligent mass flow controller
US5141021A (en) 1991-09-06 1992-08-25 Stec Inc. Mass flow meter and mass flow controller
US5254210A (en) 1992-04-27 1993-10-19 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for growing semiconductor heterostructures
US5303731A (en) 1992-06-30 1994-04-19 Unit Instruments, Inc. Liquid flow controller
US5190068A (en) 1992-07-02 1993-03-02 Brian Philbin Control apparatus and method for controlling fluid flows and pressures
JPH06295862A (ja) * 1992-11-20 1994-10-21 Mitsubishi Electric Corp 化合物半導体製造装置及び有機金属材料容器
US5293778A (en) 1993-05-27 1994-03-15 General Electric Company Fluid flow measuring system
AU1678595A (en) 1994-01-14 1995-08-01 Unit Instruments, Inc. Flow meter
US5524084A (en) 1994-12-30 1996-06-04 Hewlett-Packard Company Method and apparatus for improved flow and pressure measurement and control
US5653807A (en) 1996-03-28 1997-08-05 The United States Of America As Represented By The Secretary Of The Air Force Low temperature vapor phase epitaxial system for depositing thin layers of silicon-germanium alloy
US5662143A (en) 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5944048A (en) 1996-10-04 1999-08-31 Emerson Electric Co. Method and apparatus for detecting and controlling mass flow
US5911238A (en) 1996-10-04 1999-06-15 Emerson Electric Co. Thermal mass flowmeter and mass flow controller, flowmetering system and method
JPH10240356A (ja) 1997-02-21 1998-09-11 Anelva Corp 基板処理装置の基板温度制御法と基板温度制御性判定法
KR100251645B1 (ko) 1997-03-21 2000-04-15 윤종용 반도체 공정용 가스 평가장치에 결합되는 샘플가스 분배 장치 및 구동방법
US5966499A (en) 1997-07-28 1999-10-12 Mks Instruments, Inc. System for delivering a substantially constant vapor flow to a chemical process reactor
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6269692B1 (en) 1999-02-01 2001-08-07 Dxl Usa Inc. Mass flow measuring assembly having low pressure drop and fast response time
EP1096351A4 (en) 1999-04-16 2004-12-15 Fujikin Kk FLUID SUPPLY DEVICE OF THE PARALLEL BYPASS TYPE, AND METHOD AND DEVICE FOR CONTROLLING THE FLOW OF A VARIABLE FLUID TYPE PRESSURE SYSTEM USED IN SAID DEVICE
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
US6733590B1 (en) 1999-05-03 2004-05-11 Seagate Technology Llc. Method and apparatus for multilayer deposition utilizing a common beam source
US6119710A (en) 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
US6343617B1 (en) 1999-07-09 2002-02-05 Millipore Corporation System and method of operation of a digital mass flow controller
US6389364B1 (en) 1999-07-10 2002-05-14 Mykrolis Corporation System and method for a digital mass flow controller
US6138708A (en) 1999-07-28 2000-10-31 Controls Corporation Of America Mass flow controller having automatic pressure compensator
US6799603B1 (en) * 1999-09-20 2004-10-05 Moore Epitaxial, Inc. Gas flow controller system
US6772781B2 (en) * 2000-02-04 2004-08-10 Air Liquide America, L.P. Apparatus and method for mixing gases
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
WO2002008487A1 (en) 2000-07-24 2002-01-31 The University Of Maryland, College Park Spatially programmable microelectronics process equipment using segmented gas injection showerhead with exhaust gas recirculation
AU2001286619A1 (en) 2000-08-22 2002-03-04 Fugasity Corporation Fluid mass flow meter with substantial measurement range
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6814096B2 (en) 2000-12-15 2004-11-09 Nor-Cal Products, Inc. Pressure controller and method
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6439253B1 (en) 2000-12-28 2002-08-27 International Business Machines Corporation System for and method of monitoring the flow of semiconductor process gases from a gas delivery system
US20020173166A1 (en) * 2001-04-11 2002-11-21 Kurt Christenson Method and apparatus to quickly increase the concentration of gas in a process chamber to a very high level
AU2002307547A1 (en) 2001-04-24 2002-11-05 Unit Instruments, Inc. System and method for configuring and asapting a mass flow controller
JP2002349797A (ja) * 2001-05-23 2002-12-04 Fujikin Inc 流体制御装置
JP4209688B2 (ja) * 2001-05-24 2009-01-14 セレリティ・インコーポレーテッド 決定された比率のプロセス流体を供給する方法および装置
US20040025787A1 (en) 2002-04-19 2004-02-12 Selbrede Steven C. System for depositing a film onto a substrate using a low pressure gas precursor
US20040040664A1 (en) 2002-06-03 2004-03-04 Yang Jang Gyoo Cathode pedestal for a plasma etch reactor
US7136767B2 (en) 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7004191B2 (en) 2002-06-24 2006-02-28 Mks Instruments, Inc. Apparatus and method for mass flow controller with embedded web server
US6712084B2 (en) 2002-06-24 2004-03-30 Mks Instruments, Inc. Apparatus and method for pressure fluctuation insensitive mass flow control
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
EP1523701A2 (en) 2002-07-19 2005-04-20 Celerity Group, Inc. Methods and apparatus for pressure compensation in a mass flow controller
WO2004020956A2 (en) 2002-08-28 2004-03-11 Horiba Stec, Inc. Higher accuracy pressure based flow controller
US20040050326A1 (en) 2002-09-12 2004-03-18 Thilderkvist Karin Anna Lena Apparatus and method for automatically controlling gas flow in a substrate processing system
JP4502590B2 (ja) 2002-11-15 2010-07-14 株式会社ルネサステクノロジ 半導体製造装置
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US6898558B2 (en) 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US20040163590A1 (en) 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
US7137400B2 (en) * 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
US20050120805A1 (en) 2003-12-04 2005-06-09 John Lane Method and apparatus for substrate temperature control
US7418978B2 (en) * 2004-01-30 2008-09-02 Applied Materials, Inc. Methods and apparatus for providing fluid to a semiconductor device processing apparatus
US7072743B2 (en) 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US20060068098A1 (en) 2004-09-27 2006-03-30 Tokyo Electron Limited Deposition of ruthenium metal layers in a thermal chemical vapor deposition process
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7743670B2 (en) * 2006-08-14 2010-06-29 Applied Materials, Inc. Method and apparatus for gas flow measurement
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI629429B (zh) * 2013-09-12 2018-07-11 蘭姆研究公司 群集質量流裝置及將其併入之複式線路質量流裝置

Also Published As

Publication number Publication date
JP5582684B2 (ja) 2014-09-03
KR100961793B1 (ko) 2010-06-08
TWI365948B (en) 2012-06-11
US20080202609A1 (en) 2008-08-28
CN101256936B (zh) 2011-07-13
CN101256936A (zh) 2008-09-03
KR20080079209A (ko) 2008-08-29
SG145669A1 (en) 2008-09-29
US8074677B2 (en) 2011-12-13
EP1961836A1 (en) 2008-08-27
JP2008252073A (ja) 2008-10-16

Similar Documents

Publication Publication Date Title
TW200844361A (en) Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) Method and apparatus for controlling gas flow to a processing chamber
JP6945975B2 (ja) ガス供給システム
KR100944962B1 (ko) 질량유량분할 시스템과 방법
TWI436183B (zh) 多通道氣體輸送系統、多通道流量比控制器系統及控制氣體流率比之方法
TWI483306B (zh) 基板製程系統中用於校準流量控制器的裝置及方法
KR100855935B1 (ko) 유동분할시스템과 방법
US20100229976A1 (en) Flow rate ratio variable type fluid supply apparatus
KR100875333B1 (ko) 반도체 제조 장치 및 반도체 제조 방법
KR20140088040A (ko) 기판 프로세싱 챔버들에 대한 가스 공급 시스템들 및 그의 방법들
TW201805467A (zh) 固態及液態前驅物用蒸汽輸送方法及設備
KR20220063258A (ko) 저온 열 유동 비율 제어기
TW201832822A (zh) 用以控制於一基板配發的液體之溫度的使用點混合系統及方法
JP2007239008A (ja) 材料供給装置
JP2004280788A (ja) ガス分流システム
CN112563105A (zh) 等离子体处理装置中实现气体流量验证的系统及方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees