TW200811616A - Application and developing apparatus, resist pattern forming apparatus, application and developing method, resist pattern forming method, and memory medium - Google Patents

Application and developing apparatus, resist pattern forming apparatus, application and developing method, resist pattern forming method, and memory medium Download PDF

Info

Publication number
TW200811616A
TW200811616A TW96113306A TW96113306A TW200811616A TW 200811616 A TW200811616 A TW 200811616A TW 96113306 A TW96113306 A TW 96113306A TW 96113306 A TW96113306 A TW 96113306A TW 200811616 A TW200811616 A TW 200811616A
Authority
TW
Taiwan
Prior art keywords
heating
substrate
exposure
amount
processed
Prior art date
Application number
TW96113306A
Other languages
Chinese (zh)
Other versions
TWI358010B (en
Inventor
Ryouichirou Naitou
Tsuyoshi Shibata
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200811616A publication Critical patent/TW200811616A/en
Application granted granted Critical
Publication of TWI358010B publication Critical patent/TWI358010B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3021Imagewise removal using liquid means from a wafer supported on a rotating chuck
    • G03F7/3028Imagewise removal using liquid means from a wafer supported on a rotating chuck characterised by means for on-wafer monitoring of the processing

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Coating Apparatus (AREA)

Abstract

This invention intends to control, with a high degree of precision, the line width of a resist pattern, both within the surface of a substrate undergoing treatment, and across multiple treated substrates. An application and developing apparatus, including a heating unit, which is equipped with a heating plate that is able to independently control the heating within a plurality of heating regions by using a plurality of heaters, and which is used for heating the substrate undergoing treatment following exposure but prior to developing; an optical measurement unit which, prior to application of the resist solution, irradiates light onto regions corresponding with each of the heating regions of the substrate undergoing treatment, and measures the optical properties within each of those regions; a memory unit which stores previously obtained information on the correlations between the optical properties of the base film of the substrate undergoing treatment, the heating temperatures of the heaters, and the line width of the resist pattern; and a control device which, based on the optical properties of the base film of the substrate undergoing treatment measured by the optical measurement unit, the target line width for the resist pattern, and the stored correlations, calculates the heating temperature for the heater within each heating region, and then controls the temperature within each heating region of the heating plate based on these calculated heating temperatures.

Description

200811616 九、發明說明: 【發明所屬之技術領域】 本發明係關於一種塗布顯影裝置,且借· .之表面形成有底層膜之處理基板塗布光阻液元,於基板 .早兀,將經過曝光後之被處理基板予以顯參而先胺,顯影 關於除了前述塗布單元及顯影單元以外:=阻圖案。並 被歧基板予以曝光之曝光阻2後之 影方法、光_案之職方法及包含跡置、塗布、顯 式的記憶媒體。 、只知此專方法之電腦程 【先前技術】 以往,於半導體製造步驟之一 I / 體晶圓(以下稱為晶圓)之表面涂布 ,係於例如半導 光阻膜以選定之圖宰進行布^阻f而形成光阻膜,並將此 ' -Λ.Λ...吁、、曰同 :^ ^ 圖案形成裝置進行員Pi 土布颁 形成配用±以於該晶圓 :種^光反射影響光阻圖案的抗反射膜所構22^膜=等 神線之各種膜’係於晶w皮搬入塗布顧置為止 幵/成别述抗反射膜、光阻膜。 Α成有光阻膜之晶圓,於曝光梦晉由、 送到塗布顯影裝置内之加熱單元暴光處理後,運 熱處理,藉由去除於光阻後烘烤)之加 之影^使光阻圖案形狀齊一。m處理 1之:===^^) 分對應之部位,而形成光阻圖t刀對應之雜或與未經曝光部 上述PEB中,晶圓之加熱溫度及曝光處理之晶圓曝光量,對 6 200811616 於所形成光阻圖宰之尺+旦《鄕π i ^ 形成光阻膜之使用化學放大型光阻 因此,™之力1以=内ί廣f也擴散,並影響顯影處理, ^ ^ ^度對於®案尺寸造歧大的影塑。 部^境直 影裝置而製;艿ί層膜之晶圓,搬入塗布顯 尺寸(線寬)不二致的情=%在日日圓面内各區域,會有圖案200811616 IX. Description of the Invention: [Technical Field] The present invention relates to a coating and developing device, and a substrate for forming a substrate with a film formed on the surface of the substrate is coated with a photoresist liquid cell, which is exposed on the substrate. The subsequent substrate to be processed is made up of an amine and developed in addition to the above-mentioned coating unit and developing unit: = resist pattern. And the method of the exposure resistance 2 exposed by the substrate, the method of the light method, and the memory medium including the trace, the coating, and the display. I only know the computer program of this special method. [Prior Art] In the past, the surface coating of I / body wafer (hereinafter referred to as wafer), which is one of the semiconductor manufacturing steps, is applied to, for example, a semi-conductive photoresist film. The smear is made to form a photoresist film, and the '-Λ.Λ... 、, 曰 :: ^ ^ pattern forming device is used to form a member of the earth to form a pattern for use in the wafer: ^The light reflection affects the photoresist pattern of the anti-reflection film. The film of the film is replaced by the film of the gods, and the film is placed on the film. Was formed into a wafer with a photoresist film, exposed to the exposure of the film, and sent to the heating unit in the coating and developing device for exposure treatment, heat treatment, and removed by the photoresist and then baked to make the photoresist pattern The shape is one. m processing 1: ===^^) is divided into corresponding parts, and the photoresist pattern corresponding to the photoresist or the unexposed portion of the PEB, the heating temperature of the wafer and the wafer exposure amount of the exposure processing, For 6 200811616, the ruler of the formed photoresist pattern +dan "鄕π i ^ uses a chemically amplified photoresist to form a photoresist film. Therefore, the force of TM is also diffused by φ, and affects the development process. ^ ^ ^ Degrees for the size of the case. The system is made up of a direct film device; the wafer of the 艿 层 layer film is loaded into the coated size (line width), and the difference is in the area of the sundial.

鱼广Hi像這種不—致之發生狀況關案尺寸不-致,被切A 接受之f彡塑不^ =二此’各區域由於加熱處理及曝光處理 Ϊ;ί:::Ϊ 產生圖案尺寸不一致,此膜厚或密度等 應’可以用光學:=自;同反 J;(tf ^5 處理ΐΐί述底層膜係由重疊幾層膜所構成,並經過許多 f”,於各處理之中,雖然只有微小的不-致,伸是 性質in!該不—致為累積的結果,有時會呈現巨大的i學 性質不形’因此’有時難以預測或抑制此底層膜之光學 曰鬥it為了提高生產量’晶圓大型化—直在進展,但是如果 曰曰η、交侍大型化,則晶圓面内容易產生前述底層膜光 =====種底層膜之不—致造⑽之不,曾二 200811616 ,各晶圓’在形成底層膜之期間 產生翹曲。此翹曲亦由於晶圓大型丄變得處理,可能 圓面内各區域受熱之溫“虞或:=】及造5料 圖案尺寸於面内以光束的能量不同,因而使 改變加 但是,並不 減;條二Γϊ依據“膜之光學性質 此解争傻卜、t ^件而控制光阻圖案之方法 月匕解决像上述面内發生之圖案不一致。 [專利文獻1 ]日本特開2〇01—332491 【發明内容】 (發明欲解決之問題) 精i=r板之面内及被處理基 .(解決問題之方式) ,理布顯影裝置’係轉板表面形成有底層膜之被 成光阻圖案,其特徵在於, 亍人、、、員〜亚形 具備: 加熱單元,具有能藉由多數加熱器對多數加熱區域各自獨立 ,加熱控制之加熱板,藉由前述加熱板,將曝光後、顯影前之被 處理基板予以加熱; 光學測定單元,對於與於塗布光阻液前之被處理基板之前述 各加熱區域對應之區域照射光,並測定各區域之光學性質; 記憶部,記憶著預先求出之被處理基板底層膜之光學性質、 加熱器之加熱溫度,與光阻圖案之線寬的相關關係; 200811616 Ϊ據前述光學測定單元所測定被處理基板之底層 係,圖案線寬之目標値及前述記憶部之相關關 曾域演异前述加熱器之加熱溫度,並依據經過演 一 介之加土/里度,控制加熱板各加熱區域之溫声。 -,二早別述控制部,依據經過測定之翹曲 ΐ,切述加熱板之經過演算之加熱溫度的修正 修正値與前述加熱溫度,控制加熱板之各加熱區域 之被ίϊΐίίϊϊ案形成裝置’係對於基板表面形成有底層膜 ίίΐΐί 3 液並接著將被處理基板予以曝光,再將經 具‘ ·· ?处理基板予以顯影,而形成光阻圖案,其特徵在於, 曝光ί光裝置,能對被處理基板面内11隔為多數之各區域,設定 光學測定單元,對於與光阻膜形成前之祧虛採其缸夕义、+、少 數區域對應之區域内照射光,而測定之則速多 記,部’記憶著預先求出之光學 *光 線覓之相關關係; y兀*里,、尤丨且圖柔 ,制部’依據前述光學測定單元所測定之光學性質 1 i 之相關關係,對各區域演算曝光口 ίίΐϊΐ,之曝光量’控制前述曝光裝置之曝光量。 ’尚具備例!!測定被處理μ之前述各測定區域 算;日ΐ务=3早70 ’前述控制部依據所測定之輕曲量,演 t於各曝先區域中修正前述曝光梦 ^ 依據該曝光修正量及經過演管二:曰里、Λ光仏正置,並 曝光量。 、之則述曝光夏,控制各曝光區域之 …、、夕數加熱區域各自獨立而加熱控制之 9 200811616 加熱板,形成光阻圖案,具備以下步驟: 對於與被處理基板之前述各加熱區域對應之區 測定各區域之光學性質之步驟; …、射先,而 ^ 於岫述光學性質測定後,於底層膜之表面塗布光卩1、@ 成光阻膜之步驟; 土,尤阻液,而形 β 將形成有光阻膜之被處理基板進行曝光之步驟; 依據對於被處理基板之底層膜照射光而得到之 =熱器之加熱溫度與光阻圖案之線寬的相關關係而u 育料,及於前述步驟所測定之被處理基板之光 、=侍之 案之線寬目標値,對於各加熱區域演算加熱溫度之步驟;光阻圖 依據經過演算之加熱溫度,控制各加熱區域之’ 將經過加熱之被處理基板予以顯影之步驟。 ’ ▲ ^法之巾’尚包含例如測定被處理基板之前述各 ,以及依據所測定之魏曲量,演算修正經過;ί 正;度的步驟,將被處理基板予以加熱之步驟了 係依據别述加熱溫度及修正溫度進行。 邓 本發明之光阻圖案形成方法,具備以下步驟: 測定面内的多數區域分別照射先,而 成光=;性質測定後’於底層膜之表面塗布光阻液,而形 依據對於被處理基板之底層膜照射光而得到之光 ,光裝置之曝光量與光阻圖案之線寬的相關係而預絲得 料,以巧前述倾賴定讀處縣板之光學性f,及光阻^ 案之線莧目標値,演算各區域之曝光量之步驟;、 θ 光之經過演算之曝光量對應之曝光量,將被處理基板予以曝 將經過曝光處理之基板予以加熱之步驟,·及 將經過加熱之被處理基板予以顯影之步驟。 10 200811616 ,方法中’尚包含例如測定被處理基板之前述各 翘曲f的步驟,以及依據所測定之_量 姐^之 $;=?正量的她將被處理基板予⑶:异: . 依據别述曝光1及曝光修正量進行; 係 本發明之記憶媒體,使用於用以在例如基板表面 -膜之被處理基板塗布光阻液並形成光阻職之裝置,存 作之電腦程式,其特徵在於,前述電腦程式,以使Ji; 之形成方法或塗布、齡彡方法的方式步驟組成^ 本發明之塗布歸裝置,具備:加鮮 ί=;:力姻域,將曝光後、顯影前 其^。光¥浙早70,對於光_形成前之被處理 面内及被處理基板間’測定與前述加熱區域對應 光|㈣;及記憶部’記憶著贱求出之光學 於i:十:二,之溫度與光阻圖案線寬之相關關係;控制機構,依 單元所測定之光學性f、光阻圖案之線寬目標値 之相關關係,控制各加熱區域,加熱板之各加熱區 域度1藉由像這種構成,能抑制由於被處理基板面内之中底 層膜之光车U貝不一致所造成前述各測定區域中光阻圖案線寬之 :,此,能將被處理基板之面内及被處理基板間的光阻圖 木線寬以高精度控制。因此,能抑制從被處理基板造之 的生產量降低。 又,本發明之光阻圖案形成裝置,具有前述光學測定單元及 加熱且具備記憶著預先求出之光學性質及加熱板溫度與光 阻圖木線見之相關關係之記憶部的控制部,依據前述光學測定單 元所測定之光學性質、光_案之線寬目標値及前述記憶部之相 關i係,對各加熱區域控制加熱板之各加熱區域之溫度,因此, 與W述本發明之塗布顯影裝置同樣地,能抑制各光學性質測定區 域中光阻圖案之線寬不一致,能將被處理基板之面内及被處理基 11 200811616 板間的光阻圖案線寬以高精度控制。 【實施方式】 (據以實施發明之最佳形態) 首先,說明此實施形態之概要。 ^ ΐ基If ^形成有底層膜之被處理基板,於底層H开於例如 定此各區域之被處理基板之勉曲量。性質,並測 尺寸落於目標値内之方式,對 以_使形成之光阻圖案 測定各區域之折射率及晶圓w之以 賴案形成裝置的光 概略平面圖、全體立體圖。圖中:為^麵影裝置之 閉部π從載體Cl將晶圓w取出。H娜1用以通過開 又’收納於載體C1而被搬入塗布顯旦彡驻菩s n w 膜,及在盥矽的^於此塗布顯影裝置成膜之光阻 反射膜,各種膜或塗布顯影裝置中成膜之抗 區塊^2載塊/之後方侧,連接著以框體21包圍周圍之處理 卻系I -夕區塊β2從近前侧起,依序地設有:將加孰、冷 以、、而Ϊ之搁架單元U1、取、⑽及液處理單元 臂A2、A3血^力二早^ 圓1用之基板搬運機構的主搬運 構A2 α/、Ϊ木早兀U1、U2、U3交替排列設置。又,主運送機 體區塊B1看^1^23⑽的空_,該區隔壁23由從載 尤1看去王刖後方向配置之擱架單元U1、U2、U3侧的一面 12 200811616 部,後述例如右側液處理單元U4、U5側之一面側,及左侧之 -面侧的背面部所構成。又,圖中24、25,為溫濕度調節單元馬 具備於各單元使用之處理液之溫度調節裝置或溫濕度調節用之其 ^ 路等。 吕 ^處理單itU4,係在例如圖2所示,_光崎或用 杬反射膜之化學藥品溶液等之化學藥品溶液收納部之上 ^ 成用塗布單元⑽c)(以下稱為「抗反射膜形成單元几 二且二單ί侧」)27以3排,從上至下依序地疊層而it ί 布IS =單=層反射膜形成料26、光阻^ 冷液二光阻液、顯影液各自地供給予晶圓f表面/珉用化子市口口 前述卿單元Ul、U2、U3,係將進行於靜 耶進行之處理的前處理及後處 、,處理早几U4、 成,包含:疏水化處理單^於Μ數排地疊層構 體進行疏水化處理;加埶單元,稱為ρ /二’對晶圓W表面全 〜殊二 1)熱 進行先前技術部分所判之PFR · 刀口熱早兀,稱為P0ST,將顯影後 二所。兄明之ΡΕΒ, 將晶圓W予以冷卻等。進行ΡΕβ之加口/單;及冷,P單元, 又,於攔牟I开丨丨1,4人田 …早凡4的構成如後述。 接近的位置,設有折射率測定單元 ;主運达機構A2此 w搬入,測定折射率作為底層膜 抗反射膜之晶圓 率測定單元5如後述。 予『貝的先學測定單元。折射 連接著曝光裝置B4 + 一面方側,通過界面區塊B3 …、圖3_界面區棟B3之構成。界面 13 200811616 區塊B3,由在處理區塊B2與曝光裝置B4之間以前後地設 第2運送室3B所構成,錢有運送機構^、運ί if Γ备弟1運送室3Α,設有··㈣夠定單元6,測定晶圓w iimitiTRS32 ’用以於運送购3ια與運送機構_ 進行調溫;及緩舰盒34,視運送狀況,使 杆、光U Μ,没有於界面部Β3與運送機構31Β之間進 ϋ3Γ36 ’於台座35載置曝先處理前之晶® w,於台 1 36載置曝光處理後之晶圓w。 σ 接著’對於設於搁架單元m〜U3,進行ΡΕΒ之加 ίί二2照圖4 —面説明。圖4(a)、(b)分別為加敎單元4 内縱剖側面圖。加熱單元4具備框體41,於框體41 設有圓形的加熱板43及冷卻板44。冷卻板44 壁,將所載置的晶圓予以冷卻。於框體&的側 土叹有精由遮板45而開閉自如的運送〇 4R,曰幻1、J 口 46而進入框體41内之主運逆舰匕上6’曰曰囫界在通料送 遞送。圖中,4 4二與倾 仙在冷卻板47與加熱板43之間進行晶圓 = j送,鎖 :冷卻板44,用於使銷47,通過之狹:為工:二, 用以使銷48通過之孔。 1 wa為叹於加熱板43, ηι 羽狀^域R2〜R5 {^域,包圍ρτ只p 1Yuguang Hi like this does not cause the situation to be closed, the size of the case is not - caused, the cut A accepts the f彡 plastic does not ^ = two 'each area due to heat treatment and exposure processing Ϊ; ί::: 产生 produce patterns Inconsistent size, the film thickness or density should be 'optional: = from; the same anti-J; (tf ^5 treatment ΐΐ 底层 the underlying film system consists of overlapping layers of film, and through a lot of f", in each treatment In the middle, although there is only a slight non-induced, the extension is the nature in! This does not result in a cumulative result, sometimes it presents a huge i-sexual nature that is inconsistent 'so it's sometimes difficult to predict or suppress the optical 曰 of this underlying film. In order to increase the throughput of the wafer, the wafer is going straight, but if the 曰曰η and the large-scale processing are carried out, the underlying film light is easily generated in the wafer surface. =====The underlying film is not formed. (10) No, Zeng Er 200811616, each wafer 'curves during the formation of the underlying film. This warpage is also treated by the large wafer ,, and may be heated by various areas in the circular surface. 虞 or := And the size of the material pattern is different in the plane, so that the energy of the beam is different, so that the change is added, and According to the method of "the optical properties of the film, the method of controlling the photoresist pattern, the method of controlling the photoresist pattern is inconsistent with the pattern occurring in the above-mentioned plane. [Patent Document 1] Japan Special Opening 2〇01 - 332 491 [Problem to be solved by the invention] fine i = r in the plane of the plate and the substrate to be treated. (The way to solve the problem), the cloth developing device 'the surface of the rotating plate is formed with the underlying film formed into light The resist pattern is characterized in that: the heating unit, the heating unit, and the heating unit capable of heating and controlling each of the plurality of heating regions by a plurality of heaters, and the heating plate after the exposure And heating the substrate to be processed before development; the optical measuring unit irradiates light to a region corresponding to each of the heating regions of the substrate to be processed before applying the photoresist, and measures optical properties of each region; the memory portion memorizes The optical property of the underlying film of the substrate to be processed, the heating temperature of the heater, and the line width of the photoresist pattern are determined in advance; 200811616 被 according to the measurement by the optical measuring unit The bottom layer of the substrate, the target of the line width of the pattern, and the related relationship of the memory unit, the temperature of the heating of the heater, and the temperature of each heating zone of the heating plate is controlled according to the soiling/reinforcement - The second description of the control unit, based on the measured warpage, the corrected correction of the heating temperature calculated by the heating plate, the heating temperature, and the heating region of the heating plate are controlled by the heating device. Forming an underlying film ίίίί 3 liquid on the surface of the substrate and then exposing the substrate to be processed, and then developing the processed substrate to form a photoresist pattern, which is characterized by an exposure light device capable of The optical measuring unit is set to each of the regions in which the surface of the substrate to be processed is 11 in a large area, and the light is irradiated in a region corresponding to the cylinder, the +, and the minority region before the formation of the photoresist film, and the measurement is performed. Speed multi-record, the Ministry 'memorizes the correlation between the optical* ray ray obtained in advance; y兀*, 尤丨 and 柔柔, the department 'according to the aforementioned optical measurement unit The correlation between the optical properties of a given 1 i, the calculation of the regional exposure opening ίίΐϊΐ, the exposure amount 'controls the exposure amount of the exposure apparatus. ‘There are still examples! Measure the measurement area of the processed μ; calculate the amount of the light amount, and the control unit corrects the exposure dream according to the measured light amount, and corrects the exposure correction amount according to the exposure correction amount and After the performance of the second: 曰, Λ 仏 仏, and exposure. In the summer, the exposure area is controlled, and the heating area of each of the illuminating areas is independently controlled by heating. The 200811716 heating plate forms a photoresist pattern, and has the following steps: corresponding to each of the aforementioned heating regions of the substrate to be processed The step of measuring the optical properties of each region; ..., first, and after the measurement of the optical properties, the step of coating the surface of the underlying film with a stop of light, @ into a photoresist film; soil, especially a liquid barrier, The shape β is a step of exposing the substrate to be processed having the photoresist film; according to the relationship between the heating temperature of the heater and the line width of the photoresist pattern obtained by irradiating the light to the underlying film of the substrate to be processed, And the step of calculating the heating temperature for each heating zone, and the step of controlling the heating temperature according to 'The step of developing the heated substrate to be processed. ' ▲ ^法巾' contains, for example, the above-mentioned each of the substrates to be processed, and the calculation of the correction according to the measured amount of Wei-curve; ί 正; degree of steps, the step of heating the substrate to be processed is based on heating Temperature and corrected temperature are performed. The method for forming a photoresist pattern according to the invention has the following steps: measuring a plurality of regions in the surface to be irradiated first, forming light =; after the property is measured, applying a photoresist to the surface of the underlying film, and forming a substrate according to the substrate to be processed The light obtained by irradiating the light of the underlying film, the relationship between the exposure amount of the optical device and the line width of the photoresist pattern is pre-filamented, and the optical property f and the photoresist of the county plate are determined by the above-mentioned The target line of the case, the step of calculating the exposure amount of each area; the exposure amount corresponding to the exposure amount calculated by θ light, the step of exposing the substrate to be processed to the substrate subjected to the exposure processing, and The step of developing the heated substrate to be processed. 10 200811616 , In the method, 'the method of measuring, for example, the above-mentioned respective warpings f of the substrate to be processed, and according to the measured amount of _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ According to the exposure 1 and the exposure correction amount, the memory medium of the present invention is used in a computer program for coating a photoresist on a substrate to be processed on a substrate surface and forming a photoresist. The invention is characterized in that the computer program is composed of the method of forming the Ji; or the method of coating and ageing, and the coating device of the present invention has the following features: adding ί=;: force marriage domain, after exposure, development Before it ^. Light ¥Zhezao 70, for the light in the processed surface before the formation and between the substrates to be processed 'measured with the heating region corresponding to the light | (four); and the memory portion 'memory 贱 found the optics in i: ten: two, The relationship between the temperature and the line width of the photoresist pattern; the control mechanism controls the heating area according to the optical f measured by the unit and the line width target of the photoresist pattern, and the heating regions of the heating plate are used. According to this configuration, it is possible to suppress the line width of the photoresist pattern in each of the measurement regions due to the inconsistency of the light-vehicle U-shell of the underlying film in the surface of the substrate to be processed: thereby, the in-plane of the substrate to be processed can be The photoresist pattern line width between the substrates to be processed is controlled with high precision. Therefore, it is possible to suppress a decrease in the production amount from the substrate to be processed. Further, the photoresist pattern forming apparatus of the present invention includes the optical measuring unit and a control unit that is heated and has a memory unit that memorizes the optical properties obtained in advance and the relationship between the temperature of the heater board and the photoresist pattern, and The optical properties measured by the optical measuring unit, the line width target of the optical film, and the correlation between the memory portions, and the temperature of each heating region of the heating plate are controlled for each heating region, and therefore, the coating of the present invention is described. Similarly to the developing device, it is possible to suppress the line width of the resist pattern in each optical property measurement region from being inconsistent, and it is possible to control the line width of the resist pattern between the surface of the substrate to be processed and the substrate to be processed 11 200811616 with high precision. [Embodiment] (Best Mode for Carrying Out the Invention) First, an outline of the embodiment will be described. ^ Mercapto If If the substrate to be processed having the underlying film is formed, the underlying layer H is opened, for example, by the amount of distortion of the substrate to be processed in each of the regions. The light is a general plan view and an overall perspective view of the light-shielding pattern formed by measuring the refractive index of each region and the wafer w by the method of measuring the size of the photoresist. In the figure, the wafer w is taken out from the carrier C1 as the closed portion π of the ^shading device. H Na 1 is used to carry the coating onto the carrier C1 and to be carried into the film, and the photoresist film, which is formed by coating the developing device, and various film or coating developing devices. The anti-block of the medium-film formation ^2 carrier block / the rear side, connected to the surrounding of the frame 21, but the I-night block β2 from the near side, sequentially: will be twisted, cold Shelter unit U1, take, (10) and liquid handling unit arm A2, A3 blood force force 2 early ^ The main transport mechanism of the substrate transport mechanism for round 1 A2 α /, Ϊ木早兀 U1, U2 U3 is arranged alternately. Moreover, the main transport body block B1 sees the space _1^23(10), and the partition wall 23 of the area is the side of the shelving unit U1, U2, U3 on the side of the shelf unit U1, U2, U3, which is shown in the rear view of the vehicle. For example, it is constituted by one side of the right side liquid processing unit U4, U5 side, and the back side of the left side side. Further, in Figs. 24 and 25, the temperature and humidity adjusting unit is provided with a temperature adjusting device for the processing liquid used in each unit or a temperature and humidity adjusting unit. The processing unit (10) c) (hereinafter referred to as "anti-reflection film formation" is formed on the chemical solution storage portion such as the chemical solution of the kosaki or the ruthenium reflection film, as shown in Fig. 2, for example. Units two and two single side") 27 in 3 rows, sequentially stacked from top to bottom and it ί IS = single = layer reflective film forming material 26, photoresist ^ cold liquid two photoresist, development Each of the liquids is supplied to the surface of the wafer f, and the above-mentioned singular units U1, U2, and U3 are processed in the pre-treatment and the post-processing of the processing performed by Jingye, and the processing is performed several times later. The method comprises the following steps: hydrophobization treatment is performed on the tantalum layer stacking structure for hydrophobic treatment; and the twisting unit is called ρ / 2' on the surface of the wafer W. PFR · The knife edge is hot early, called P0ST, which will be developed after the second. After the brother, the wafer W is cooled. The ΡΕβ addition/single; and the cold, P unit, and the 牟I 丨丨1,4 person field... The structure of the early 4 is described later. The refractive index measuring unit is provided at a position close to each other, and the wafer transfer rate measuring unit 5 which measures the refractive index as the underlying film antireflection film is described later. Give "Before's first test unit. The refraction is connected to one side of the exposure device B4 + , and is formed by the interface block B3 ... and the interface block B3. Interface 13 200811616 Block B3 is composed of a second transport chamber 3B disposed between the processing block B2 and the exposure device B4, and has a transport mechanism ^, a transport server, and a transport room 3 · (4) The unit 6 is determined, the wafer w iimitiTRS32 'is used for transporting 3ια and the transport mechanism _ to adjust the temperature; and the slow box 34, depending on the transport condition, the rod, the light U Μ, not in the interface part Β 3 The wafer w is placed between the transport mechanism 31A and the wafer w before the exposure treatment on the pedestal 35, and the wafer w after the exposure processing is placed on the stage 136. σ then 'for the shelf units m to U3, the ΡΕΒ 加 ί ί 2 2 is illustrated in Fig. 4 . 4(a) and 4(b) are longitudinal cross-sectional side views of the twisting unit 4, respectively. The heating unit 4 includes a casing 41, and a circular heating plate 43 and a cooling plate 44 are provided in the casing 41. The wall of the cooling plate 44 is cooled to cool the mounted wafer. In the side of the frame & sigh, there is a transport 〇 4R that is opened and closed by the shutter 45, and the 曰 1、 1, J mouth 46 enters the main body of the frame 41 in the frame 41. Delivery is delivered. In the figure, 4 4 2 and the dip in between the cooling plate 47 and the heating plate 43 wafer = j, lock: cooling plate 44, for the pin 47, through the narrow: work: two, to make The pin 48 passes through the hole. 1 wa is sighed by the heating plate 43, ηι feathers ^ domain R2~R5 {^ domain, surrounded by ρτ only p 1

Ri,周圍於周方向平均分割;並且m或Ri,,域 區域形狀對應之加熱器4Ga〜4()e。各加2 R R5 ’埋設有與各 器49而與後述控制部 ^ 〜她,通過控制 傳送之控制仲,㈣^49猎由接收來自於控制部7 制加熱器ΐ^4ϋ 熱l4Qa〜4Ge各自獨立地供電,並且控 之晶1JW中盥加:板度:將圖6所示載置於加熱板43 [、加熱板43區域R1〜R5分別對應、以鍵線表示之各 14 200811616Ri is equally divided in the circumferential direction; and m or Ri, the domain region shape corresponds to the heaters 4Ga to 4()e. Each of the 2 R R5 'embeds with each of the devices 49 and the control unit to be described later, and the control is transmitted by the control unit. (4) ^49 Hunting receives the heaters from the control unit 7 ΐ^4ϋ Heat l4Qa~4Ge The power is supplied independently, and the control crystal 1JW is added: the plate degree is placed on the heating plate 43 as shown in FIG. 6 , and the R1 to R5 of the heating plate 43 are respectively corresponding to each other, and each of the 14 is represented by a key line.

區域P1:P5 ’分別加熱至與加熱器40a〜40e之溫度對應的溫度。 抑一接著’用圖7對於折射率測定單元5加以説明。折射率測定 5’具備框體51,於框體51内設有用以載置晶圓W之台座52, 〇座52通^過主運送機構Α2、Α3與設於框體51之側壁的運送口 3 L進订,圓W遞送。於台座52下方,設有使該台座52繞鉛直 由旋轉之旋轉驅動部,旋轉驅動部設於驅動部阳上,X 驅動部55與旋轉驅動部54 一起使台座52在紙面的表面背面方 向及左右方向移動。 ”於台座52上方,設有對於載置於該台座52之晶圓w照射光 光部56,照光部%例如具備雷射光源56a、偏光子56b、補 貝反(compensation Plate)56c等。又,於台座52上設有受光部 γ,,收從照光部56向晶圓w之底層膜照射並從底層膜反射之 、>义光部57具備檢光子57a、光镇測器57b等。 控制部7控制XY台座55、旋轉驅動部54及照光部56之動作, 例如照光部56依序將光照射於晶圓w面内之各區域ρι〜ρ5。受光 =7,_所照射光之反射光,將與所_反射光對應之控制信 ^專送到控制冑7,控制部7依據此控制信號侧反射光之相位、 振幅等,以演算於晶圓W之各區域?1〜?5的底層膜之折射率。 其次,對於翹曲測定單元6加以説明。圖8(a)為翹曲測定單 縱剖前視圖,如圖所示,翹曲測定單元6具備框體61,於 =61内,設有載置晶圓w之圓形台座肋。於台座敁表面,設 有支持晶圓W背面之多數銷63。 圖8⑹顯示框體61内各部構成之立體圖。圖中64為將台座 、,;旱度方向貝牙之孔’運送機構31A通過設於框體側壁之運 ,口 65而進入框體61内’於晶圓w載置於台座犯時,台座兕 W之間保有空氣,具有防止晶圓w在台座62上滑動的作用。 口 =座62周緣部,以與運送機構31八之形狀對應之方 15 200811616 支持構件 此等雷射變位計68、69對於載置於台座_之期間, 周緣部照射雷射,同時接收盆反射井,、,ΘΒ員之中央部、 位計68、69至晶圓W中央部、、周緣·^ 從該雷射變 對應之控齡_翻㈣部7 所觀距離為 號演算晶圓评之各區域25==所傳送之控制信 者對於控制部7之構成,一面表昭 巧7具備資料匯流排71,於資料匯^'連兄明^控制 鼻之C_及程式存放部73。於 =者各種演 所構成程式74,由命令組成,以每卩73存放者例如軟體 亦即各加熱單元及冷卻單元之中只曰^後迷塗布顯影裝置之作用,The regions P1:P5' are heated to temperatures corresponding to the temperatures of the heaters 40a to 40e, respectively. Next, the refractive index measuring unit 5 will be described with reference to Fig. 7. The refractive index measurement 5' includes a housing 51, and a pedestal 52 on which the wafer W is placed is provided in the housing 51, and the cymbal 52 passes through the main transport mechanism Α2, Α3 and the transport port provided on the side wall of the housing 51. 3 L order, round W delivery. A rotary drive unit for rotating the pedestal 52 in a vertical direction is provided below the pedestal 52, and a rotary drive unit is provided on the drive unit. The X drive unit 55 and the rotary drive unit 54 together make the pedestal 52 in the front and back directions of the paper surface. Move in the left and right direction. Above the pedestal 52, a light irradiation unit 56 for irradiating the wafer w placed on the pedestal 52 is provided, and the illumination unit% includes, for example, a laser light source 56a, a polarizer 56b, a compensation plate 56c, and the like. The light receiving unit γ is provided on the pedestal 52, and the light-receiving unit 56 is irradiated onto the underlying film of the wafer w and reflected from the underlying film. The light-receiving unit 57 includes a photodetector 57a, a photodetector 57b, and the like. The control unit 7 controls the operations of the XY pedestal 55, the rotation driving unit 54, and the illuminating unit 56. For example, the illuminating unit 56 sequentially illuminates the respective areas ρι to ρ5 in the plane of the wafer w. The received light = 7, _ The reflected light is sent to the control unit 7 for the control signal corresponding to the reflected light. The control unit 7 calculates the phase, amplitude, and the like of the reflected light on the control signal side to calculate the respective areas of the wafer W. The refractive index of the underlying film of 5. Next, the warpage measuring unit 6 will be described. Fig. 8(a) is a longitudinal cross-sectional front view of the warpage measurement, and as shown, the warpage measuring unit 6 is provided with a frame 61. In the =61, there is a circular pedestal rib on which the wafer w is placed. On the surface of the pedestal, a majority of the back surface of the wafer W is provided. Fig. 8(6) is a perspective view showing the structure of each part in the frame body 61. In the figure, 64 is a pedestal, and the hole-transporting mechanism 31A of the teeth in the direction of the dryness enters the frame through the opening 65 provided in the side wall of the frame body. In the case where the wafer w is placed on the pedestal, the air is held between the pedestals W, and the wafer w is prevented from sliding on the pedestal 62. The mouth portion 62 is at the peripheral portion of the seat 62 and the shape of the transport mechanism 31 Corresponding party 15 200811616 Supporting members These laser displacement gauges 68, 69 are irradiated with lasers at the peripheral portion during the loading of the pedestal _, while receiving the basin reflection well, the central part of the employee, the position meter 68, 69 to the center of the wafer W, the circumference · ^ from the laser to the corresponding age control _ turn (four) part 7 observation distance for the number of calculations wafer evaluation of each area 25 = = transmitted control letter to the control unit 7 In the configuration, one of the tables is equipped with a data bus 71, and the data is sent to the company's C_ and the program storage unit 73. The various programs are composed of commands 74, which are composed of commands.卩73 depositors such as software, that is, each heating unit and cooling unit Means of action,

翹曲測定等。早兀門之曰曰函w遞廷、底層膜折射率或晶圓W 部7對73,藉由讀出到控制部7,該控制 置之部傳送控制信號,並控制該塗布顯影裝 著記憶部75。此二中=著光 捫L才(與斤射率之相關關係76、加敎哭H針D少i日 ί ’能從例如外部輸入機構輸入,覆寫於 加熱ΐ:3中又’相關關係76、打係顯示對於例如 如其他加熱器、4Qb〜*4、(^亦===其他加熱器雖未圖示,但例 的相關關係被記憶。以;目關關係76、W而細微不同 所示2 : Ξ 01D)與折射率之相關關係76,以例如圖1 〇(a) 之依細有底層膜 …、抑训a之,皿度為與CD目標値對應之溫度,而 16 200811616 進行一連串光阻圖案形成步驟收々t+ 之關係作圖而成。又,前述CD : ^、之斤射率與所形成⑶ 裝置外部之線寬測定單元進行/、疋’係利用設於例如塗布顯影 'lO(b)^®1^ 77 ^ '塗布織獨,使 阻圖案之得到之断製。以⑶進仃ΡΕβ,形成光 阻圖^,求出不受底層膜影響地戦的猎= 反 ^ 亦即,圖10(b),顯示底;膜失右χ一岛二之相關關係。 ,與所軸圖隸, ί ::圍ί ΐ進行ί折射率變化對應分量的加ίί 熱溫度,係指將選擇之加熱溫度加二:: 置後’亦即’後述用語中稱為補償預定量後之溫度。4正 偷ί 5!6*77所不’圖案之CD’依存於折射率及加埶哭之、,产, 例如如令直線X、γ之關係式各以f、g表示,且折射率 1之1 ’ 2,熱器溫度以Z代表,則圖1G(a)可表為y=)羊二 :^z_g(y)-g[f(x)]之關係、式。如果重新輸人細圖宰尺寸之 ,、匕,該目標値記憶於記憶部75,則控制部7依昭 ,相决异舁其目標值對應之折射率基準値及各加敎器仙 二 加熱溫度基準値。又,如前所述,由於記憶著對各加熱 = 器之溫度’以及與折射率之相_係,因此',各1 产、、的之基準値,在各加熱器有微細的差異。 口 ^果加熱器40a之加熱溫度之基準値、與該加敎器4〇 準^應之折射率之基準値,各以α、A表示,且例如於^ 之各區域P1,如前所述實際測定之折射率僅從基準值_ $ CT,對於各區域,演算咖△二折 射率偏離置△α之加熱溫度補償預定量。 、斤 17 200811616 又,於晶圓W之各區域pi,如前所述偵測到龜曲之情來 制部7,從此想曲量,及記憶於記憶部7之_曲量與加熱 = 演算用败依據,述折射率之偏離^ •,之補^預疋sg[fUa)]的修正溫度。如果令輕曲量以p : .此_量7所演算之修正溫度以h( τ )表示,胁 = 如前述加熱溫度之基準値Θ加上g[f(A a取r )之補償值= 力口,40a加熱’以進行晶圓w之加熱⑽)處理。又,於區』4 曲之情形’將補償預定量g[f(Aa) 準 =為補償。又,已經對於加熱器_加以説日 加熱器40b〜40e亦可與加熱器4〇 其他 接著,對於上述塗布顯影裝置之作用,:面參二Τ員。 •:二,11顯示從於晶圓w表面形成 進行^ ^ 等對應之折射率基準値及目f直’演算與該 溫度基準俊。 ’、、、早以之各加熱益伽〜她之加熱 l〇a 有晶圓W之載體C1 ’ -载置於載置台 取出晶圓W。並且,丁曰曰:圓7、f: C1之蓋體分離,以遞送機構A1 座⑽,遞送到主成為摘架單元U1之—排的遞送台 膜之原料,之後,晶圓表王體’攸材料供給噴嘴塗布抗反射 J^〜U3其中之—擱架“ ^機^=送到構成掷架單元 (步驟S1)。 …早凡接又加熱處理而形成抗反射膜 中i® 1搁mu主運送機構_成搁架單元 之力-之_的疏錢處理單 構賴料元U1〜U3其中 搁敎冷卻單元+主運送機構二成擱架早二U1〜W其中之一之 後稭由刖述主運送機構人2搬 18 200811616 入折射率測定單元5,並載置於該台座记。 載置日日圓W之口座52’通過旋轉驅動部 移動至選定位置’並且照光部56 = f XY巧部55, 之底層膜簡光,受光部57 —接_之區域Η 控制部7依據所接受之反射光,演算區^ ^ f晶圓:通過旋轉驅動㈣及XY驅動部5選 亚與測定區域P1之折射率之情形 於晶5^之區域?2、卩3、?4、?^昭鉍止、,,…、尤口丨加對 光,分別演算此等各區域之折射率、(步且空制部7依據反射 構,晶_過主運送機 液(y^S3)。光阻液乾燥後,晶圓 到擱架單元U1〜U3立中之-的知t U )運达 形成光_(步驟S4)的熱早兀,賤定溫度加熱⑽), 們加^成^阻膜後’晶、®/依序地運送到··主運送機構A2(A3)》 二:早=:U3之冷卻單元'^主運送機構人3~>摘架單元U3之遞 ^早=RSH界面區塊B3之運送機構31A,藉由運送機構31A 過曲測定單儿6 ’並載置於台座62。然後,從雷射變位計 68、69將雷射照射於晶圓w之中心部及周緣部,同時台座招旋 ^雷射變位計68、69接受來自於晶圓W之反射光,控制部7依 家此反射光,分別地演算晶圓?之各區域ρι〜ρ5的翹曲量(步驟 S5)。 士遇曲i測疋結束之晶圓W,藉由運送機構3ia於缓衝匣盒料 曰日寸退避後,遞送到運送機構31A+高精度調溫單元33+運送機 構31A今遞送台座TRS32+運送機構3iB+曝光裝置B4之台座 35,之後藉由曝光裝置B4接受曝光處理(步驟邠)。Warpage measurement, etc. After the early 兀 曰曰 、 , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , , Part 75. The second one = the light 扪 L only (the correlation with the punctuality rate 76, the 敎 敎 cry H pin D less i ί ' can be input from, for example, an external input mechanism, overwritten in the heating ΐ: 3 and 'related relationship 76. The display shows, for example, other heaters, 4Qb~*4, (^==== other heaters are not shown, but the correlation of the examples is memorized. The relationship is 76, W is slightly different. 2: Ξ 01D) is related to the refractive index 76, for example, as shown in Fig. 1 〇(a), the underlying film is...the training is a, and the dish is the temperature corresponding to the CD target ,, and 16 200811616 Performing a series of photoresist pattern forming steps to form a relationship of t+. Further, the CD: ^, the chirp rate and the line width measuring unit outside the formed device (3) are performed, for example, by coating. Development 'lO(b)^®1^ 77 ^ 'Coating and weaving, so that the resistance pattern is broken. (3) Entering 仃ΡΕβ, forming a photoresist pattern ^, finding the hunting that is not affected by the underlying film = In other words, Fig. 10(b) shows the relationship between the bottom and the film, and the relationship between the film and the right axis is φ. The temperature of the component should be increased by ίί, which means that the heating temperature to be selected is increased by two:: After the setting, it is called the temperature after the predetermined amount is compensated. 4 is stealing ί 5!6*77 not 'pattern The CD' depends on the refractive index and the entanglement of the crying, for example, if the relationship between the straight line X and γ is expressed by f and g, and the refractive index is 1 '2, and the temperature of the heat is represented by Z, then Fig. 1G(a) can be expressed as the relationship between y=) sheep two: ^z_g(y)-g[f(x)]. If the size is re-inputted, and the target is stored in the memory unit 75, the control unit 7 follows the refractive index reference corresponding to the target value and the heating device of each of the twisters. Temperature reference 値. Further, as described above, since the temperature of each heating device and the phase of the refractive index are memorized, the reference 値 of each of the first products is slightly different between the heaters. The reference 加热 of the heating temperature of the heater 40a and the reference 折射率 of the refractive index of the heater 4a are denoted by α and A, respectively, and for example, in each region P1 of The actually measured refractive index is only a predetermined amount from the reference value _ $ CT for the heating temperature of the ΔΔrefractive index deviation Δα for each region.斤17 200811616 Moreover, in each area pi of the wafer W, the part 7 of the tortoise is detected as described above, and the volume of the volume is calculated, and the volume and heating of the memory unit 7 are calculated. According to the basis of the defeat, the deviation of the refractive index is corrected, and the corrected temperature of the sg[fUa) is compensated. If the amount of light curvature is p: . The corrected temperature calculated by this _ quantity 7 is represented by h( τ ), and the threat = the reference value of the heating temperature 値Θ plus the compensation value of g [f (A a takes r ) = The force port 40a is heated 'to perform heating (10) of the wafer w). In addition, in the case of the zone "4 songs", the predetermined amount g[f(Aa) is assumed to be compensated. Further, the heaters _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ •: 2, 11 shows the formation of the refractive index from the surface of the wafer w, and the corresponding refractive index reference and the calculation of the temperature. ',,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, Moreover, Ding: round, f: C1 cover separation, with the delivery mechanism A1 seat (10), delivered to the main material of the delivery table film that becomes the row of the unloading unit U1, after which, the wafer table king body' The 攸 material supply nozzle is coated with anti-reflection J^~U3, among them, the shelf "^ machine ^= is sent to form the throwing frame unit (step S1). ... the early and then heat treatment forms an anti-reflection film i® 1 resting mu The main transport mechanism _ into the power of the shelving unit - the money-discharging processing unit of the single-layer material U1 ~ U3 where the cooling unit + main transport mechanism two into the shelf two second U1 ~ W after one of the straw The main transport mechanism person 2 moves 18 200811616 into the refractive index measuring unit 5 and is placed on the pedestal. The seat 52' on which the Japanese yen W is placed is moved to the selected position by the rotary drive portion and the illumination unit 56 = f XY In the portion 55, the underlying film is simply lighted, and the light receiving portion 57 is connected to the region. The control portion 7 calculates the region based on the received reflected light. The wafer is: selected by the rotational driving (4) and the XY driving portion 5 The refractive index of P1 is in the region of crystal 5^2, 卩3, ?4, ?^昭铋,,,..., 尤口丨加Light, respectively calculate the refractive index of each of these regions, (step and the air portion 7 according to the reflection structure, crystal_over the main conveyor liquid (y^S3). After the photoresist liquid is dried, the wafer is transferred to the shelf unit U1~ U3 stands in the middle of - knows that U) reaches the heat of the formation of light _ (step S4), and determines the temperature to heat (10)), and after adding the film to the film, 'crystal, ®/ sequentially transported to ·Main transport mechanism A2 (A3)" 2: Early =: U3 cooling unit '^ main transport mechanism person 3~> Unloading unit U3 delivery ^ early = RSH interface block B3 transport mechanism 31A, by transport The mechanism 31A passes the measurement unit 6' and is placed on the pedestal 62. Then, the laser is irradiated from the laser displacement meters 68 and 69 to the center portion and the peripheral portion of the wafer w, while the pedestal is swept. The bit meters 68 and 69 receive the reflected light from the wafer W, and the control unit 7 calculates the amount of warpage of each of the regions ρι to ρ5 of the wafer by the reflected light (step S5). After the wafer W is finished, the transport mechanism 3ia is retracted from the buffer cassette, and then delivered to the transport mechanism 31A + high-precision temperature adjustment unit 33 + transport mechanism 31A. Today delivery pedestal TRS32 + transport mechanism 3iB + exposure B4 means of pedestal 35, after the exposure by the exposure apparatus B4 receiving process (step Bin).

、、、,接受了曝光處理的晶圓W載置於台座36,之後依序地運送到 機構31B今遞送台座TRS32+運送機構31A今處理區塊B2之_ 架單元U3之遞送台座+主運送機構A3,主運送機構A3將晶圓W 19 200811616 =構成擱架單元U1〜U3其中之-之搁架的加熱單元— =如’此時控制部7,依據在晶圓w之區域p «及===5尺寸,標値演算的; 76,及記憶於記憶部75之加熱單元4 射率^目關關係 相關關係77,演算折射率之偏離量α 1 之溫度與⑶之 熱器40a之補償預定量(以代表)。月,^ 所對應之加 關:2==定單控制部7, S712ib)"^' 其演算値△/並將此執行演算式(A — 川,求出 記憶ί 觸軸峨記憶於 預定量之修正溫度里量,====演算f正前述補償 及從先前的CD目標値演管$ Λ #、w:酿度I、丽述補償預定量 :ί:Ι〇Ϊί^ 5 ^ 部7將此經過演算之加熱溫度作為=t;Tt疋。猎此,控制 =4_此設定温度及設iiiiikt/ii49, 的溫度偵測値,控制各加埶哭 之未圖不溫度偵測部 藉由控制部7,將力:熱器^ 圓W從冷卻板44被遞送到加熱板43,曰圓皿^自狄疋溫度’晶 加熱,並進行PEB處理(步驟⑺。阳口诹之各區域P1〜P5被 對於光阻膜之顯影液為可溶性 猎*5其表面供給顯影液,相 之°卩位被洛解,於光阻膜形成選定 200811616 = W依序運送到:主運送機構A3》 進仃POST之搁木早兀犯〜·^其中之一搁架的加 元之 一搁架之冷卻㈣主運送: := 藉由前述遞送機構 上述塗布顯影裝置,具備:折射率測定單元5 底fj的面内各區域P1〜P5之為光學性質的折 射率,加心早兀4,備有加熱板43,設有加埶哭4n 與前述區域P1〜P5對應之各區域R1〜R5各自、^ 二:於 顯影前之晶圓W加熱,並進行pEB處理I =卡、、 折射率、各加熱器之溫度、光阻“之線寬先求出之 阻圖案線寬目標健及記憶部75之前、,先 藉由像這種構成,能抑制由於晶 夂、巴°;或二”度。 膜-致所造成光阻圖案 二二面内之光阻圖案尺寸以高精度控制二此。 此抑制伙曰曰函w所製造之半導體製品之生 市j 口此 又,上述塗布顯影裝置中, 品 P5測定趣曲量,並依據各勉曲量=各區域P1〜 尺寸不-致。 更月匕抑制各區域朽〜朽間之光阻圖案 晶圓W之折射率測定及與苴庫 π 同批内之所有各晶_進行“二f设定,可對於相 設定為對前面的晶,所設域朽〜P5 =後度= 如對於批_之純_域之折 21 200811616 制部7於判定所測定 續晶圓r不重新進行溫度=收容許範圍之情形,對於後 於後續晶圓w各自進行加過容許範圍之情形,對 .於所有晶圓測定折射率之情提構成,與對 又,測定折射率之日士點〇 此杈阿生產置。 於前述實施形態之時點Γ例如成= 且膜以前即可’不限 搬入上述塗布顯影装置:反射膜之前。因此可從 =影裝置,傳送於該站所;貞3成對於該 该所傳送之光學性質之資料 ^心之方式構成’依據 ,祖从丄1 科周整加熱單元4之加熱量。 士装二、. $於人刖述貫把形態之塗布顧影F晉呈古门接 構成之各部分與前述實施形態 置有同樣 為能對於晶圖W之各區域P1〜P5改變也’但疋 成。又,《1 +Y 曝7^里而進订曝光處理地構 ,- ° ’没於此光阻圖案形成裝置之進行PEB的 口 口:、1兀^、刚述加熱單元4為大致同樣地構成。但是,豆加軌 ΪίΪΐΐΪΪ 4 ^ 7 祈射率及翘曲1而加上補償後進行加熱處理者。 控制部8具備記憶部81,此記憶部81與記憶部75之不同點, 例^非記憶為加熱單元4之加熱器40之溫度與⑶之相關關係, 而是記憶曝光量與CD之相關關係,非記憶翹曲量與溫度修正量之 相關關係,而是記憶翹曲量與曝光修正量之相關關係。又,如果 =CD之目標値輸入於記憶部81,則控制部8依據記憶部81所記 之CD與折射率之相關關係,及曝光量與⑶之相關關係,演算 與该CD目標値對應之曝光量之基準値及折射率之基準値。 其次’對於此光阻圖案形成裝置之處理流程,參照圖14加以 口兑明。首先’於晶圓W搬入之前’輸入CD之目標值,演算與該目 22 200811616 標値對應之曝紐之基準做折 被搬入於該裝置,則依照與塗布 壯土準値。之後,如果晶圓切 步驟T1〜T5,進行-連串的處理之步驟〜S5為同樣的 • 依據使用折射率測定單元5而演管、結束後,控制部8 率、記憶於記憶部81之CD與ίί率=& P1〜P5中的各折射 •相關關係,進行依據折射率偏離之關關係及曝光量與CD之 時,使肋曲測定單元6,、演曾I二夂|補償預定量之演算,同 正前述補償預定量的曝光修^^ =區域P1〜P5之鍾曲量之修 光修正量,決定各區域P1〜P5之曝光量依據此補償預定量與曝 然後,如果晶圓W被搬入於曝光梦 依據所決定之曝光量,將各區域P1i以 =該曝光_, 後,晶圓W以與前述實施形態^^光(步驟T6)。曝光 熱單元中接受加熱⑽)處理(步驟徑被運送,於加 T8) 〇 之後接受顯影處理(步驟 依照此光阻圖案形成裝置,由於 ,之光學性質而使曝光量受到_ 布顯影裝置同樣地能抑制由於底層膜之光學性之塗 區域Ρ1〜Ρ5間光阻圖案之尺寸不一致,因此、各 更能抑制光阻圖案之尺寸不一致。以曲里而控制曝光量,因此 . 又,上述塗布顯影裝置及光阻圖案形成梦晋夕杏# 之加熱μ度之補償及曝光量之補償,作是 Μ# ΕΒ ί數等補債。又’亦可將從前述反射率、ί射率或ί光 此膜厚測定裝置所測定之區域Ρ]〜Ρ5之膜厚,Ud,據 加熱溫度及曝光量加上補償。前述膜厚測定單元,、^ 測定膜厚與折射率者,依據所測定之膜厚、折射率其 23 200811616 使控制部控制PEB之加熱溫度或曝光量。 膜^德上ΐΐ施形態m日日圓w麵曲之時點,不限於光阻 _成後、曝光前,於依據折射率 二㈣、尤阻 情形,只要在曝ί進即:此又=光量加上補償之 前,進行翹曲測定,脸曰匕,可於測疋底層膜之折射率 ^ ± '、疋亦可於將日日圓W搬入塗布顯影穿置前谁耔、目,丨 :起曲測定單元6例如可配置於處理 題寻5奘罟由. _ -置、、、工過改雙之一例。此塗布 A3之昔而、v,運祕3能夠接近之位置(主運送機構 面,於哕情;Π单兀6,亦可設於主運送機構A2之背 於4 h开例如以豐層於折射率 亦可為折射麵定單元5設於 早^5^方,置。又, 元6設於主運送機構A2背面之方之背面,_測定單 圖1之塗布顯影裝置中,例如 裝置之外部,藉由_定單元之^ ’⑤於塗布顯影And, the wafer W subjected to the exposure processing is placed on the pedestal 36, and then sequentially transported to the mechanism 31B. The delivery pedestal TRS32 + the transport mechanism 31A, the delivery pedestal unit U3 of the processing block B2, the delivery pedestal + main transport mechanism A3, the main transport mechanism A3 will wafer W 19 200811616 = the heating unit constituting the shelf of the shelf units U1 〜 U3 - = such as 'the control unit 7 at this time, according to the area of the wafer w p « and = ==5 size, standard calculus; 76, and the heating unit 4 stored in the memory unit 4, the rate of incidence, the correlation relationship 77, the temperature of the deviation of the refractive index α 1 and the compensation of the heat exchanger 40a of (3) A predetermined amount (to represent). Month, ^ corresponds to the clearance: 2 == order control unit 7, S712ib) " ^' its calculation 値 △ / and this execution calculus (A - Sichuan, find the memory ί touch axis 峨 in a predetermined amount Corrected temperature, ====calculation f is the aforementioned compensation and the performance from the previous CD target. Λ #, w: Brewing I, Lisa compensation amount: ί:Ι〇Ϊί^ 5 ^ Part 7 The calculated heating temperature is taken as =t;Tt疋. Hunting, control=4_this setting temperature and setting iiiiikt/ii49, temperature detection 値, control each of the 埶 之 之 未 不 不 不 不 不 不 不By the control unit 7, the force: the heater W is delivered from the cooling plate 44 to the heating plate 43, the crucible is heated by the crystal temperature, and subjected to PEB treatment (step (7). P1~P5 are supplied to the developer for the surface of the photoresist by the developer of the photoresist film, and the phase is dissolved. The film formation is selected in 200811616 = W to the main transport mechanism A3. Into the POST, the wood is prematurely committed ~·^ One of the shelves of the Canadian dollar, one of the shelf cooling (four) main transport: := by the aforementioned delivery mechanism The refractive index measuring unit 5 has a refractive index of an optical property in each of the in-plane regions P1 to P5 of the bottom fj, and is provided with a heating plate 43 and is provided with a twisting 4n and the aforementioned region P1. Each of the regions R1 to R5 corresponding to P5 is heated, and the wafer W before development is heated, and pEB processing is performed. I = card, refractive index, temperature of each heater, and photoresist. Before the resist pattern line width target and the memory portion 75, by such a configuration, it is possible to suppress the photoresist in the two sides of the photoresist pattern due to the crystal lattice, the Ba or the second degree. The size of the pattern is controlled with high precision. This suppresses the production of the semiconductor product manufactured by the letter w. In the above coating and developing device, the product P5 measures the amount of the music, and according to the amount of distortion = each The area P1~ is not the same size. The more the moon 匕 匕 匕 〜 〜 〜 〜 朽 朽 朽 朽 朽 朽 朽 朽 朽 朽 朽 朽 朽 朽 朽 折射率 折射率 折射率 折射率 折射率 折射率 折射率 折射率 折射率 折射率 折射率 折射率 折射率 折射率 折射率 折射率For the phase set to the front of the crystal, set the domain to decay ~ P5 = after the degree = as for the batch _ pure _ domain of the fold 21 200811616 The unit 7 determines that the measured wafer r does not re-execute the temperature=allowing range, and applies the allowable range for each subsequent wafer w, and determines the refractive index of all the wafers. In addition, in the case of the above-described embodiment, the point is =, for example, and the film can be previously moved to the above-mentioned coating and developing device: before the reflective film. = shadow device, transmitted to the station; 贞 成 对于 对于 对于 对于 对于 对于 对于 对于 对于 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。士装二二.. 于 刖 把 把 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态 形态Yu Cheng. In addition, "1 +Y is exposed to 7^, and the exposure processing is performed, - ° 'The mouth of the PEB is not used in the photoresist pattern forming device: 1, 兀 ^, and the heating unit 4 is substantially the same Composition. However, the bean is added to the ΪίΪΐΐΪΪ 4 ^ 7 prayer rate and warp 1 plus the compensation is applied to the heat treatment. The control unit 8 includes a memory unit 81. The difference between the memory unit 81 and the memory unit 75 is not related to the correlation between the temperature of the heater 40 of the heating unit 4 and (3), but the correlation between the memory exposure amount and the CD. The relationship between the amount of non-memory warping and the amount of temperature correction, but the correlation between the amount of memory warpage and the amount of exposure correction. Further, if the target value of the CD is input to the storage unit 81, the control unit 8 calculates the correlation between the CD and the refractive index recorded by the storage unit 81, and the correlation between the exposure amount and (3), and the calculation corresponding to the CD target 値. The reference 曝光 of the exposure amount and the reference 折射率 of the refractive index. Next, the processing flow of the photoresist pattern forming apparatus will be described with reference to Fig. 14. First, the target value of the CD is input before the wafer W is moved in. The calculation is carried out on the basis of the exposure of the target corresponding to the target of the 2008, 200816, 16th, and is applied to the device. Thereafter, if the wafer is cut in the steps T1 to T5, the steps -S5 of the series of processing are performed in the same manner. • After the flow is performed by the refractive index measuring unit 5, the control unit 8 is stored in the memory unit 81. CD and ίί == each of the refractions and correlations in P1 to P5, based on the relationship of the deviation of the refractive index and the exposure amount and the CD, the rib measurement unit 6, the actor I The calculation of the quantity, together with the amount of correction of the amount of curvature of the exposure correction area ^1 = P5 of the predetermined compensation amount, determines the exposure amount of each of the areas P1 to P5 according to the compensation predetermined amount and exposure, if the crystal The circle W is carried in the exposure amount determined by the exposure dream, and the area P1i is changed to the exposure_, and then the wafer W is lighted in the above-described embodiment (step T6). The exposure heat unit receives the heating (10)) process (the step is transported, and T8 is added), and then the development process is performed. (Steps according to the photoresist pattern forming device, the exposure amount is affected by the optical developing property. It is possible to suppress the inconsistency in the size of the photoresist pattern between the coating regions Ρ1 to Ρ5 due to the optical properties of the underlying film. Therefore, it is possible to suppress the inconsistency in the size of the photoresist pattern. The exposure amount is controlled by the curve, and thus, the above coating development The device and the photoresist pattern form the compensation of the heating μ degree and the compensation of the exposure amount, which is the 补# ΕΒ 数 等 等 等 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 。 The film thickness of the region Ρ] to Ρ5 measured by the film thickness measuring device, and Ud, is compensated according to the heating temperature and the exposure amount. The film thickness measuring unit, ^ is used to measure the film thickness and the refractive index, and the film is determined according to the film. Thickness and refractive index 23 200811616 The control unit controls the heating temperature or exposure amount of the PEB. The film is not limited to the photoresist _ after the formation, before the exposure, according to the refractive index Two (four) Especially in the case of resistance, as long as the exposure is: this is again = the amount of light plus compensation, the warpage measurement, face 曰匕, can measure the refractive index of the underlying film ^ ± ', 疋 can also be used in the yen W Before the application of the coating and development, whoever, the eye, the 起: the koji measuring unit 6 can be arranged, for example, in the processing of the problem 5 奘罟 _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ v, the location of the secret 3 can be approached (the main transport mechanism surface, in the case of lyrics; Π single 兀 6, can also be set on the back of the main transport mechanism A2 at 4 h, for example, the thickness of the refractive index can also be the refractive surface The fixing unit 5 is disposed at the front side of the main conveying mechanism A2, and is disposed on the back side of the main conveying mechanism A2, in the coating developing device of the measuring unit 1, for example, outside the device, by the _determining unit ^ '5 in coating development

之0)與低層膜之折射率之相關關係,但是 y為測定CD之單元以設於塗布顯影 ' U 設有Ξ杳/定影體區塊B1與處理區塊B2之間, 純』疋£塊36 ’檢查測定區塊那之 構I’測定區塊B6之構成力叫説明。運送 1 線寬查晶圓?表面狀態之表面檢查單元-、 圖案=定;==由^,膜之光阻 」疋圖木線見。搁架單元U7,係 24 200811616 由下而上依序地疊層例如: 旦/ 厚測定單元94、起曲測定單元;圓w之各膜厚之膜 運送機構91具備例如保持曰^射率測定單元5而構成。 單元⑽、U7之各單元、搁架單==、,片,組,能接近搁架 遞送機構A1之間進行日圓w、#、、,之遞达口座TRS,並且可於與 可選擇不會妨礙目又’鎖組之片數可為3片以上, 於此塗布顯影裝置中,首 性質以不同料構成之多H =各,域P1〜P5之光學 裝置,晶圓wT =巧顯影 圖案之線寬,決定前述相咖# 折射率以及於線上測定之 記憶部。以此方式,除了決定目、二且此決定之__記憶於 控制部,與前述實施形態之控制同影裝置之 置之測試用晶圓w,佑床M f早況明。搬入於塗布顯影裝 射率贼單元5句送^= ^遞=~>運送機構91+折 塗布顯影裝置同樣地,带成入於處理區塊B2,與前述 圓W於接受曝光處理之後成$各阻=。接^;測試用晶 目標倍對應之溫度的狀態接受ΡΕΒ ϋ二4〇】f f為與CD之 疋早2今運送機構91讀送機ml,並^返也運 =·=測 所有測試用晶圓w的像這種運逆如要姓 鬼β1 線寬測定單元93、折射率’貝=制部依據從 演算晶圓h夂P! iJl 5各自測疋之線寬、折射率, kl的折域 中相於於前述實施形態之圖中的直線 的5射率與CD之相關關係,並決定之。 Pm 影裝二成品用之前述晶圓*被搬入於塗布顯 率測定單元 後’遞送到處理議^二^二 200811616 ’形成處理、曝光處理。之後,與前述實麵態_地,依據預 先記憶於記憶部之CD目標値、加熱器之溫縣⑶之相關關係及 W^,J1^1 H\® CD ^ i M# ^ « 各£域Pl〜^’於加上補償後之狀g,實施處理。0) is related to the refractive index of the lower layer film, but y is the unit for measuring the CD to be disposed between the coating development portion U and the 定影/fixing body block B1 and the processing block B2, purely 36 'Check the measurement block, the structure I' of the measurement block B6 is called the description. Shipping 1 line wide to check wafers? The surface inspection unit of the surface state -, the pattern = fixed; = = by ^, the photoresist of the film" See the wood line. Shelving unit U7, system 24, 200811616, for example, is stacked in order from bottom to top, for example: denier/thickness measuring unit 94, and traverse measuring unit; and film transport mechanism 91 of each thickness of circle w is provided, for example, to maintain 曰 率 rate measurement The unit 5 is constructed. Units (10), U7 units, shelves single ==,, sheets, groups, can reach the shelf delivery mechanism A1 between the yen w, #,,,,,,,,,,,,,, The number of pieces of the lock group can be three or more. In the coating developing device, the first property is composed of different materials, H = each, the optical devices of the fields P1 to P5, and the wafer wT = the developed pattern The line width determines the refractive index of the aforementioned phase coffee and the memory portion measured on the line. In this way, in addition to the decision, the second decision, and the control of the control unit, the test wafer w of the control unit of the above-described embodiment, the bed Mf is explained earlier. Carrying in the coating and developing exposure rate thief unit 5 sentences send ^ = ^ delivery = ~ > transport mechanism 91 + folding coating and developing device similarly, the tape is formed in the processing block B2, and the aforementioned circle W is subjected to exposure processing $ resistance =. Connected; the test uses the crystal target times corresponding to the state of the temperature to accept ΡΕΒ ϋ 2 4 〇 ff is the same as the CD 2 2 today shipping mechanism 91 read machine ml, and ^ return also === test all test crystal The round w is like this kind of reverse. For example, the surname β1 line width measuring unit 93 and the refractive index 'Bei=the part are based on the line width, refractive index, and kl of each measured from the calculation wafer h夂P! iJl 5 The correlation between the 5's of the straight line in the graph of the foregoing embodiment and the CD is determined. The wafer* to be used in the Pm shadow-finished product is carried in the coating exponential measuring unit, and then delivered to the processing table 2, 200812, 16' formation processing and exposure processing. After that, according to the above-mentioned real state, according to the CD target 预先 pre-memorized in the memory, the correlation between the heater (3) and W^, J1^1 H\® CD ^ i M# ^ « Pl~^' is treated with the added condition g after compensation.

田Λ^/iit81 w接受顯影處理,例如其中—部分晶® W與測試 用曰曰0 W同樣地返回载體區塊M ,表面檢查單元,運送機構91&厚測定單元 、反口W所形成之各種膜厚及晶圓W之表面狀態之後, 返回載體區塊B1。 # w mu’ ^布顯f彡裝置的各種檢鲜元或默裝置係集中 =夂j ,因此,能夠提高於此檢查測定區塊B6 受圖安开性。又,於上述實施形態中’可將例如接 (Ί日^!^/ 理,從處理區塊B2返回載體區塊β1之載體 查測定及^^構91而再度運送到檢 ίΪΪ=,_3内之各單元間,仍能抑制此區塊 B1 Rfi門t S波及到遞送機構A1、運送機構91、亦即,區塊 源的狀能,僅你认太-之1^。又,亦可於關閉處理區塊B2之電 運送到I種巴诗肉則定々區塊Β6及載體區塊β1運轉而將晶圓1 5上i? 内之各種單元,並進行檢查,於此情形,相較 力。、、辦裝置之所有區塊侧啟電源之情形,能夠節省電 度;: 之溫 度 來設定加熱器伽:伽it"抽“查…依據該檢查結果, 26 200811616 【圖式簡單說明】 圖1,示本發明塗布顯影裝置之一平面圖例。 圖2顯示前述塗布顯影裝置之全體立體圖。 =顯示前述塗布顯影裝置之界面區塊之立體圖 圖。圖心⑻顯示設於前述塗布顯影裝置之加熱單元之構成 之構^圖Γ⑴<加熱單兀之加熱板及設於前述加熱板之加熱器 面圖 =^示載置於前述加熱板之晶圓各區域 圖7頌示設於前述塗布顯影裝置之折射率測定g之縱剖侧 單元才ma)/(b)顯示設於前述塗布顯影裝置之晶圓之趣曲測定 圖案線寬、底芦膜述控制部之記憶部所記憶之光阻 驟的流程圖。 处主頒衫衣置,於晶圓形成光阻圖案之步 i 13顯示太(二:土不决定補償量之狀態的説明圖。 構成的構成圖。Π随形成裝置之-例中所設置控制部之 14 兹音 一+一 ^ 之步驟的^«述光_案形絲置,於晶圓形成光阻圖案 頌示本發 圖16顯示本;以二另-例平面圖。 圖17顯子义、+、、么二I”、、員〜衣置之又另一例平面圖。 、㈤〜顯影裝置之檢查測定區塊構成之説明圖。 【主要元件符號說明】 A1遞送機構 27 200811616 A2主運送機構 A3主運送機構 B1載體區塊 B2處理區塊 B3界面區塊(部) B4曝光裝置 B6檢查測定區塊 C1載體 U1搁架單元 U2搁架單元 U3搁架單元 U4液處理單元 U5液處理單元 U6搁架單元 U7擱架單元 W晶圓 3A第1運送室 3B第2運送室 4加熱單元 5折射率測定單元 6翹曲測定單元 7控制部 8控制部 10載體站 10a載置部 11開閉部 21框體 23區隔壁 24溫濕度調節單元 28 200811616 25溫濕度調節單元 26抗反射膜形成單元(BARC) 27光阻塗布單元(C0T) . 28顯影單元 31A運送機構 • 31B運送機構 32遞送台座(TRS) 33高精度調溫單元 34缓衝匣盒 35台座 36台座 40a〜40e加熱器 41框體 42台座 43加熱板 43a孔 44冷卻板 44a狹缝 45遮板 46運送口 47銷 48銷 49控制器 51框體 52台座 53運送口 54旋轉驅動部 55 XY驅動台座(部) 56照光部 29 200811616Tian Hao ^ / iit81 w accepts development processing, for example, where - Partial Crystal ® W returns to carrier block M, surface inspection unit, transport mechanism 91 & thickness measurement unit, reverse port W in the same manner as test 曰曰 0 W After the various film thicknesses and the surface state of the wafer W, the carrier block B1 is returned. # w mu' ^The various types of fresh elements or silent devices in the f彡 device are =夂j, so it is possible to improve the inspection of the test block B6. Further, in the above-described embodiment, for example, the carrier can be returned to the carrier block β1 from the processing block B2, and the carrier can be transported again to the check mark =, _3. Between the units, it is still possible to suppress the B1 Rfi gate t S wave to the delivery mechanism A1, the transport mechanism 91, that is, the shape energy of the block source, and only you recognize the -1^. Closing the electricity of the processing block B2 to the I species of Ba Shi meat, the fixed block Β6 and the carrier block β1 are operated, and the various units in the wafer 1 are inspected, and in this case, the force is compared. ,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, 1. A plan view showing a plan view of a coating and developing device of the present invention. Fig. 2 is a perspective view showing the entire surface of the coating and developing device. Fig. 2 is a perspective view showing an interface block of the coating and developing device. The drawing (8) shows heating provided in the coating and developing device. The structure of the unit ^ Figure Γ (1) < heating single heating And the heater surface provided on the heating plate, the area of the wafer placed on the heating plate, and the vertical section unit of the refractive index measurement g of the coating and developing device are shown in FIG. b) a flow chart showing the line width of the interesting measurement pattern of the wafer coated on the coating and developing device and the photo-resistance stored in the memory portion of the control unit of the bottom film. The main body of the shirt is placed on the wafer. The step i 13 of the photoresist pattern is displayed too (two: an explanation of the state in which the soil does not determine the amount of compensation. The configuration diagram of the configuration. The step of the control unit is set in the example of the forming device - 14 steps of the tone + one ^ ^ « 述 _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ _ - Another plan view of the clothing set. (5) - Description of the structure of the inspection unit of the developing device. [Description of main components] A1 delivery mechanism 27 200811616 A2 main transport mechanism A3 main transport mechanism B1 carrier block B2 processing area Block B3 interface block (part) B4 exposure device B6 check measurement block C1 carrier U1 Unit U2 Shelving unit U3 Shelving unit U4 Liquid processing unit U5 Liquid processing unit U6 Shelving unit U7 Shelving unit W Wafer 3A First transport chamber 3B Second transport chamber 4 Heating unit 5 Refractive index measuring unit 6 Warpage measurement Unit 7 control unit 8 control unit 10 carrier station 10a mounting portion 11 opening and closing portion 21 frame body 23 partition wall 24 temperature and humidity adjusting unit 28 200811616 25 temperature and humidity adjusting unit 26 anti-reflection film forming unit (BARC) 27 photoresist coating unit ( C0T) . 28 developing unit 31A transport mechanism • 31B transport mechanism 32 delivery pedestal (TRS) 33 high-precision temperature control unit 34 buffer cassette 35 pedestal 36 pedestal 40a~40e heater 41 housing 42 pedestal 43 heating plate 43a hole 44 Cooling plate 44a slit 45 shutter 46 transport port 47 pin 48 pin 49 controller 51 frame 52 pedestal 53 transport port 54 rotary drive unit 55 XY drive pedestal (part) 56 illumination unit 29 200811616

56a雷射光源 56b偏光子 56c補償板 57受光部 57a檢光子 57b光偵測器 61框體 62台座 62a 缺口 63銷 64孔 65運送口 66驅動機構 67支持構件 68雷射變位計 69雷射變位計 71資料匯流排 72 CPU 73程式存放部 74程式 75記憶部 76相關關係 77相關關係 81 g己憶部 91運送機構 92表面檢查單元 93線寬測定單元 94膜厚測定單元 3056a laser light source 56b polarizer 56c compensation plate 57 light receiving portion 57a photodetector 57b photodetector 61 frame 62 pedestal 62a notch 63 pin 64 hole 65 transport port 66 drive mechanism 67 support member 68 laser displacement gauge 69 laser Displacement meter 71 data bus 72 CPU 73 program storage unit 74 program 75 memory unit 76 correlation 77 correlation relationship 81 g memory unit 91 transport mechanism 92 surface inspection unit 93 line width measurement unit 94 film thickness measurement unit 30

Claims (1)

200811616 十、申請專利範圍: 塗布顯影裝置,肋在基板表面形财觸社被處理基板 ,並將經鱗光後之被處理基板抑顯影,而形成 . 先阻圖案,其特徵為具備·· ~ μ加熱Γ70,具有能藉由多數加熱歸多數加熱區域各自獨立 ==熱控制之加熱板’藉由該加熱板,將曝光後、顯 被處理基板予以加熱; #夂單70 ’將光照射在與塗布光阻液前之被處理基板之 遠各加熱區域對應的區域,測定各區域之光學性質; ^記憶部,記憶有預先求出之被處理基板之底層膜之光學性 貝、加熱器之加熱溫度,及光阻圖案之線寬的相關關係; =據藉由該光學測定單調定之該被處理基板之 护、? •二予性貝、案之線寬目標値及該記憶部之相關關 iml f加熱區域演算該加熱器之加熱溫度,並依據經過演 加;^、、溫度控制加熱板各加熱區域之溫度。 2勺Λ申=專利翻第1項之塗布歸彡裝置,其巾,該光學性質, 匕δ反射率、折射率、消光係數其中之一。 3.如申請專利範圍第!或2項之塗布顯影裝置,其中,更包含用 ft被基板的該各測定區域之趣曲量的麵曲測定單元;該 過,之龜曲量,演算各加熱區域中之該加熱板 .“二f r溫度的修正値,並依據該修正値及該加熱溫度而 才工制加熱板之各加熱區域之溫度。 t涂案裝ΐ,用以在基板表面形成有底層膜之被處理基 理mi接著將被處理基板曝光,再將經過曝光後之被處 土板予以絲員衫而形成光阻圖案,其特徵為具備: 定曝If置,能對被處理基板之面内區隔為多數之各區域,設 光照射在與光阻膜形成前之被處理基板之 乂夕數&或對應的區域内,而測定各區域之光學性質; 31 200811616 記憶部,記憶著預先求出之光學性質、曝光 之線寬的相關關係; ,、光卩且圖案 控制部,依據藉由該光學測定單元測定之光學性晰一 • 案線寬之目標値及該記憶部之相關關係,而對各^域、ζ曾光阻圖 ,I;並依據經過演算之曝光量,控制該曝光裝置之曝弁=曝光 5·如申請專利範圍第4項之光阻圖案形成裝置,其^ 質,包含反射率、折射率、消光係數其中之一。八,该光學性 6·如申請專利範圍第4或5項之光阻圖案形成穿置,苴 含測定被輕基板之該各測㈣域_曲量她蝴定、中」更^ 控制部,依據經過測定之龜曲量,演算修正各曝 亥 光裝置之曝光量的曝光修正量,並依據該曝光修^ 之該曝光量,控制各曝光區域之曝光量。 及、、、工過〉貝异 ^ -種光聞案之形成方法,可藉由多數加㈣ 加熱控制多數加熱區域之加熱板,於經過曝光後之被蜀立地 成光阻圖案,其特徵為具備以下步驟: 处里基板形 ^將光照射在與被處理基板之該各加熱區域對應之F Θ τ 定各區域光學性質的步驟; Μ品或,而測 於Π亥光车性貝測定後,在底層膜表面 、而 阻膜的步驟; 唧尤丨且,夜,而形成光 將幵/成有光阻膜之被處理基板予以曝光的步驟; 依據下列各項,對於各加熱區域演算埶㈤ 之關於對被處理基板之底層膜照射光而得到:光ί性 驟所=被處理編光學步 驟;1據'4過演算之加熱Μ,對各加熱區域進行加熱“ 將過加熱之被處贿板扣顯f彡的步驟。 驟:口申清專利範圍第7項之光阻圖案之形成方法,更包含如下步 32 200811616 測=被處理基板之該各測定區域之經曲量的步驟;及 溫度SS;輕曲量,將經過演算之加熱溫度予以修-之修正 产進行被< i基板予以力ϋ熱之步驟,係依據該加熱溫度及修正溫 9. 光阻圖案之形成方法,其特徵為具備以下步驟: —夂=被ΐ理基板之底層膜面内之多數區域分別照射光,而測 疋各區域之光學性質的步驟; 川 膜的ϊί光學性㈣定後,在底層縣面塗布絲細形成光阻 板之曝光量的步驟:關於對被處理基 射先传到之光學性質、曝光裝置之曝光量、来阳 圖^,之相關關係預先取得之f料;及 被處理基板之光學性質π及細Μ線寬之目標値所叙 光的=經過演算之曝光量對應之曝光量,將被處理基板予以曝 將經過曝光處理之基板予以加熱的步驟;及 將經過加熱之被處理基板予以顯影的步驟。 10. 如申請專利範圍第9項之光阻圖案之形成方法,更 測^ 皮處理基板之該各測定區域之趣曲量的步驟丨及 正量曲量,將經過演算之曝光量予以修正的曝光修 量被處雜鮮鱗叙步驟,餘據_光量及曝光修正 體’係使用在用於在基板表面形成有底声膜之被 施申請專利顧第了錢之式包含有實 案之形成方法的步驟。 、▲布⑤方法或光關200811616 X. Patent application scope: The coating and developing device, the rib is formed on the surface of the substrate, and the substrate to be processed is subjected to development by a scaled light. The first resistance pattern is characterized by having a ··· μ heating Γ70, having a heating plate capable of being independently controlled by a plurality of heating-specific heating zones == thermal control', by heating the substrate after exposure, the substrate to be processed is heated; #夂单70' illuminates the light The optical properties of each region are measured in a region corresponding to each heating region of the substrate to be processed before the application of the photoresist; ^ Memory portion, which stores the optical property of the underlying film of the substrate to be processed which is obtained in advance, and the heater The relationship between the heating temperature and the line width of the photoresist pattern; = the protection of the substrate to be processed by the optical measurement, the second line, the line width target of the case, and the relevant part of the memory The heating temperature of the heater is calculated by the iml f heating zone, and the temperature of each heating zone of the heating plate is controlled according to the temperature; 2 scoops of the application = patent coating of the first coating device, its towel, the optical properties, 匕δ reflectivity, refractive index, extinction coefficient. 3. If you apply for a patent scope! Or a coating and developing device according to the second aspect, further comprising: a surface curvature measuring unit for measuring the amount of the respective measurement regions of the substrate by the ft; and the amount of the tortuous curvature of the substrate, calculating the heating plate in each heating region. Correction of the second fr temperature, and according to the correction 値 and the heating temperature, the temperature of each heating zone of the heating plate is processed. The t-coating device is used to form the underlying film on the surface of the substrate. Then, the substrate to be processed is exposed, and then the exposed soil plate is formed into a photoresist pattern to form a photoresist pattern, which is characterized in that: the fixed exposure is set, and the in-plane division of the substrate to be processed is a majority. In each region, the optical properties of each region are measured by light irradiation on the number of times of the substrate to be processed before the formation of the photoresist film, or the corresponding region. 31 200811616 The memory portion memorizes the optical properties obtained in advance. And the relationship between the line width of the exposure; the light and the pattern control unit, according to the optical clarity determined by the optical measuring unit, the target of the line width, and the correlation between the memory parts, and area, ζZeng photoresist diagram, I; and according to the calculated exposure amount, control the exposure of the exposure device = exposure 5 · The photoresist pattern forming device according to the fourth application of the patent scope, its quality, including reflectivity, refractive index, One of the extinction coefficients. Eight, the opticalness 6. The photoresist pattern is formed through the fourth or fifth aspect of the patent application, and the measurement includes the measurement of the light substrate. Further, the control unit calculates an exposure correction amount for correcting the exposure amount of each of the exposure devices according to the measured tortuosity amount, and controls the exposure amount of each exposure region in accordance with the exposure amount of the exposure correction. And,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,,, The method has the following steps: a step of: illuminating the optical properties of each region with the F Θ τ corresponding to the respective heating regions of the substrate to be processed; and measuring the product after the measurement a step of filming on the surface of the underlying film, and a step of resisting the film; forming a substrate for exposing the substrate to be processed with a photoresist film by light; and calculating the temperature for each heating region according to the following (5) The method of irradiating light to the underlying film of the substrate to be processed is obtained by: optically smearing = processed optical step; 1 heating according to '4 calculus of heating enthalpy, heating each heating zone. The step of forming a photoresist pattern of the seventh paragraph of the patent application includes the following steps: 3211611616 Measure = the step of the curvature of each measurement area of the substrate to be processed; And temperature SS; light The step of correcting and heating the tempered heating temperature to perform the heat treatment by the substrate is based on the heating temperature and the correction temperature. 9. The method for forming a photoresist pattern is characterized by the following steps: —夂=Step of irradiating light to most areas in the underlying film surface of the substrate to measure the optical properties of each region; 光学ί optical properties of the Sichuan film (4), after coating the filaments on the bottom surface of the county The step of the exposure amount of the board: the material obtained in advance for the optical property first transmitted to the substrate, the exposure amount of the exposure device, and the relationship between the positive and negative images; and the optical properties of the substrate to be processed π and fine The target of the line width is the amount of exposure corresponding to the calculated exposure amount, the step of exposing the substrate to be exposed to the exposed substrate, and the step of developing the heated substrate to be processed. 10. If the method of forming the photoresist pattern of claim 9 is applied, the step of measuring the amount of the interesting amount of the measurement area of the substrate and the amount of the positive amount will be calculated. The exposure amount corrected by the amount of exposure is subjected to a fresh scale step, and the remaining data _ light amount and exposure correction body are used in the application for forming a bottomed sound film on the surface of the substrate. Contains the steps of the method of forming the actual case. ▲ cloth 5 method or light off
TW96113306A 2006-08-24 2007-04-16 Application and developing apparatus, resist pattern forming apparatus, application and developing method, resist pattern forming method, and memory medium TW200811616A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006228258A JP2008053464A (en) 2006-08-24 2006-08-24 Applicator and developer, resist pattern formation apparatus, application and development method, method of forming resist pattern, and storage medium

Publications (2)

Publication Number Publication Date
TW200811616A true TW200811616A (en) 2008-03-01
TWI358010B TWI358010B (en) 2012-02-11

Family

ID=39106777

Family Applications (1)

Application Number Title Priority Date Filing Date
TW96113306A TW200811616A (en) 2006-08-24 2007-04-16 Application and developing apparatus, resist pattern forming apparatus, application and developing method, resist pattern forming method, and memory medium

Country Status (3)

Country Link
JP (1) JP2008053464A (en)
TW (1) TW200811616A (en)
WO (1) WO2008023693A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI645255B (en) * 2014-09-30 2018-12-21 思可林集團股份有限公司 Drawing method
CN111580348A (en) * 2016-02-22 2020-08-25 东京毅力科创株式会社 Substrate processing method, substrate processing apparatus, and computer-readable storage medium
CN111785626A (en) * 2019-04-04 2020-10-16 长鑫存储技术有限公司 Heating method and heating device

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5718809B2 (en) 2008-05-16 2015-05-13 マトソン テクノロジー、インコーポレイテッド Method and apparatus for preventing destruction of workpieces
JP2010192623A (en) * 2009-02-17 2010-09-02 Renesas Electronics Corp Device for manufacturing semiconductor device, method of controlling the same, and program for controlling the same
WO2013109986A1 (en) * 2012-01-19 2013-07-25 Jaiswal Supriya Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
JP6307022B2 (en) * 2014-03-05 2018-04-04 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and recording medium
DE102016211511A1 (en) * 2016-06-27 2017-12-28 Carl Zeiss Smt Gmbh Lighting unit for microlithography
JP6690711B2 (en) * 2016-06-27 2020-04-28 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
JP2020518845A (en) * 2017-05-04 2020-06-25 エーエスエムエル ホールディング エヌ.ブイ. Method, substrate, and apparatus for measuring optical metrology performance
JP7043777B2 (en) * 2017-10-04 2022-03-30 東京エレクトロン株式会社 Coating film forming device
JP7079850B2 (en) * 2018-08-23 2022-06-02 東京エレクトロン株式会社 Board processing method and board processing system
JP7339134B2 (en) * 2019-11-19 2023-09-05 株式会社Screenホールディングス Pattern formation method and semiconductor manufacturing method including the method
CN113391520A (en) * 2021-05-14 2021-09-14 上海华力集成电路制造有限公司 Coating method of photoresist and photoetching method thereof
CN117894719B (en) * 2024-03-14 2024-06-07 合肥晶合集成电路股份有限公司 Wafer heating device, overlay mark and device control method

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02231706A (en) * 1989-03-03 1990-09-13 Nec Corp Reduced projection exposure method
JP2796404B2 (en) * 1989-04-14 1998-09-10 株式会社日立製作所 Exposure method and apparatus, and thin film production control method and apparatus using the same
JPH1055072A (en) * 1996-08-12 1998-02-24 Toshiba Corp Resist pattern forming method and resist pattern forming device
JP3708786B2 (en) * 2000-03-27 2005-10-19 株式会社東芝 Resist pattern forming method and semiconductor manufacturing system
JP2003203837A (en) * 2001-12-28 2003-07-18 Tokyo Electron Ltd Method and apparatus for treating substrate
JP4488867B2 (en) * 2004-11-05 2010-06-23 株式会社東芝 Pattern formation method

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI645255B (en) * 2014-09-30 2018-12-21 思可林集團股份有限公司 Drawing method
CN111580348A (en) * 2016-02-22 2020-08-25 东京毅力科创株式会社 Substrate processing method, substrate processing apparatus, and computer-readable storage medium
TWI710008B (en) * 2016-02-22 2020-11-11 日商東京威力科創股份有限公司 Substrate processing method, substrate processing apparatus and a computer-readable storage medium
CN111785626A (en) * 2019-04-04 2020-10-16 长鑫存储技术有限公司 Heating method and heating device

Also Published As

Publication number Publication date
WO2008023693A1 (en) 2008-02-28
JP2008053464A (en) 2008-03-06
TWI358010B (en) 2012-02-11

Similar Documents

Publication Publication Date Title
TW200811616A (en) Application and developing apparatus, resist pattern forming apparatus, application and developing method, resist pattern forming method, and memory medium
KR101059423B1 (en) Temperature setting method of the heat treatment plate, computer readable recording medium recording the program, and temperature setting device of the heat treatment plate
TWI288957B (en) Method of adhesive thing detection on a heat treatment plate, heat treatment unit, program and recording medium which recorded the program and in which computer reading is possible
NL2010211A (en) Inspection apparatus and method.
TW200409083A (en) Using scatterometry to obtain measurements of in circuit structures
KR102301560B1 (en) Transparent Film Error Correction Patterns in Wafer Geometry Systems
Dignam et al. Optical properties of sub-monolayer molecular films
WO2002082530A2 (en) In-situ thickness measurement for use in semiconductor processing
TW200832584A (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
JPS5834444A (en) Silver halide photosensitive material
CN101206112A (en) Method for measuring nano-scale multilayer film structure
TW569368B (en) Substrate inspecting apparatus, coating and developing apparatus, and substrate inspecting method
TW200823608A (en) Method for in-line monitoring and controlling in heat-treating of resist coated wafers
Elizalde et al. On the determination of the optical constants n (λ) and α (λ) of thin supported films
JP4090986B2 (en) Line width measuring method, substrate processing method, and substrate processing apparatus
Franta et al. Temperature-dependent dispersion model of float zone crystalline silicon
Mahadevapuram et al. Grazing‐incidence transmission small angle X‐ray scattering from thin films of block copolymers
Montecchi et al. Reflectance and transmittance of a slightly inhomogeneous thin film bounded by rough, unparallel interfaces
Hatakeyama et al. Structural change of amorphous cellulose by water‐and heat‐treatment
Tse et al. Bulk etch characteristics of colorless LR 115 SSNTD
Ban et al. Possible extreme ultraviolet mask thermal deformation during exposure
Kharchenko et al. Radiation-Stimulated Transformation of the Reflectance Spectra of Diazoquinone–Novolac Photoresist Films Implanted with Antimony Ions
TW200825637A (en) Substrate measurement method, program, computer-readable recording medium recorded with program, and substrate processing system
Ragulskaya et al. The investigation of [Fe/Cr] multilayer by GISAXS
Maheshwari et al. Probing inhomogeneities in nanoscale organic semiconductor films: Depth profiling using slow positron beam and X-ray reflectivity techniques