TW200537696A - An improved method of programming electrons onto a floating gate of a non-volatile memory cell - Google Patents

An improved method of programming electrons onto a floating gate of a non-volatile memory cell Download PDF

Info

Publication number
TW200537696A
TW200537696A TW094100630A TW94100630A TW200537696A TW 200537696 A TW200537696 A TW 200537696A TW 094100630 A TW094100630 A TW 094100630A TW 94100630 A TW94100630 A TW 94100630A TW 200537696 A TW200537696 A TW 200537696A
Authority
TW
Taiwan
Prior art keywords
region
floating gate
oxide
layer
substrate
Prior art date
Application number
TW094100630A
Other languages
Chinese (zh)
Inventor
Bing Yeh
Sohrab Kianian
Yaw-Wen Hu
Original Assignee
Silicon Storage Tech Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/757,830 external-priority patent/US6891220B2/en
Application filed by Silicon Storage Tech Inc filed Critical Silicon Storage Tech Inc
Publication of TW200537696A publication Critical patent/TW200537696A/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/4234Gate electrodes for transistors with charge trapping gate insulator
    • H01L29/42344Gate electrodes for transistors with charge trapping gate insulator with at least one additional gate, e.g. program gate, erase gate or select gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/788Field effect transistors with field effect produced by an insulated gate with floating gate
    • H01L29/7887Programmable transistors with more than two possible different levels of programmation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)

Abstract

A memory cell has a trench formed into a surface of a semiconductor substrate, and spaced apart source and drain regions with a channel region formed therebetween. The source region is formed underneath the trench, and the channel region includes a first portion extending vertically along a sidewall of the trench and a second portion extending horizontally along the substrate surface. An electrically conductive floating gate is-disposed in the trench adjacent to and insulated from the channel region first portion. An electrically conductive control gate is disposed over and insulated from the channel region second portion. A block of conductive material has at least a lower portion thereof disposed in the trench adjacent to and insulated from the floating gate, and can be electrically connected to the source region. A method of programming the cell comprises the steps of creating an inversion layer in the second portion of the channel. A stream of electrons is generated at the drain region which is adjacent to the inversion layer, and the stream of electrons is passed through the inversion layer, reaching a pinch off point. The electrons are accelerated through the depletion region by the field lines from the floating gate, with little or no scattering, causing the electrons to be accelerated through the insulator, separating the floating gate from the substrate, and injected onto the floating gate.

Description

200537696 九、發明說明: 本案為2003年2月4日申請之No· 10/358623美國專利申 請案的部份後續申請案,故亦要請求下列各案的權益: 2002年4月5曰申請之No· 60/370888美國暫時申請案, 5名稱為高耦合的非揮發性溝槽記憶胞元; 2002年7月2日巾請之ν〇· 60/393696美國暫時申請案, 名稱為非揮發性溝槽記憶胞元與其製造方法;及 2002年7月23日申請之ν〇· 60/398146美國暫時申請 案,名稱為具有嵌埋式浮動閘極之非揮發性溝槽記憶胞元; 1〇 該所有各案的内容併此附送參考。 【屬^明所"屬技:術^員域^】 發明領域 本發明係有關製造浮動閘極記憶胞元之半導體記憶陣 列的自行對準方法。本發明亦有關於上述類型之浮動閘極 15記憶胞元的半導體記憶陣列。 發明背景 使用-序動閘極來儲存電荷之非揮發性半導體記憶胞 元和製設在半導體基材中之後等非揮發性記憶胞元的記憶 20陣列在該領域中係已習知。通常,該等浮動間極記憶胞元 係為分裂閘極式或堆疊閘極式。 製造該等半導體浮動閘極記憶胞元陣列之一大問題係 為其各種構件諸如源極、汲極、㈣閘、浮動卿的對準。 由於半導體整合製程的設計規格減小,致令最小的微影細 200537696 構尺寸縮小,故精確對準的需求變得愈為重要。各種構件 的對準亦會決定半導體產品的製造良率。 自行對準在該領域中係泛所公知。自行對準係指在進 行包含一或多種材料的一或多個梦驟時,能令各特徵細構 5在該製程中自動地互相對準的作法。因此,本發明會使用 自行對準的技術來達成該浮動閘記憶胞元式之半導體記情 陣列的製造。 為使在單一晶圓上之記憶胞元的數目能夠最大化,故 記憶胞元陣列的尺寸必須不斷的縮減。習知該等記憶胞元 10係被成對地製成,而每一對會共用一源極區,且相鄰的胞 元對會共用一汲極區,俾能減少該記憶胞元陣列的尺寸。 但是’該陣列仍有一大區域典型會被保留來供位元線連接 於该等 >及極區。該位元線區域通常會被各記憶胞元對之間 的接觸孔,及各字線間隔的接觸物等所佔用,此十分有賴 15於微影世代’觸點對準和觸點的整體性。而且,充分的空 間會被保留來供設字線電晶體,其尺寸係由微影生成和接 面定寸來設定。 傳統上,浮動閘極會設有一銳緣對向一控制閘來加強 福勒-諾德海姆(Fowler-Nordheim)穿隧,其可在抹消操作中 20用來移除浮動閘極的電子。該銳緣典型係藉不均勻地氧化 或部份蝕刻該浮動閘極材料的頂面而來製成。但是,若兮 浮動閘極的尺寸變得愈小,則該銳緣將會更難以用此方法 來製成。 又其亦有需要來改善記憶胞元陣列的規劃效率。請失 200537696 閱第10A圖,該圖係示出一習知之快閃記憶胞元200的部份 截面圖(如在No· 5029130美國專利案中所揭,其内容併此附 送參考)。當在規劃時,一區域210會被保持在或接近接地 電壓。而區域220會被供以一高電壓譬如+ ι〇ν。一空乏區 5 250則會被設在該區域220周圍。又,因該區域22〇與浮動閘 極230之間會有高電容性耦合,故該浮動閘極23()會“似 有” 一大約+7V的電壓。一比臨界電壓更稍微正性的電 壓,例如+ 1.5V,會被施加於控制閘極240。由於在該控制 閘極240的電壓係小於在該浮動閘極23〇的電壓,故場線將 10會由該浮動閘極流向基材260,再流向該控制閘極240。 ‘ 正電壓化於该控制閘極240時,在該控制閘極240底下 的通道區部份將會“導通,,,即會形成一反相層28〇。由第 一區210流出的電子會靠近該反相層28〇中的基材26〇表 面,直至其達到壓出點295為止。在該點295處,電子會被 15場線所加速。但是,欲將電子“射出,,至浮動閘極230上, 則該第一區210的電子必須與基材26〇中的雜質或晶格缺陷 碰撞(即散射)俾在垂直方向產生動量。又,僅有該等具有充 刀垂向速度而能克服氧化物與矽之間的能障之電子才會被 射出至浮動閘極230上。因此,該反相層28〇中之電子流只 〇有甚少比率(大約千分之一)的電子會具有充分的能量來 被射至浮動閘極230上。所以,在此規劃機制中,散射係為 其一主要重點。 請參閱第1〇B圖,乃示出包含一EPROM胞元300的另一 種習知規劃機制。類似於第1〇a圖中所示的快閃胞元2〇〇, 200537696 其在規劃時,第一區210會被保持在或接近於接地電壓。另 一區220會被供以一高電壓例如+ 12V。一空乏區250則會被 設在該第二區220周圍。一高電壓,例如+ 12V亦會被施於 控制閘極240,此會使該浮動閘極230似如約有+7V。由於 5 該浮動閘極230上的電壓小於該空乏區250上的電壓,故場 線將會由該空乏區250發出伸向浮動閘極230。又,由於該 浮動閘極“似如”約有+7V,故在浮動閘極230底下的通道 區部份將會“導通”,即,會形成一反相層280。電子會由 第一區210流向接近該反相層280的基材260表面處,至其到 10 達壓出點295為止。在該點295處,該等電子會被場線加速。 但是,該等電子實際上會被場線排斥離開該基材260表面。 因此該等電子會以朝下方向來運行。為能將電子射出至該 浮動閘極230上,則第一區210的電子必須與該基材260中的 雜質或晶格瑕疵碰撞來產生動量的垂直分量。只有那些具 15 有足夠的初始垂直速度,而在朝上的垂直方向足以克服: 1)該基材内的排斥場;2)在石夕與氧化物介面的能障;及3) 在氧化物中的排斥場等之電子,才能被射至浮動閘極230 上。但因一開始該等電子實際上係“朝下”運行,故來自 該反相層280中的電子流僅會有比上述快閃胞元200中更少 20 比率的電子(約為數十萬甚至百萬分之一)能被規劃而具有 足夠的能量來被射出至該浮動閘極230上。所以同樣地,在 此規劃機制中,散射亦為其一主要重點。 故本發明之一目的即在創造一種方法來改善一具有可 儲存電子的浮動閘極之非揮發性記憶胞元的規劃效率。 200537696 習知亦可將記憶胞元元件製設在該基材的非平坦部份 上。例如,〇gura的Ν〇· 5780341美國專利中曾揭露許多記情 70件結構,其包含一階狀通道設在該基材表面内。雖該階 狀通道的目的係為將熱電子更有效率地射至浮動間極上, 立孩等記憶裝置的設計仍嫌不足,因其難以最佳化該等圮 、元元件的尺寸和製造,以及有效率和可靠操作所需的 %要操作參數。 @ ' 10 15 故乃需要一種能夠充分縮減胞元尺寸同時具有更佳規 攻率的非揮發性浮動閘式記憶胞元陣列。 t >明内容】 發明概要 在本發财’可仙—記憶胞元來增進簡效率,該 2係設在—第—種導電性的半導體基材内,並有一第一 、第二區分開地設在該基材内而具有第_種導 非共平面的通道區係設在該基材中而介於;生:: 該非共平面通《具有二部份:―[部份及一第 =份。-導電性控洲極具有-部份鄰設於該通道區的 部份並與之絕緣而在其中形成〜反4目層。_浮動問極 二1份鄰設於該通道區的第二部份並以—絕緣體斑之 ^邑’而可在當i正電壓减於該浮動閘極時,造成一 二乏區具有場線導向該浮動間極。該第―㈣鄰接於該反 :層種規劃該元件的方法包含造成該反相層。一電子 ^產生於[區處’料等電子會橫移穿過該反相層。 4電子㈣會被場線加速而通敬乏區,但不會有或甚少 20 200537696 散射,而使電子能加速穿過該絕緣體並被射至該浮動閘極 圖式簡單說明 第1A圖係使用於本發明之方法的第一步驟來製成隔離 5 區之半導體基材的頂視圖。 第1B圖為沿1B-1B線之結構截面圖,示出本發明的初 始製程步驟。 第1C圖為該結構的頂視圖,示出第1B圖之結構的下一 製程步驟,其中會形成隔離區。 10 第1D圖為第1C圖中之結構沿1D-1D線的截面圖,示出 該結構中的隔離溝槽。 第1E圖為第1D圖中之結構的截面圖,示出該等隔離溝 槽内之隔離材料塊的形成。 第1F圖為第1E圖中之結構的截面圖,示出該等隔離區 15 的最終結構。 第2A〜2Q圖為第1F圖中之半導體結構沿2A-2A線的載 面圖,依序示出在製造本發明之浮動閘極記憶胞元的非揮 發性記憶陣列時之半導體結構的各製程步驟。 第3A〜3Q圖為該半導體結構之周邊區的截面圖,依序 20 示出在製造本發明之浮動閘極記憶胞元的非揮發性記憶陣 列時之半導體結構的各製程步驟。 第4圖為本發明之記憶胞元陣列的頂視圖。 第5A〜5J圖為第1F圖中之半導體結構沿2A-2A線的截 面圖,依序示出本發明之半導體結構的第一變化製法實施 10 200537696 例之各步驟。 第6A〜6H圖為第2B圖中之半導體結構的截面圖,依序 示出該半導體結構的第二變化製法實施例之各步驟。 第7A〜7G圖為第3B圖中之半導體結構的隔離區截面 5圖,依序示出該結構的第二變化製法實施例之各步驟。 第8A〜8D圖為第2B圖中之半導體結構的截面圖,依序 示出該結構的第三變化製法實施例之各步驟。 第9A〜9D圖為第3B圖中之半導體結構的隔離區截面 圖’依序示出該結構的第三變化製法實施例之各步驟。 10 第10A〜 1(>B圖分別為習知的快閃及EPROM非揮發性 記憶胞元之局部截面圖及其規劃機制。 第10C圖為本發明之非揮發性記憶胞元的部份截面圖 及其規劃機制。 【實施方式】 15 較佳實施例之詳細說明 本發明的方法係示於第1A至1F圖及第2A至2Q圖中(示 出製造本發明之記憶胞元陣列的步驟), 及第3A至3Q圖中 (示出製造該半導體結構之周邊區的步驟)。該方法係以一半 導體基材10開始,其最好呈p型,而在該領域中係為習知 2〇者。以下所述之各層的厚度將取決於設計規則和製程技術 世代。於此所述者係屬〇·1〇微米㈣製程。但,專業人士 應可瞭解本發明並不限於任何特定的製程技術世代 ,或任 何後述之製程參數的任何特定值。 ^隔離區的形成^ 200537696 第1A至IF圖示出在一基材上製成隔離區的習知STI 法。請參閱第1A圖,該圖示出一半導體基材10(或一半導體 井)的頂視平面圖,其最好呈p型而為該領域中所習知者。 第一和第二材料層12和14會被製設(例如生長或沈積)在該 5基材上。舉例而言,該第一層12可為二氧化矽(以下簡稱 “氧化物”),其係以任何習知技術如氧化法或氧化物沈積 法(例化學蒸汽沈積或CVD法)來製設在該基材1〇上至大約 50〜150A的厚度。摻氮的氧化物或其它的絕緣介電質亦可 被使用。該第二層14可為氮化矽(以下簡稱“氮化物”),其 10最好係以CVD或PECVD法來製設在氧化物層12上至大約 1000〜5000A的厚度。第1B圖則示出該所形成結構的截面 圖。 當該第一和第二層12、14已被製成之後,適當的光阻 材料16會被佈設在氮化物層14上,且一罩蔽步驟會被進行 15來選擇地由某些沿Y或直行方向延伸的區域(紋帶is)上除 去該光阻材料,如第1C圖所示。在該光阻材料16被除去之 處,曝露的氮化物層14和氧化物層12會被使用標準餘刻技 術(即異向性氮化物與氧化物/介電質蝕刻製程)沿紋帶18 來蝕掉,而在該結構中形成溝槽20等。在各紋帶18之間的 20距離W可儘量地縮小至該所用製程之最小的微影細構。祠 一矽蝕刻製程會被用來將溝槽20等向下延伸至該石夕基材1〇 中(例如有大約500 A至數微米的深度),如第1D圖所示。在 光阻16未被除去之處,該氮化物層14和氧化物層丨2皆备被 保留。如此製成的結構係如第1D圖所示,現會形成主動區 12 200537696 22與隔離區24等間次排列。 該結構會被進~步處理來除掉殘留的光阻16。騎,一 隔離材料例如-氧化石夕會被製設在該等溝槽2〇内,其乃先 沈積-厚氧化物層’再以化學機械拋光或㈤植刻法(利用 5氣化物物層14作為餘刻擋止層)來除掉該各溝槽2〇内之氧 化物塊26以外的氧化物層即可製成,如第1E圖所示。剩餘 的氮化物和氧化物層14/12則會被使用氮化物/氧化物蝕 刻法來除去’而留下STI氧化物塊26等沿各隔離區24延伸, 如第1F圖所示。 10 上述之STI隔離法係為製成各隔離區24的較佳方法。但 是,習知的LOCOS隔離法(例如凹陷的L〇c〇s,多晶矽緩衝 的LOCOS等)亦可被使用,其中該等溝槽2〇可以不伸入基材 内’而隔離材料得被設在該等紋帶區18的基材表面上。第 1A〜1F圖示出該基材的記憶胞元陣列區,其中各直行的記 15 憶胞元會被製設在該等主動區22中,而被各隔離區24所分 開。請注意該基材10亦包含至少一周邊區28,其中設有控 制電路可用來操作設在記憶胞元陣列區内的記憶胞元。較 好是,該等隔離塊26在上述的STI或LOCOS製法中皆能同樣 地被製設在該周邊區28内。 20 〜記憶胞元的形成〜 第1F圖所示的結構會如下所述地進一步處理。第2A至 2Q圖乃示出由垂直於第1F圖(沿第1C及1F圖之2A-2A線)所 見之該主動區22内的結構剖視圖,而第3A至3Q圖乃示出周 邊區28中的結構剖視圖,它們係為本發明之方法在該二種 13 200537696 區域内同時進行的各後續步驟。 -絕緣層3G(最好為氧化物或摻氮的氧化物)會先被覆 設在該基材10上,如第2A和3A圖所示。此時,該基材10的 主動區部份可被摻雜,俾相對於周邊區職更佳地獨立控 5制該記憶裝置的胞元陣列部份。此等接雜通常稱為乂植入 或胞元井植入,其係為習知技術。當在此植入時,該周邊 區會被一光阻層所保護,其係被沈積在該整個結構上,而 僅由該基材的記憶胞元陣列區上被除去。 嗣,一厚層的硬罩材料32譬如氮化物會被覆設在氧化 10物層30上(例約有35〇〇 A厚)。許多平行的第二溝槽34會被製 設在氮化物層32上,其可先在該氮化物層32上佈設一光阻 (罩蔽)材料,然後進行一罩蔽步驟來由所擇的平行紋帶區除 去該光阻材料。一異向性氮化物蝕刻會被用來除掉該紋帶 區中的氮化物層32之曝露部份,而留下第二溝槽34等向下 15延伸並曝露出氧化物層30。當該光阻被除去後,一異向性 氧化物#刻會被用來除掉氧化物層3〇的曝露部份,而使第 二溝槽34等向下延伸至該基材1 〇。嗣一石夕的異向性餘刻製 程會被用來使在各主動區22内的第二溝槽34向下延伸至該 基材10中(例如下伸至一大約一細構尺寸的深度,若以 2〇 〇·15μηι的技術則約有500人至數微米)。或者,該光阻亦可 在第二溝槽34被製成於基材1〇内之後才被除去。如此製成 的主動區22和周邊區28分別示於第2Β及3Β圖中。 然後一層絕緣材料36(最好使用熱氧化法或CVD氧化 物製法)會沿著第二溝槽34内之曝露的矽來形成,其會構成 200537696 第二溝槽34的底部和下側壁(例大約6〇〜15〇入厚)。一厚層 的多晶石夕38嗣會覆設在該結構上,且會填滿第二溝槽34。 该多晶石夕層38可被以離子植入法或以一原位摻雜的多晶石夕 製法來被推雜(如η )。如此製成的主動區22和周邊區28係如 5 第2C和3C圖所示。 一多晶石夕#刻法(例如一使用氮化物層32作為蝕刻擋 止層的CMP製法)會被用來除掉多晶矽層%而留下多晶矽 塊40存留在第二溝槽34内。一受控的多晶矽蝕刻嗣會被用 來減低多晶石夕塊40高度,而令該等多晶矽塊4〇的頂部高出 10該基材的表面’但低於隔離區24中之STI氧化物塊26的頂 面’如第2D及3D圖所示。 另一可擇的多晶矽蝕刻嗣會被進行而在多晶矽塊4〇的 頂面上(鄰接第二溝槽側壁處)造成斜坡部份42,如第2Ε圖 所示。一熱氧化法嗣會被用來形成或加強該等斜坡部份42 15的尖端,其會氧化該多晶矽塊40的曝露頂面(而在其上形成 氧化物層46),如第2F圖所示。氧化物間隔物48嗣會沿著第 二溝槽34的側壁來形成。該等間隔物的形成係為習知技 術’乃包括將一材料沈積在一結構的廓面上,再進行一異 向性蝕刻來將該材料由該結構的水平表面上除去,而令其 2〇 大致不變地保留在該結構的垂向表面上(並具有圓曲的頂 部表面)。该寻間隔物48係藉在該結構上沈積氧化物(例大約 300〜1000 Α厚)再施以一異向性氧化物14刻而來製成。該 氧化物蝕刻亦會除去在各第二溝槽34内的氧化物層46之中 央部份。而該周邊區28會不受影響地保留下來。如此形成 15 200537696 的主動區22和周邊區28係如第2G與3G圖所示。 一異向性多晶矽蝕刻結合某些氧化物蝕刻(可沿溝槽 34來調整氧化物的高度)嗣會被進行,其會除掉該多曰^ 矽塊40未被氧化物間隔物48所保護的中央部份,而在各第3 5二溝槽34内留下一對相對的多晶矽塊40a,如第2H圖所示。 一絕緣體沈積及異向性蝕回製程嗣會被用來沿著第二溝槽 34内之多晶矽塊40a的曝露側面製成一絕緣層5〇。該絕緣材 料可為任何的絕緣材料(例如〇N〇即氧化物/氮化物/氧 化物,或其它南介電材料)。最好該絕緣材料係為氧化物, 10俾使該氧化物沈積/蝕刻製程亦能加厚該等間隔物48,且 令各第二溝槽34底部之氧化物層36的曝露部份能被除去而 曝露出該基材10,如第21及31圖所示。 視该基材10為型而定,適當的離子植入可包括 砷、磷、硼及/或銻(且可能退火)將會被遍佈在該基材表面 15上,而在第二溝槽34底部的曝露基材部份形成第一(源極) 區52。該等源極區52會自行對準第二溝槽34,並具有第二 種電性(例如N型)’其係不同於基材的第一種導電性(例如 P型)。該等離子對氫化物層32並無太大作用。如此製成的 主動區22和周邊區28係示於第2J及3J圖中。 20 一多晶矽沈積步驟,再後續一多晶矽CMP蝕刻(利用氣 化物層32作為蝕刻擋止層)會被用來使第二溝槽34内填滿 多晶矽塊54,如第2K圖所示。嗣再進行一氮化物蝕刻來除 掉氮化物層32,並曝露出多晶矽塊40a的頂緣。一隧道氧化 物層56嗣會被以熱氧化法及/或氧化物沈積法來製設在多 16 200537696 晶矽塊40a的曝露頂緣上。此氧化物製造步驟亦會在多晶矽 塊54的曝露頂面上形成一層氧化物兄,並可能加厚該基材 10上的氧化物層30。此時可藉罩蔽主動區22而在周邊區^ 中進行選擇性的乂植入。士口此製成的主動區22和周邊區28 5 係示於第2L和3L圖中。 該氧化物層30可作為主動區中之記憶胞元的閘極氧化 物,以及周邊區中的控制電路。就各裝置而言,該閘極氧 化物的厚度會決定其最大的操作電壓。故,若其需要令某 些控制電路以不同於記憶胞元或該控制電路之其它裝置的 10電壓來操作,則該閘極氧化物30的厚度可在該製程之此時 點來被修正。僅為舉例但非限制地,光阻6〇可被覆設在該 結構上,再以一罩蔽步驟來選擇性地除去該周邊區中的部 份光阻,而曝露出部份的氧化物層3〇。該等曝露的氧化物 層3 0部份可被薄化(例如使用控制蝕刻法),或以一具有所需 15厚度的氧化物層30a來取代(例如藉氧化物钱刻和沈積法), 如第2M、3M圖所示。 在除去光阻60之後,一多晶矽沈積步驟會被用來在該 結構上製成一多晶矽層62(例如大約5〇〇〜3〇〇〇人厚)。嗣光 阻沈積和罩蔽步驟會被用來在周邊區28中的多晶碎層上製 20成光阻塊64,如第2N及3N圖所示。然後一異向性多晶石夕餘 刻會被用來餘掉除了在(周邊區28中之)光阻塊64底下的多 曰曰碎塊66 ’及鄰接於乳化物間隔物48(在主動區22中)之多晶 矽間隔物68等以外的多晶矽層62。適當的離子植入(及退火) 會被用來為其中各裝置製成在基材主動區内的第二(汲極) 17 200537696 區70與在周邊區28内的源極/汲極區72/74等。如此製成的 主動區22和周邊區28係被示於第20和30圖中。 在光阻塊64被除去後,絕緣間隔物76會藉絕緣材料沈 積和異向性蝕刻來製成(例如氮化物或氧化物),並貼抵多晶 5 矽間隔物68、氧化物間隔物48和多晶矽塊66。嗣會進行一 金屬沈積步驟,而將一金屬例如鎢、始、鈦、鎳、鉑或鉬 等沈積在主動區22和周邊區28上。該結構物嗣會被退火, 而使該熱金屬能流動滲入多晶矽間隔物68和多晶矽塊66等 之曝露頂部,俾在其上形成一金屬化多晶矽78(p〇lycide)的 10導電層。’尤積在其餘結構上的金屬會被以金屬姓刻法來除 去。如此製成的主動區22和周邊區28係示於第21>及31>圖中。 絕緣材料80例如BPSG或氧化物嗣會被覆設在該整個 結構上。一罩蔽步驟會被進行來在汲極區7〇/74上界定出蝕 刻區域。在罩蔽區域中的絕緣材料8〇會被選擇地_而造 I5成向下延伸至沒極區70/74的接觸孔。該等接觸孔會被填滿 -導電金屬(如鶴)來形成金屬接觸物82而電連接於沒極區 70/74。汲極線接觸物84/86(如鋁、銅等)會藉罩覆在絕緣材 料8〇上的金屬來添加於主動區Μ和周邊區^上,俾將在各 主動區22巾的所有接觸物82(亦即所㈣汲極區7G)全部連 2〇接在:起,並將在周邊㈣中的眾技極區7鍵接在一 起取後的主動區記憶胞元結構即如第扣圖所示,而最後 的周邊區控制電路結構則示於第3Q圖中。 如弟2Q圖所示,本發明的製法會形成互呈鏡像的各對 記憶胞元’而在該多晶料54的每-邊皆設有-記憶胞 200537696 儿。於每-記憶胞元内,其第一區52和第二區7〇會分別形 成源極區和汲極區(惟專業人士應可知該等源極和汲極在 操作時係可互換)。該多晶碎塊4〇a會構成浮動閘極,而多 日日石夕間^物68會構成控制間極。各記憶胞元的通道區9〇係 幵/成於忒基材的表面部份中而介於源極52和汲極%之間。 每-通道區90皆包含二部份大致呈直角連接在一起,其第 -(垂直)部份92會沿填滿的第二溝槽34之垂向壁延伸,而第 (水平)^伤94會•填滿的第二溝槽34之側壁和汲極區% 延伸。每一對記憶胞元皆會共用一共同的源極區52,其係 10位在填滿的第二溝槽34底下,並會與多晶石夕塊54電連接。 同樣地,各汲極區70亦會共用於不同鏡像組的相鄰記憶胞 元之間。 第4圖為前述結構的頂視圖,其乃示出位元線84與汲極 區7〇之間的連接,以及控制閘極⑽等,它們係連續地形成 才工制(子)線而延伸牙過该等主動區和隔離區24。上述製法 並不會造成延伸穿過隔離區24的源極區52(此可藉深植入 法來容易地達成,或在離子植入之前由該等第二溝槽34的 隔離區部份除掉sm邑緣材料來達成)。但是,多晶石夕塊%其 係與源極區52電接觸)會連續地穿過該等隔離區而鄰接主 2〇動區,並會形成源極線等各將每一排記憶胞元對的所有源 極區52電連接在一起。 該浮動閘極40a係被設在第二溝槽34内,且各浮動閘極 皆會面向一通道區的垂直部份92,一源極區52,及一多晶 矽塊54,並與之絕緣。各浮動閘極4〇a皆包含一頂部伸出該 19 200537696 基材表面上方而終結於一端緣96,其會對向一控制閘極68 並與之絕緣,故能提供一穿過該氧化物層56的福勒_諾德海 姆(Fowler-Nordheim)穿隧路徑。該多晶矽塊54各會沿浮動 閘極40a延伸,並(以氧化物層5〇)與之絕緣,而來增強其中 5的電壓輕合。在任何控制閘極與浮動閘極之間最多只能有 部份垂向重疊’俾使其間過多的電容耦合不會妨礙如後所 述之記憶胞元的操作乃是很重要的。此即是說,若在控制 間極和浮動間極之間有任何垂向重疊,則該控制閘極絕不 月b(沿水平方向)過分延伸而足以(沿垂直方向)完全地重疊 1〇 該浮動閘極。 〜記憶胞元之操作〜 記憶胞元的操作現將被說明。該等記憶胞元的操作和 操作原理亦被揭述於No· 5572054美國專利案中,其内容併 此附达參考,其係有關具有一浮動閘極與一控制閘極之彝 15揮务性§己憶胞元的操作和操作原理,及可控制閘極穿隨的 浮動閘極,和所製成的記憶胞元陣列。 當欲抹消在任一指定主動區22中的所擇記憶胞元時, 一接地電壓會被施加於其源極5〇和汲極7〇。而一高正電魘 (例+7V〜+ 15V)會被施於控制閘極68。在浮動閘極4〇a上的 2〇電子可藉該福勒-諾德海姆穿隧機制來注入隧道,而由淨動 閘極40a的頂端(主要係由端緣96)穿過該氧化物層允,而射 入控制閘68中,俾使該浮動閘極4〇a正性地充電。該穿隧作 用可藉端緣96的尖銳度而來加強。應請注意,由於該各控 制閘極68係呈連續的控制(字)線來延伸穿越該等主動隱和 20 200537696 隔離區,故在各主動區内之一記憶胞元將會同時被“抹 >肖 〇 當一所擇的記憶胞元需要被規劃時,一小電壓(例如0.5 〜2.0V)會被施於其汲極區70。在該MOS結構之臨界電壓附 5 近的正壓電平(大約高於汲極結點70+0.2〜IV)會被施於其 控制閘極68。一正高電壓(例大約5〜10V)會被施於其源極 區52。因該浮動閘極40係高度電容性|馬合於多晶石夕塊54而 其係與源極區52處在相同電位,故該浮動閘極40會“似 如約有+4〜+8V的電位。此將會在該基材1 〇中形成一深 10 空乏區250。又,由於該浮動閘極40的電壓係高於控制閘極 68上的電壓,故場線將會由該浮動閘極4〇發出伸向控制閘 極68,如第10C圖所示。且,因一正電壓被施於該控制閘極 68,故一反相層280會形成於該基材10中。該反相層280會 連接於汲極區70。故在該汲極區70會造成一規劃的電子流 15 (如所公知電流會以相反於該電子流的方向流動)。這些電子 會運行通過該反相層280而到達一壓出點295處。在該壓出 點295時(其係在該空乏區250處或内部),該等電子會被來自 浮動閘極40的場線加速。由第i〇c圖可以看出,因由浮動閘 極40發出的場線會導向控制閘極68,故該等電子僅會沿大 20致相同於場線的方向來加速。當它們被加速而獲得能量 時’具有足夠能量的電子將會穿過絕緣層36,而被注入該 浮動閘極40上。因此,不似習知技術的規劃機制,於該空 乏區250中的電子並不需要散射來朝浮動閘極4〇的大略方 向造成一動量分量。事實上,散射並不妥當,因為其會使 21 200537696 壓出點295的電子實際上會在朝向浮動閘極4〇的方向喪失 動量和能量。故,在本發明的規劃機制中,於空乏區内的 電子會被注入浮動閘極40而幾乎或完全沒有散射。 至於非所擇的記憶胞元,則較低的或接地電位會被施 5於不包含所擇記憶胞元之各記憶胞元行/列中的源極/汲 極區52/70和控制閘極68等。故,只有在所擇之行/列中的 記憶胞元會被規劃。 將電子射出於浮動閘極40a上將會持續進行,直到該浮 動閘極40a上的電荷減少至不能在垂直通道區部份%保持 10咼表面電位來產生熱電子為止。此時,在浮動閘極4(^上的 電子或負電荷將會令由汲極區70流向浮動閘極4〇a的電子 流減少。 最後,要讀取一所擇的記憶胞元時,接地電位會被施 加於其源極區52。一讀取電壓(例如大約〇·5〜2ν)會被施於 15其汲極區70,而大約1至4V(視該裝置的電源電壓而定)會被 施於其控制閘極68。若該浮動閘極4如係帶正電(即會釋出 電子),則該垂直通道區部份92(緊鄰於該浮動閘極4〇a)將會 導通。當該控制閘極68升壓至該讀取電位時,則該水平通 道區部份94(緊鄰於控制閘極68)亦會導通。故,該整個通道 20區90皆會被導通,而使電子能由源極區52流向沒極區%。 如此被感測出的電流即為“1”狀態。 相反地,若該浮動閘極40a係帶負電,則該垂直通道區 部份92將會微弱地導通或完全關閉。即使當該控制問神 汲極區70升壓至該讀取電位時,亦幾乎或完全沒有電流會 22 200537696 流過垂直通道區部份92。在此情況下,該電流相較於“丨,,狀 悲會非常地小,或完全沒有電流。以此方式,該記憶胞元 會被測出係規劃成“〇”狀態。接地電位會被施加於非所擇行 列的源/沒極區52/70和控制閘極68,故只有所擇的記憶胞 5 元能被讀取。 該記憶胞元陣列包含周邊電路,其含有傳統的列址譯 碼電路、行址譯碼電路、感測放大電路、輸出緩衝電路及 輸入緩衝電路等,皆為該領域所習知者。 本發明能提供一種具有縮小尺寸及較佳規劃效率的記 10憶胞元陣列。該記憶胞元尺寸會減少很多,因為其源極區 52係被埋在基材10内,並會自行對準於第二溝槽从,故空 間不會由於微影世代、接觸物對準及接觸物整體性等之限 制而浪費。各浮動閘極4〇a皆具有一下部設在該基材1〇中的 第一溝槽34内’而可在規劃操作時接收穿隧的電子,並能 15在靖取操作時導通該垂直通道區部份92。各浮動閘極40a亦 具有一上部會伸出該基材的第二溝槽外面,並終結於一對 向控制閘極的端線,而可在抹消操作時來供福勒 -諾德海姆 穿隧運作。 規劃效率亦會在本發明的方法中大為增加,因電子會 2〇被無自浮動閘極的場線所加速,且幾乎或完全不會有碰撞 的游離化來使電子喪失動能或能量。在第1GA圖所示之習知 衣置的估計規劃效率(射出電子數相較於總電子數)係約為 1/1000。但是,在本發明中,其規劃效率會增進10倍或甚 至100倍,而幾乎所有的電子皆會被射出至浮動閘極上。 23 200537696 又以本發明,在各浮動閘極40a與對應的源極區52之間 透過該多晶矽塊54(係與源極區52連接)亦會有更強的電壓 耦合。同時,在浮動閘極40a和控制閘極68之間會有較低的 電壓輕合。又,令源極區52和汲極區70垂直及水平地分開, 5將能較容易地最佳化可靠性參數而不會影響胞元尺寸。 〜第一變化實施例〜 第5A至5J圖示出本發明之一用來製造記憶胞元陣列的 ^:化方法’在主動區22中的結構截面圖。此第一變化製法 一開始的結構係如第2 A圖所示。為簡化起見,其與前述第 10 一實施例相同的元件會以相同編號來標示。 該厚氮化物層32(例如約1000〜10000人厚)會被覆設在 氧化物層30上。平行的第二溝槽34等會被設在該氮化物層 3 2中’其係先在该氣化物層3 2上塗佈一光阻(罩蔽)材料,然 後進行一罩蔽步驟來由所擇的平行紋帶區中除掉光阻材料 15而製成。一異向性氮化物蝕刻會被用來除掉該等紋帶區中 之氮化物層32的曝露部份,而留下第二溝槽34等向下延伸 至氧化物層3 0並使之曝露。在該光阻除去後,氧化物間隔 物102會藉氧化物沈積步驟再以氧化物異向性蝕刻步驟來 製設在第二溝槽34内。於第二溝槽底部中央的部份氧化物 20層30亦會在此氧化物餘刻步驟中被除去,而曝露出底下的 基材10。如此製成的結構係示於第5A圖。 一矽異向性蝕刻製程會被用來將在各主動區22中的第 二溝槽34向下延伸至基材1〇内(如以〇·ΐ5μηι的技術可下伸 到大約500 Α至數微米的深度)。在該基材1〇内之第二溝槽 24 200537696 34的覓度會形成各氧化物間隔物102之間的間隔。適當的離 子植入(且可能退火)嗣會進行於該結構的表面,而在該等第 二溝槽34底部的曝露基材部份中形成該等第一(源極)區 52。該等源極區52會自行對準於第二溝槽34,並具有第二 5種^r電性(例如N型),其係不同於該基材的第一種導電性(例 如P型)。該等離子對氮化物層32沒有太大的影響。如此製 成的結構係示於第5B圖中。 嗣氧化物層100會被設在曝露的矽基材1〇上(形成第二 溝槽34的底部和下側壁),其最好係以熱氧化法來製成(例大 10約70〜150入厚)。一厚多晶矽層嗣會被覆設在該結構上, 並填滿第二溝槽34等。一使用氮化物層32作為蝕刻擋止層 的多晶矽CMP蝕刻製程會被用來除掉多晶矽層,只留下各 夕曰曰石夕塊54保留在第二溝槽34内。一受控的多晶石夕姓刻嗣 會被用來將多晶石夕塊54的高度降低至氮化物層32的頂面下 15方。一可擇的氧化物層1〇4嗣會(例如藉熱氧化法)被製設在 多晶矽塊54上。一薄的氮化物層1〇6嗣會被沈積在該結構 上’再以罩敗步驟和氮化物餘刻來除去該氮化物層1 ,但 保留在氧化物層104和多晶矽塊54上的部份。此可藉在該結 構上沈積光阻,再以受控的曝光而使僅有第二溝槽34内的 20光阻保留覆蓋在所沈積的氮化物上來製成。如此製成的結 構係示於第5C圖中。 利用該氮化物層106作為阻罩,一乾式及/或濕式的氧 化物蝕刻會被用來除掉該等氧化物間隔物1〇2。嗣會進行一 熱氧化法製程,而在多晶石夕塊54的曝露侧部和基材的曝露 25 200537696 ^伤上^^氡化物層1Q8。―異向性氧化物似彳會被用來除 掉形成於基材上的氧化物層⑽。如此製成的結構係示於第 5D圖中。 使用氮化物層32和1G6作阻罩,—祕刻會被用來將第 5 一溝槽34内的曝露;^基材㈣,使其下降至與多晶石夕塊54 底部齊平的洙度。另外添加的離子植入(且可能退火)會被用 來擴張第二溝槽34底下的源極區52,如第5E圖所示。 蚋一絕緣層no會被最好被以氡化物的cVDa積法來 製设在第二溝槽側壁上(例如70〜15〇入厚)。一厚多晶矽層 10會被覆5又在该結構上來填滿第二溝槽34,嗣再以一 CMP多 晶矽蝕刻(使用氮化物層32作為蝕刻擋止層)及附加的多晶 矽蝕刻來製成多晶矽塊4〇a等,其頂部係低於隔離區24中的 STI氧化物塊26。斜向蝕刻或氧化法嗣會被用來尖銳化多晶 矽塊40a頂部的端緣96。氧化物沈積和蝕回製程嗣會被用來 15以氧化物U2填滿第二溝槽34的頂部,其會密封多晶矽塊 40a並在第二溝槽34頂部造成氧化物間隔物。如此製成的結 構係示於第5F圖中,其在各第二溝槽内會含有三個多晶矽 塊,而被氧化物所包圍並密封。多晶矽塊54會與源極區52 電接觸並介於一對多晶矽塊40a之間(它們係與源極區52絕 20 緣)〇 一可擇的多晶矽塊54延伸能藉如下方式來進行··先以 受控的氮化物和氧化物蝕刻來除掉氮化物層106和氧化物 104,再以一多晶矽沈積和多晶矽蝕回來完成。一可擇的多 晶石夕钱刻能在一氧化製程被用來在多晶石夕塊54上形成一保 26 200537696 護性的氧化物層丨丨4之前,被用來降低多晶矽塊“的新頂 面,如第5G圖所不。—氮化物钱刻嗣會被用來除掉氣化物 層32。然後一文控的氧化物姓刻會被用來使曝露的氧化物 陷縮大約10至數百A,再後續進行一熱氧化製程來重整氧 5化物層30和114,並在包圍多晶石夕40a頂部的氧化物中造成 一凹部。如此造成的結構係示於第5H圖中。 一多晶矽沈積和異向性多晶矽蝕刻會被用來製成鄰接 於氧化物間隔物112的多晶石夕間隔物68。適當的離子植入 (及退火)會被用來形成基材中的第二(汲極)區。絕緣間隔 10物76嗣可藉絕緣材料沈積和異向性蚀刻(例如氮化物或氧 化物)來製成,並會靠抵多晶矽間隔物68。一金屬沈積步驟 嗣會被進行,俾將一金屬例如鎢、鈷、鈦、鎳、鉑或钥等 沈積在該結構上’其嗣會被退火而使熱金屬流動滲入多晶 矽間隔物68的曝露頂部來在其上形成多晶矽金屬化物78。 15 沈積在其餘結構物上的其餘金屬會被以一金屬餘刻程序來 除去。如此製成的結構係示於第51圖中。 絕緣材料80、金屬接觸物82、和汲極線接觸物84等會 如第2Q圖所示地來製成,而形成第5J圖所示的最終結構。 本實施例的優點係可容易製成實心的源極線多晶矽塊54 20 等,並使它們與源極區52等電接觸。又,利用多晶矽塊54 來分開嗣後製成的浮動閘極多晶矽塊4〇a等,將會令其更容 易防止該等浮動閘極之間的短路。 〜第二變化實施例〜 第6A〜6G圖及第7A〜7G圖乃示出本發明之用來製造 27 200537696 記憶胞元陣列的第二變化方法。此第二變化製法係開始於 第2B圖與第3B圖所示的結構,但不必製成氮化物層32底下 的氧化物層30,因該氧化物層30在本實施例中係為可擇 的。在如第2C圖所示地製成絕緣材料36之後,離子植入(且 5可能退火)製程會被用來在第二溝槽34底部的曝露基材部 份中形成第一(源極)區52。一薄的多晶矽層118嗣會被覆設 在該結構上,如第6八及7八圖所示。該多晶矽層n8能以離 子植入或一原位製法來被掺雜(例如n+)。該多晶石夕層118的 厚度最好約為50〜500A,其會決定最終之記憶胞元裝置的 1〇 浮動閘極之實際厚度。 氧化物會被覆設在該結構上,再加以平坦化蝕刻(例如 使用鼠化物層32上的部份多晶石夕層118作為|虫刻擋止層的 CMP餘刻),而以氧化物塊12〇填滿第二溝槽34。嗣會進行 一多晶矽蝕刻來除去該多晶矽層118的曝露部份(位在氮化 15物層32上的部份)。嗣會以氧化物蝕刻來使該等氧化物塊 120向下凹陷至與留在隔離區24之STI塊26上的部份多晶矽 層U8齊平(例如使用在STI塊26上之非主動區中的部份多 晶石夕層118作為氧化物钱刻撞止層Η 口此製成的主動區和 周邊區結構係示於第6Β與7Β圖中。 - a睛庄意該二設在二不同廓形水平處的不同多晶矽層 Μ ’會在上述之氧化物㈣、多晶秒_、氧化 刻如中被用來__止層。具言之,如第从圖所示, °亥夕晶石夕層118具有第一部份119a設在氮化物層32上的溝 槽34外部。第6H圖係相同於第6A圖的溝槽34視圖,但是在 28 200537696 隔離區24中而非在主動區22中。如第6H圖所示,該多晶石夕 層118具有一第二部份119b設在ST1塊26上。故,多晶石夕層 部份119a會比119b設在較高的結構水平上。為在主動區中 製成氧化物塊120,故第一氧化物蝕刻會使用多晶矽層部份 5 119a作為蝕刻擋止層來進行,而均一地填滿該主動區22和 隔離區24中的第二溝槽34。後續的氧化物姓刻會使用多晶 矽層部份11%作為蝕刻擋止層來設定該主動區中之氧化物 塊120的適當水平,並完全曝露出隔離區24内的多晶石夕層 118 〇 10 嗣一多晶矽蝕刻會被用來除去多晶矽層118的曝露部 伤(即沿主動區中之第二溝槽34的頂部,而在隔離區24的 STI塊26上方)。嗣會進行一氧化程序,而在多晶矽層118的 曝露端部上形成氧化物塊122等。介電間隔物124例如氧化 物嗣會藉氧化物沈積和蝕回來設在第二溝槽34内部,而覆 15蓋氧化物塊122上方並部份地覆蓋氧化物塊120,如第6C圖 所示。另一氧化物蝕刻嗣會被用來除去氧化物塊12〇之曝露 的中央部份(即在間隔物124之間,其會被該氧化物蝕刻來 減低南度),而曝露出在第二溝槽34中央的多晶矽層118。 一多晶矽蝕刻及一氧化物蝕刻會接續來除掉在第二溝槽34 2〇底部中央之多晶矽層118和氧化物層36的曝露部份,而曝露 出W份的基材。如此製成的結構係示於第及7d圖中。 介電間隔物125嗣會藉沈積氮化物(或氧化物)於該結構 上,再進行一異向性的氮化物蝕刻而來形成於第二溝槽34 内邛。该等第二溝槽34嗣會被使用一多晶矽沈積和CMP蝕 29 200537696 回製程(用氮化物層32作為钱刻擋止層)來填滿多晶矽塊 54,如第6E圖所示。氮化物層32會使用氮化物蝕刻來由主 動區22、隔離區24和周邊區28除去。嗣隧道氧化物層56會 被以熱氧化法及/或氧化物沈積來被形成於多晶矽層118 5的曝露頂緣上。由於氧化物層32並未在此製程中較先被形 成,故氧化物層56亦會延伸在基材10的曝露部份上。此氧 化物形成步驟亦會在多晶矽塊54的曝露頂面上形成氧化物 層58。可擇的%植入亦可在此時藉罩蔽主動區22而在周邊 區28内進行。如此製成的主動區22和周邊區28係示於第6F 10 及7F圖中。 如鈾於第2M圖至第2Q圖所述的其餘處理步驟等將會 在第6F及7F圖所示的結構上來進行,而製成最終的主動區 記憶胞tl結構如第6G圖所示,及最終的周邊區控制電路結 構如第7G圖所示。 15 如第6G圖中所不’ L形的多晶%層118會構成各記憶胞 元的浮動間極。各浮動閘極118皆包含_對正交的細長部份 118a/l 18b等在它們的相鄰端接合在一起。浮動閘極部份 ⑽會沿第二溝槽34的基材側壁延伸而與之絕緣,並有一 紐118设伸至基材表面上方。浮動閘極部份職則會沿 2〇第一溝槽34的底部基材延伸而與之絕緣(即設在源極 區52 上並與之絕緣)。該控制閘極間隔物6 8具有一第一部份側向 鄰接並絕緣於該浮動閘極頂段118c。及一第二部份設在該 頂段服上方並與之絕緣。該頂⑽具有一末端終結於尖 細的端緣96,其會對向並絕緣於控制閉極仰,故可在該浮 30 200537696 動閘極118與控制閘極68之間形成一可供福勒-諾德海姆穿 隧的路徑。 本發明的第二變化實施例會提供一記憶胞元陣列,其 能減少尺寸並具有較佳的規劃效率。記憶胞元尺寸會大為 5縮減,因為源極區52係被埋入基材10内部,並自行對準於 溝槽34,故其空間不會由於微影世代、接觸物對準、及接 觸物整體性等之限制而浪費。規劃效率則可藉將通道區9〇 的水平部份“瞄準,,於該浮動閘極118而大為加強。本發明 的L形浮動閘極構造會具有許多優點。因為該等浮動閘極部 10份llSa/llSb係由一薄層的多晶石夕材料製成,其頂梢較窄故 能加強對控制閘極68的福勒_諾德海姆穿隧。其不需用大規 模的熱氧化步驟來製成尖緣以加強穿隧。在水平浮動閘極 部份118b和源極區52附近(僅由薄氧化物層%所分開),各浮 動閘極118與對應的源極區52亦會有一增強的它壓相合 15率。由於浮動間極心頂段U8c的浮動閘極頂端並 氧化物製法來製成,而係藉沈積一薄層的多晶石夕來製成, ,可用較重摻雜的多晶矽來防止操作時的多晶矽空乏問 題+而^,令源極區52和汲極區7Q垂直及水平地_麟 更合易取佳化可靠性參數,而不會影響胞元尺寸。 ⑴θ由本實施例可知,在浮動閘極118與源極區52之間的電 壓耗^充分足夠,因此與多晶石夕塊54的額外電塵麵合並 不:疋需要。本實施例的多晶石夕塊54主要是用來將在各列 己U月已7L對中的源極區52電連接在一起。因此,多晶石夕塊 54將能在本實施例中被省略,只要有類似於接觸物82的電 31 200537696 接觸物被没成下伸至各源極區52即可。請注意該各多晶矽 塊54在穿越該等隔離區時必須與該基材絕緣,俾免與該基 材造成短路。此可藉將該等隔離區中的§11塊26的深度製得 比第一溝槽34的底部更深,或者令該等§11塊26的材料確實 5能比用來形成氧化物塊12〇的材料蝕刻得更慢而來達成。 〜第三變化實施例〜 第8A〜8D圖及第9A〜9D圖示出本發明之用來製造記 憶胞兀陣列的第三種變化方法。此第三變化製法係以第2B 及3B圖所不的結構開始。在如第2〇:圖所述地製成絕緣材料 10 36之後,離子植入(且可能退火)製程會被用來在第二溝槽34 底部的曝露基材部份中製成第一(源極)區52。多晶矽間隔物 126嗣會被變在第二溝槽34内,其係先將一層多晶矽覆設在 該基材上’再以一異向性多晶矽蝕刻除掉該等間隔物126以 外的多晶矽層而來製成,如第8A及9A圖所示。該等多晶矽 15間隔物的高度最好不大於隔離區24中的STI塊26(例利用非 主動區中的STI塊26作為蝕刻擋止層),此將可確保所有的 多晶矽皆能由該等隔離區除去。 氧化物會被覆設在第8A/9A圖所示的結構上,再施以平 坦化氧化物蝕刻(例如使用氮化物層32作為蝕刻擋止層 20 CMP蝕刻),來使第二溝槽34填滿氧化物塊128。嗣一氧化 物蝕刻法會被用來使氧化物塊128向下凹陷至與多晶矽間 隔物126的頂面齊平(例如使用多晶矽間隔物126作為氧化 物蝕刻擋止層)。介電間隔物130例如氧化物,嗣會經由氧 化物沈積法和蝕回,而被製設在第二溝槽34内部和多晶矽 32 200537696 間隔物126上,如第8B圖所示。嗣另一氧化物蝕刻會被用來 除掉氧化物塊128和氧化物層36之曝露的中央部份(在該等 間隔物130之間,其會被此氧化物#刻減低高度),而曝露 出部份基材。如此製成的結構係如第8C/9C圖所示。 如前於第2K至2Q圖中所述的其餘處理步驟嗣會被進 行於第8C和9C圖中所示的結構上,而造成一最終的主動區 記憶胞元結構如第8D圖所示,及最終的周邊區控制電路結 構如第9D圖所示。在本實施例中,多晶石夕間隔物126會構成 夺動閘極’其係透過氧化物56而與控制閘極68絕緣。藉著 10 15 20 將浮動閘極製成間隔物,其處理步驟的數目及/或複雜性 將會減少。該等浮動閘極間隔物126各會終結於—尖銳端緣 96 ’其係直接對向並絕緣於控制_,故能在浮動閘極以 與控制閘極68之間形成—可供福勒·諾德㈣卜 勺人應請瞭解本發明並不限於上述及所示各實施例,而可 匕3任何所有㈣”請專職目⑽ 溝槽_亦可具有任何伸入該基材内的終結^ 如圖中所示的長矩形。又,雖上述方 $僅 雜的多晶賴形成記憶胞元的導電材料苗=適當摻 可瞭解在本說明書和中請專利範圍中所述“/、人士應 才曰月匕夠用來製成非揮發性記憶胞元之丰 曰曰夕係 材料。此外,任何適♦的0t 千的任何適當導電 ^ 週田的絶緣體亦可用來取伙一 虱化矽。而且,任何蝕刻性質不同於二^ 一氧化矽或 體)和多晶梦(或任何導體)的適當材料^發(或任何絶緣 石夕。又,由申請專利範圍可知 右0用來取代氮化 非所有的料步驟皆必 33 200537696 須完全按照所述的順序來進行,而是可依任何能夠妥當地 製成本發明之記憶胞元的順序。此外,上述之發明係被示 出製設在一均勻摻雜的基材内,但如習知且本發明亦可採 行,將該等記憶胞元元件製設在該基材的井區内,該等井 5 區係被摻雜而與該基材的其它部份具有不同的導電類型 者。最後,單層的絕緣或導電材料係可被製成多層的該等 材料,反之亦然。 L圖式簡單說明3 • 第1A圖係使用於本發明之方法的第一步驟來製成隔離 10 區之半導體基材的頂視圖。 第1B圖為沿1B-1B線之結構截面圖,示出本發明的初 始製程步驟。 第1C圖為該結構的頂視圖,示出第1B圖之結構的下一 製程步驟,其中會形成隔離區。 15 第1D圖為第1C圖中之結構沿1D-1D線的截面圖,示出 該結構中的隔離溝槽。 ® 第1E圖為第ID圖中之結構的截面圖,示出該等隔離溝 槽内之隔離材料塊的形成。 第1F圖為第1E圖中之結構的截面圖,示出該等隔離區 20 的最終結構。 第2 A〜2Q圖為第1F圖中之半導體結構沿2A-2A線的截 面圖,依序示出在製造本發明之浮動閘極記憶胞元的非揮 發性記憶陣列時之半導體結構的各製程步驟。 第3A〜3Q圖為該半導體結構之周邊區的截面圖,依序 34 200537696 示出在製造本發明之浮動閘極記憶胞元的非揮發性記憶陣 列時之半導體結構的各製程步驟。 第4圖為本發明之記憶胞元陣列的頂視圖。 第5A〜5J圖為第1F圖中之半導體結構沿2A-2A線的截 5 面圖,依序示出本發明之半導體結構的第一變化製法實施 例之各步驟。 第6A〜6H圖為第2B圖中之半導體結構的截面圖,依序 示出該半導體結構的第二變化製法實施例之各步驟。 • 第7A〜7G圖為第3B圖中之半導體結構的隔離區截面 10 圖,依序示出該結構的第二變化製法實施例之各步驟。 第8A〜8D圖為第2B圖中之半導體結構的截面圖,依序 示出該結構的第三變化製法實施例之各步驟。 第9A〜9D圖為第3B圖中之半導體結構的隔離區截面 圖,依序示出該結構的第三變化製法實施例之各步驟。 15 第10A〜10B圖分別為習知的快閃及EPROM非揮發性 記憶胞元之局部截面圖及其規劃機制。 ® 第10C圖為本發明之非揮發性記憶胞元的部份截面圖 及其規劃機制。 【主要元件符號說明】 10…半導體基材 12,100,104,108,112,114···氧化物層 14,106…氮化物層 16,60···光阻 18…紋帶 35 200537696 20…溝槽 22…主動區 24···隔離區 26…氧化物塊 28…周邊區 30,30a…絕緣層(氧化物) 32…硬罩(氮化物)200537696 IX. Description of the invention: This case is part of the follow-up application of US Patent Application No. 10/358623 filed on February 4, 2003. Therefore, the rights of the following cases must also be requested: No. 60/370888 US provisional application, 5 is named highly coupled non-volatile trench memory cell; July 2, 2002, ν 60 · 393696 US provisional application, named as non-volatile Trench memory cell and manufacturing method thereof; and ν 60 · 398146 US provisional application filed on July 23, 2002, named as a non-volatile trench memory cell with embedded floating gate; 1 The contents of all these cases are hereby incorporated by reference. [Belonging to the Institute of Technology] Technical Field: The invention relates to a method for self-alignment of a semiconductor memory array for manufacturing floating gate memory cells. The present invention also relates to a semiconductor memory array having the above-mentioned types of floating gate 15 memory cells. BACKGROUND OF THE INVENTION Non-volatile semiconductor memory cells using a sequential gate to store charge and memory 20 arrays of non-volatile memory cells such as those fabricated in semiconductor substrates are well known in the art. Generally, these floating interpolar memory cells are split-gate or stacked-gate. One of the major problems in manufacturing such semiconductor floating-gate memory cell arrays is the alignment of its various components such as source, drain, gate, and floating gate. As the design specifications of the semiconductor integration process are reduced, the size of the smallest lithography structure is reduced, so the need for precise alignment becomes more important. The alignment of various components will also determine the manufacturing yield of semiconductor products. Self-alignment is widely known in the art. Self-alignment refers to the practice of enabling the feature structures 5 to automatically align with each other in the process when performing one or more dream steps including one or more materials. Therefore, the present invention will use self-alignment technology to achieve the fabrication of the semiconductor memory array of floating gate memory cell type. In order to maximize the number of memory cells on a single wafer, the size of the memory cell array must be continuously reduced. It is known that these memory cell 10 lines are made in pairs, and each pair will share a source region, and adjacent cell pairs will share a drain region, which can reduce the memory cell array. size. But 'the array still has a large area typically reserved for bit lines to connect to these > and polar regions. This bit line area is usually occupied by the contact holes between the memory cell pairs, and the contacts spaced by the word lines. This is very dependent on the lithography generation's contact alignment and contact integrity. . Moreover, sufficient space will be reserved for the word line transistor, and its size is set by lithography generation and interface sizing. Traditionally, a floating gate will be provided with a sharp-edged counter-control gate to enhance Fowler-Nordheim tunneling, which can be used to remove electrons from the floating gate during an erase operation. The sharp edge is typically made by unevenly oxidizing or partially etching the top surface of the floating gate material. However, the smaller the size of the floating gate, the more difficult it is to make this sharp edge. There is also a need to improve the planning efficiency of memory cell arrays. Please refer to Figure 10A, 200537696, which is a partial cross-sectional view of a conventional flash memory cell 200 (as disclosed in US Patent No. 5029130, the contents of which are incorporated herein by reference). When planning, an area 210 will be maintained at or near ground voltage. The region 220 is supplied with a high voltage, such as + ι〇ν. An empty area 5 250 is set around this area 220. Also, because there will be a high capacitive coupling between this region 22o and the floating gate 230, the floating gate 23 () will "appear" a voltage of approximately + 7V. A voltage that is slightly more positive than the threshold voltage, such as +1. 5V is applied to the control gate 240. Since the voltage at the control gate 240 is lower than the voltage at the floating gate 23 °, the field line will flow from the floating gate to the substrate 260 and then to the control gate 240. '' When a positive voltage is applied to the control gate 240, a portion of the channel region under the control gate 240 will be “conducted,” and an inversion layer 28 will be formed. The electrons flowing from the first region 210 will Close to the surface of the substrate 26 in the inversion layer 28 until it reaches the extrusion point 295. At this point, the electrons will be accelerated by the 15 field lines. However, if the electrons are to be "shot out" to float On the gate 230, the electrons of the first region 210 must collide (ie, scatter) with impurities or lattice defects in the substrate 26, and generate momentum in a vertical direction. In addition, only those electrons having a vertical velocity sufficient to overcome the energy barrier between the oxide and silicon will be ejected onto the floating gate 230. Therefore, only a small percentage (about one-thousandth) of the electron current in the inversion layer 280 will have sufficient energy to be incident on the floating gate 230. Therefore, the scattering system is one of the main focuses in this planning mechanism. Please refer to FIG. 10B, which shows another conventional planning mechanism including an EPROM cell 300. Similar to the flash cell 200 shown in Figure 10a, 200537696, when planning, the first region 210 will be maintained at or near the ground voltage. The other area 220 is supplied with a high voltage such as + 12V. An empty area 250 is provided around the second area 220. A high voltage, such as + 12V, will also be applied to the control gate 240, which makes the floating gate 230 appear to have approximately + 7V. Since the voltage on the floating gate 230 is smaller than the voltage on the empty region 250, the field line will be sent from the empty region 250 to the floating gate 230. In addition, since the floating gate "looks like" about + 7V, the portion of the channel region under the floating gate 230 will "conduct", that is, an inversion layer 280 will be formed. The electrons will flow from the first region 210 to the surface of the substrate 260 close to the inversion layer 280 until it reaches the extrusion point 295. At this point 295, the electrons are accelerated by the field lines. However, these electrons will actually be repelled away from the surface of the substrate 260 by field lines. These electrons therefore operate in a downward direction. In order to emit electrons onto the floating gate 230, the electrons in the first region 210 must collide with impurities or lattice defects in the substrate 260 to generate a vertical component of momentum. Only those with a sufficient initial vertical velocity of 15 are sufficient to overcome in the upward vertical direction: 1) the repulsive field in the substrate; 2) the energy barrier at the interface of Shi Xi with the oxide; and 3) at the oxide Only electrons in the repulsive field can be irradiated onto the floating gate 230. However, since the electrons actually run "down" at the beginning, the electron flow from the inversion layer 280 will only have 20 ratios of electrons (about several hundreds of thousands) than the flash cell 200 described above. (Even parts per million) can be planned with sufficient energy to be projected onto the floating gate 230. So again, scattering is also a major focus in this planning mechanism. It is therefore an object of the present invention to create a method to improve the planning efficiency of a non-volatile memory cell having a floating gate capable of storing electrons. 200537696 It is also known that memory cell elements can be fabricated on non-flat parts of the substrate. For example, Ogura's US Patent No. 5,780,341 has disclosed many memorable 70-piece structures that include first-order channels in the surface of the substrate. Although the purpose of this step-shaped channel is to shoot the hot electrons to the floating pole more efficiently, the design of memory devices such as Liyi is still inadequate because it is difficult to optimize the size and manufacturing of such devices. And the% operating parameters required for efficient and reliable operation. @ '10 15 Therefore, there is a need for a non-volatile floating-gate memory cell array that can sufficiently reduce the cell size and has a better offensive rate. t > Contents of the Invention Summary of the Invention In this fortune, “Ke Xian-memory cells are used to improve simple efficiency. The 2 series is located in the first conductive semiconductor substrate and has a first and a second distinction. The non-coplanar channel region is located in the substrate and has a first non-coplanar channel. The non-coplanar channel has two parts:-[part and a = Serving. -The conductivity control pole has-a part adjacent to the part of the channel region and insulated from it to form a ~ 4 mesh layer therein. _ The floating question pole 2 is located next to the second part of the channel area and takes the insulator insulator, so that when the positive voltage of i decreases to the floating gate, one or two depleted areas have field lines. Guide the floating pole. The first-three adjacent to the inverse layer: the method of planning the element includes causing the inversion layer. An electron ^ is generated in the [area ', etc. The electron will traverse through the inversion layer. 4 The electron chirp will be accelerated by the field line and pass through the lacking area, but there will be no more or less 20 200537696 scattering, so that the electron can accelerate through the insulator and be shot to the floating gate. The first step of the method of the present invention is used to make a top view of a semiconductor substrate with isolated 5 regions. Fig. 1B is a cross-sectional view of the structure along line 1B-1B, showing the initial process steps of the present invention. Figure 1C is a top view of the structure, showing the next process steps of the structure of Figure 1B, in which an isolation region is formed. 10 Figure 1D is a cross-sectional view of the structure in Figure 1C along line 1D-1D, showing the isolation trenches in the structure. Figure 1E is a cross-sectional view of the structure in Figure 1D, showing the formation of blocks of isolation material in the isolation trenches. FIG. 1F is a cross-sectional view of the structure in FIG. 1E and shows the final structure of the isolation regions 15. Figures 2A to 2Q are cross-sectional views of the semiconductor structure in Figure 1F along the line 2A-2A, and sequentially show each of the semiconductor structures when manufacturing the non-volatile memory array of the floating gate memory cell of the present invention. Process steps. Figures 3A to 3Q are cross-sectional views of the peripheral region of the semiconductor structure. Sequences 20 show the steps of the semiconductor structure when manufacturing the non-volatile memory array of the floating gate memory cell of the present invention. Figure 4 is a top view of a memory cell array of the present invention. 5A to 5J are cross-sectional views of the semiconductor structure in FIG. 1F along the line 2A-2A, and sequentially show the steps of the 2005 2005696696 example of the first method of manufacturing the semiconductor structure of the present invention. 6A to 6H are cross-sectional views of the semiconductor structure in FIG. 2B, and each step of the second variation manufacturing method embodiment of the semiconductor structure is sequentially shown. 7A to 7G are cross-sectional views of the isolation structure of the semiconductor structure in FIG. 3B, which sequentially show the steps of the second variation of the manufacturing method embodiment of the structure. 8A to 8D are cross-sectional views of the semiconductor structure in FIG. 2B, and each step of the third variation of the manufacturing method embodiment of the structure is sequentially shown. 9A to 9D are cross-sectional views of the isolation region of the semiconductor structure in FIG. 3B. The steps of the third variation of the manufacturing method of the structure are sequentially shown. 10 Figures 10A ~ 1 (> B are partial cross-sectional views of conventional flash and EPROM non-volatile memory cells and their planning mechanisms, respectively. Figure 10C is part of the non-volatile memory cells of the present invention. Sectional view and its planning mechanism. [Embodiment] 15 Detailed description of the preferred embodiment The method of the present invention is shown in Figures 1A to 1F and Figures 2A to 2Q (showing the fabrication of the memory cell array of the present invention Steps), and FIGS. 3A to 3Q (showing steps for manufacturing the peripheral region of the semiconductor structure). The method starts with a semiconductor substrate 10, which is preferably p-type, and is a practice in this field. The thickness of each layer described below will depend on the design rules and process technology generation. The ones described here are 0.10 micron process. However, professionals should understand that the present invention is not limited to any Specific process technology generation, or any specific value of any process parameter described later. ^ Isolation zone formation ^ 200537696 Figures 1A to IF show a conventional STI method of forming an isolation zone on a substrate. See Section 1A Figure, which shows a semiconductor substrate 10 (or half The top plan view of the well is preferably p-shaped and is known in the art. The first and second material layers 12 and 14 are fabricated (eg, grown or deposited) on the 5 substrate. For example, the first layer 12 may be silicon dioxide (hereinafter referred to as “oxide”), which is fabricated by any conventional technique such as an oxidation method or an oxide deposition method (such as chemical vapor deposition or CVD method). On the substrate 10 to a thickness of about 50 to 150 A. Nitrogen-doped oxide or other insulating dielectrics may also be used. The second layer 14 may be silicon nitride (hereinafter referred to as "nitride") 10 is preferably formed by CVD or PECVD on the oxide layer 12 to a thickness of about 1000 to 5000 A. Figure 1B shows a cross-sectional view of the formed structure. When the first and second layers After 12 and 14 have been made, an appropriate photoresist material 16 will be placed on the nitride layer 14 and a masking step will be performed 15 to selectively select certain areas (grooves extending in the Y or straight direction) The photoresist material is removed from the belt as shown in FIG. 1C. Where the photoresist material 16 is removed, the exposed nitride is The layer 14 and the oxide layer 12 are etched along the stripe 18 using standard post-etching techniques (ie, anisotropic nitride and oxide / dielectric etching processes), and trenches 20 are formed in the structure. The distance 20 between the ribs 18 can be minimized to the smallest lithographic structure of the process used. A silicon etching process will be used to extend the trenches 20 and the like down to the Shixi substrate. 10 (for example, a depth of about 500 A to several micrometers), as shown in Figure 1D. Where the photoresist 16 has not been removed, the nitride layer 14 and the oxide layer 2 are all retained. The completed structure is shown in Figure 1D, and will now form an active area 12 200537696 22 and an isolating area 24. This structure will be further processed to remove the remaining photoresist 16. Riding, an isolation material such as-oxidized stone will be made in these grooves 20, which is first deposited-a thick oxide layer, and then chemical mechanical polishing or engraving (using 5 gaseous material layer) 14 is used as the remaining stop layer) to remove the oxide layer other than the oxide block 26 in each trench 20, as shown in FIG. 1E. The remaining nitride and oxide layers 14/12 are removed using a nitride / oxide etch method, leaving STI oxide blocks 26 and the like extending along the isolation regions 24, as shown in FIG. 1F. 10 The aforementioned STI isolation method is a preferred method for forming each isolation region 24. However, the conventional LOCOS isolation method (for example, recessed LOCOS, polycrystalline silicon buffered LOCOS, etc.) can also be used, in which the trenches 20 may not protrude into the substrate, and the isolation material must be set. On the surface of the substrate of the stripe regions 18. Figures 1A to 1F show the memory cell array area of the substrate, in which the memory cells that are traveling straight will be made in the active areas 22 and separated by the isolation areas 24. Please note that the substrate 10 also includes at least one peripheral region 28, in which a control circuit is provided to operate a memory cell located in the memory cell array region. Preferably, the isolation blocks 26 can be similarly formed in the peripheral region 28 in the above-mentioned STI or LOCOS manufacturing method. 20 ~ Formation of memory cells ~ The structure shown in Figure 1F is further processed as described below. Figures 2A to 2Q are cross-sectional views of the structure within the active area 22 as viewed perpendicular to Figure 1F (along lines 2A-2A of Figures 1C and 1F), and Figures 3A to 3Q are peripheral areas 28 The structural cross-sectional views in Fig. 2 are the subsequent steps of the method of the present invention performed simultaneously in the area of the two kinds of 13 200537696. -The insulating layer 3G (preferably an oxide or a nitrogen-doped oxide) is first covered on the substrate 10, as shown in Figs. 2A and 3A. At this time, the active region portion of the substrate 10 may be doped, and the cell array portion of the memory device is better controlled independently than the peripheral region. These hybridizations are commonly referred to as tritium implants or cell well implants, which are known techniques. When implanted here, the peripheral region will be protected by a photoresist layer, which is deposited on the entire structure, and only removed from the memory cell array region of the substrate. Alas, a thick layer of hard cover material 32, such as nitride, is coated on the oxide layer 30 (for example, about 3500 A thick). A plurality of parallel second trenches 34 are formed on the nitride layer 32. A photoresist (masking) material can be laid on the nitride layer 32 first, and then a masking step is performed to select the The parallel ribbon region removes the photoresist material. An anisotropic nitride etch will be used to remove the exposed portion of the nitride layer 32 in the ribbon region, leaving a second trench 34 and the like extending downward 15 and exposing the oxide layer 30. When the photoresist is removed, an anisotropic oxide #etch will be used to remove the exposed portion of the oxide layer 30, so that the second trench 34 and the like extend downward to the substrate 10. The anisotropic post-etching process of Yiyi Shixi will be used to extend the second trenches 34 in each active region 22 down into the substrate 10 (for example, down to a depth of about a fine texture size, With 200.15μm technology, about 500 people to several microns). Alternatively, the photoresist may be removed after the second trench 34 is formed in the substrate 10. The active area 22 and the peripheral area 28 thus produced are shown in Figs. 2B and 3B, respectively. Then a layer of insulating material 36 (preferably using a thermal oxidation method or a CVD oxide method) will be formed along the exposed silicon in the second trench 34, which will constitute the bottom and lower sidewalls of the 200537696 second trench 34 (for example, (Approximately 60 ~ 150). A thick layer of polycrystalline stone 38a will be overlaid on the structure and will fill the second trench 34. The polycrystalline silicon layer 38 can be doped by an ion implantation method or an in-situ doped polycrystalline silicon method (e.g., η). The active area 22 and the peripheral area 28 thus produced are shown in Figs. 2C and 3C. A polycrystalline stone lithography method (for example, a CMP method using the nitride layer 32 as an etch stop layer) will be used to remove the polycrystalline silicon layer% and leave the polycrystalline silicon block 40 remaining in the second trench 34. A controlled polysilicon etch will be used to reduce the height of the polycrystalline silicon blocks 40, so that the tops of the polycrystalline silicon blocks 40 are higher than the surface of the substrate 10, but lower than the STI oxide in the isolation region 24. The top surface of the block 26 is shown in Figs. 2D and 3D. Another alternative polysilicon etching process is performed to create a slope portion 42 on the top surface of the polycrystalline silicon block 40 (adjacent to the side wall of the second trench), as shown in FIG. 2E. A thermal oxidation method will be used to form or strengthen the tips of the slope portions 42 15, which will oxidize the exposed top surface of the polycrystalline silicon block 40 (and form an oxide layer 46 thereon), as shown in FIG. 2F . An oxide spacer 48A is formed along the sidewall of the second trench 34. The formation of these spacers is a conventional technique, which involves depositing a material on the profile of a structure, and then performing an anisotropic etching to remove the material from the horizontal surface of the structure, so that 2 〇Remains substantially unchanged on the vertical surface of the structure (and has a rounded top surface). The seeker 48 is made by depositing an oxide on the structure (for example, about 300 to 1000 A thick) and then applying an anisotropic oxide for 14 minutes. The oxide etch also removes the central portion of the oxide layer 46 in each second trench 34. The surrounding area 28 will remain unaffected. The active area 22 and the peripheral area 28 formed in 15 200537696 in this way are shown in the 2G and 3G diagrams. An anisotropic polycrystalline silicon etch combined with some oxide etch (the height of the oxide can be adjusted along the trench 34) will be performed, which will remove the poly silicon block 40 is not protected by the oxide spacer 48 And a pair of opposite polycrystalline silicon blocks 40a are left in each of the 35th and second trenches 34, as shown in FIG. 2H. An insulator deposition and anisotropic etching back process is used to form an insulating layer 50 along the exposed side of the polycrystalline silicon block 40a in the second trench 34. The insulating material may be any insulating material (for example, 0N0, ie, oxide / nitride / oxide, or other dielectric materials). Preferably, the insulating material is an oxide, so that the oxide deposition / etching process can also thicken the spacers 48 and allow the exposed portion of the oxide layer 36 at the bottom of each second trench 34 to be thickened. The substrate 10 is removed and exposed, as shown in FIGS. 21 and 31. Depending on the shape of the substrate 10, suitable ion implantation may include arsenic, phosphorus, boron, and / or antimony (and possibly annealed) will be spread over the substrate surface 15 and in the second groove 34 The bottom exposed substrate portion forms a first (source) region 52. The source regions 52 are aligned with the second trench 34 by themselves, and have a second electrical property (for example, N-type) ', which is different from the first conductivity (for example, P-type) of the substrate. The plasma does not have much effect on the hydride layer 32. The active area 22 and the peripheral area 28 thus produced are shown in Figs. 2J and 3J. A polycrystalline silicon deposition step, followed by a polycrystalline silicon CMP etch (using the vapor layer 32 as an etch stop layer), will be used to fill the second trench 34 with the polycrystalline silicon block 54 as shown in FIG. 2K. A further nitride etch is performed to remove the nitride layer 32, and the top edge of the polycrystalline silicon block 40a is exposed. A tunnel oxide layer 56A is formed on the exposed top edge of the polycrystalline silicon block 40a by a thermal oxidation method and / or an oxide deposition method. This oxide manufacturing step will also form an oxide layer on the exposed top surface of the polycrystalline silicon block 54 and may thicken the oxide layer 30 on the substrate 10. At this time, the active region 22 can be masked to selectively perform iliac implantation in the peripheral region ^. The active area 22 and the peripheral area 28 5 made by Shikou are shown in Figures 2L and 3L. The oxide layer 30 can be used as a gate oxide of a memory cell in the active region and a control circuit in the peripheral region. For each device, the thickness of the gate oxide determines its maximum operating voltage. Therefore, if it is necessary to make certain control circuits operate at a voltage different from that of the memory cell or other devices of the control circuit, the thickness of the gate oxide 30 can be corrected at this point in the process. Merely by way of example and not limitation, a photoresist 60 may be placed on the structure, and then a masking step may be used to selectively remove a portion of the photoresist in the peripheral region and expose a portion of the oxide layer. 3〇. The 30 part of the exposed oxide layer may be thinned (for example, by using a controlled etching method), or replaced by an oxide layer 30a having a desired thickness of 15 (for example, by using oxide etching and deposition), As shown in Figure 2M, 3M. After removing the photoresist 60, a polycrystalline silicon deposition step is used to form a polycrystalline silicon layer 62 (e.g., about 5,000 to 3,000 people thick) on the structure. The photoresist deposition and masking steps are used to form 20 photoresist blocks 64 on the polycrystalline debris layer 28 in the peripheral region 28, as shown in Figures 2N and 3N. Then an anisotropic polycrystalline stone will be used in the rest of the evening to remove the debris 66 ′ beside the photoresist block 64 (in the peripheral region 28) and the adjoining emulsion spacer 48 (in the active region). Region 22) other than the polycrystalline silicon spacer 68 and the like. Appropriate ion implantation (and annealing) will be used to make each of these devices a second (drain) in the active region of the substrate 17 200537696 region 70 and source / drain region 72 in the peripheral region 28 / 74 and so on. The active area 22 and the peripheral area 28 thus produced are shown in Figs. After the photoresist block 64 is removed, the insulating spacer 76 is made by depositing an insulating material and anisotropic etching (such as nitride or oxide), and abuts the poly 5 silicon spacer 68, the oxide spacer 48 and polycrystalline silicon block 66. Rhenium performs a metal deposition step, and a metal such as tungsten, metal, titanium, nickel, platinum, or molybdenum is deposited on the active region 22 and the peripheral region 28. The structure is annealed so that the hot metal can flow into the exposed tops of the polycrystalline silicon spacers 68 and the polycrystalline silicon blocks 66, etc., and a conductive layer of metallized polycrystalline silicon 78 (polyolide) is formed thereon. ’Metal that accumulates on the rest of the structure is removed using the metal surname method. The active area 22 and the peripheral area 28 thus produced are shown in Figs. 21 &31; and 31 >. An insulating material 80, such as BPSG or oxide hafnium, is applied to the entire structure. A masking step is performed to define an etched area on the drain region 70/74. The insulating material 80 in the masked area will be selected to form I5 as a contact hole extending down to the electrodeless region 70/74. These contact holes will be filled-a conductive metal (such as a crane) to form a metal contact 82 and be electrically connected to the non-polar region 70/74. The drain line contact 84/86 (such as aluminum, copper, etc.) will be added to the active area M and the surrounding area by the metal covering the insulating material 80, and all contacts in the active area 22 will be added. Object 82 (that is, the 7G drain region) is all connected at 20, and the active cell memory cell structure after the 7-keys of the ZigZee polar region in the surrounding frame is connected as shown in the figure. As shown in the figure, the final peripheral area control circuit structure is shown in Figure 3Q. As shown in Figure 2Q, the manufacturing method of the present invention will form pairs of memory cells' which are mirror images of each other, and each side of the polycrystalline material 54 is provided with a memory cell 200537696. In each-memory cell, the first region 52 and the second region 70 will form a source region and a drain region, respectively (however a professional should know that the source and drain are interchangeable during operation). The polycrystalline fragment 40a will constitute a floating gate, and the multi-day stone evening object 68 will constitute a control pole. The channel region 90 of each memory cell is formed in the surface portion of the substrate, and is between source 52 and drain%. Each -channel region 90 includes two parts connected at approximately right angles, and its-(vertical) part 92 will extend along the vertical wall of the filled second trench 34, and the (horizontal) ^ 94 The side wall and the drain region of the filled second trench 34 are extended. Each pair of memory cells will share a common source region 52, which is located under the filled second trench 34, and will be electrically connected to the polycrystalline stone block 54. Similarly, each drain region 70 will be shared between adjacent memory cells of different mirror groups. FIG. 4 is a top view of the aforementioned structure, which shows the connection between the bit line 84 and the drain region 70, and the control gate ⑽, etc., which continuously form a work (sub) line to extend the teeth. Pass these active and isolated areas 24. The above manufacturing method does not cause the source region 52 extending through the isolation region 24 (this can be easily achieved by deep implantation, or can be partially removed by the isolation regions of the second trenches 34 before ion implantation). To achieve this, drop the material of the sm euphemum). However, the polycrystalline stone blocks (which are in electrical contact with the source region 52) will continuously pass through these isolation regions and adjoin the main 20 mobile regions, and will form source lines, etc. each row of memory cells All source regions 52 of a pair are electrically connected together. The floating gate 40a is disposed in the second trench 34, and each floating gate faces a vertical portion 92 of a channel region, a source region 52, and a polycrystalline silicon block 54 and is insulated therefrom. Each floating gate 40a includes a top projecting above the surface of the 19 200537696 substrate and ending at an end edge 96, which will oppose and insulate a control gate 68, so it can provide a pass through the oxide The Fowler-Nordheim tunneling path for layer 56. The polycrystalline silicon blocks 54 each extend along the floating gate 40a and are insulated from it (with an oxide layer 50) to enhance the voltage lightening of 5 of them. There can only be some vertical overlap between any control gate and floating gate ', so that too much capacitive coupling between them will not hinder the operation of memory cells as described later. That is to say, if there is any vertical overlap between the control pole and the floating pole, the control gate must never extend too far (in the horizontal direction) enough to completely overlap (in the vertical direction). The floating gate. ~ Operation of Memory Cells ~ Operation of Memory Cells will now be explained. The operation and operating principle of these memory cells are also disclosed in the US Patent No. 5572054, the content of which is hereby incorporated by reference, which is related to the Yi 15 with a floating gate and a control gate §Remember the operation and operating principle of the cell, and the floating gate that can control the gate penetration, and the memory cell array made. When erasing a selected memory cell in any given active area 22, a ground voltage is applied to its source 50 and drain 70. A high positive voltage (eg + 7V ~ + 15V) will be applied to the control gate 68. 20 electrons on the floating gate 40a can be injected into the tunnel by the Fowler-Nordheim tunneling mechanism, and the top of the net moving gate 40a (mainly by the edge 96) passes through the oxidation The physical layer is allowed to enter the control gate 68, so that the floating gate 40a is positively charged. This tunneling effect can be enhanced by the sharpness of the end edge 96. It should be noted that, since the control gates 68 are continuous control (word) lines to extend through the active recesses and the 20 200537696 isolation zone, one memory cell in each active zone will be “erased” at the same time. > Xiao 0 When a selected memory cell needs to be planned, a small voltage (for example, 0. 5 to 2. 0V) will be applied to its drain region 70. The positive voltage level near the threshold voltage of the MOS structure (about 70 + 0 above the drain node). 2 ~ IV) will be applied to its control gate 68. A positive high voltage (for example, about 5 to 10 V) is applied to the source region 52 thereof. Because the floating gate 40 is highly capacitive, it is at the same potential as the source region 52, so the floating gate 40 will "seem like + 4 ~ + 8V This will form a deep 10 empty region 250 in the substrate 10. Also, since the voltage of the floating gate 40 is higher than the voltage on the control gate 68, the field line will be floated by the floating The gate 40 is extended to the control gate 68, as shown in FIG. 10C. And, because a positive voltage is applied to the control gate 68, an inversion layer 280 is formed in the substrate 10. The The inversion layer 280 is connected to the drain region 70. Therefore, a planned electron flow 15 will be caused in the drain region 70 (as is known, the current will flow in a direction opposite to the electron flow). These electrons will run through the The inversion layer 280 reaches an extrusion point 295. At this extrusion point 295 (which is at or inside the empty region 250), these electrons will be accelerated by the field lines from the floating gate 40. It can be seen in the figure ico that, because the field lines emitted by the floating gate 40 will lead to the control gate 68, these electrons will only follow the direction of the field line that is larger than 20 Acceleration. When they are accelerated to obtain energy, electrons with sufficient energy will pass through the insulating layer 36 and be injected onto the floating gate 40. Therefore, unlike the planning mechanism of the conventional technology, the empty area 250 The electrons in it do not need to be scattered to cause a momentum component in the approximate direction of the floating gate 40. In fact, scattering is not appropriate because it will cause the electrons at 21 200537696 extrusion point 295 to actually face the floating gate. Momentum and energy are lost in the direction of 40. Therefore, in the planning mechanism of the present invention, electrons in the empty region will be injected into the floating gate 40 with little or no scattering. As for non-selected memory cells, it is more Low or ground potentials will be applied to the source / drain regions 52/70 and control gate 68 of each memory cell row / column that does not contain the selected memory cell. Therefore, only the selected The memory cells in the rows / columns will be planned. Ejecting electrons out of the floating gate 40a will continue until the charge on the floating gate 40a is reduced to not maintain a surface potential of 10% in the vertical channel region. To generate thermionic electrons At this time, the electrons or negative charges on the floating gate electrode 4 will reduce the electron flow from the drain region 70 to the floating gate electrode 40a. Finally, when reading a selected memory cell, The ground potential will be applied to its source region 52. A read voltage (for example, about 0.5 ~ 2ν) will be applied to its drain region 70, and about 1 to 4V (depending on the power supply voltage of the device) (Fixed) will be applied to its control gate 68. If the floating gate 4 is positively charged (that is, it will release electrons), then the vertical channel area portion 92 (close to the floating gate 4a) When the control gate 68 is boosted to the read potential, the horizontal channel region portion 94 (close to the control gate 68) will also be turned on. Therefore, the entire channel 20 region 90 will be turned on, so that electrons can flow from the source region 52 to the non-polar region%. The current thus sensed is a "1" state. Conversely, if the floating gate 40a is negatively charged, the vertical channel region portion 92 will be weakly turned on or completely closed. Even when the control asks that the drain region 70 is boosted to the read potential, little or no current will flow through the vertical channel region portion 92. In this case, compared to "丨, the current will be very small, or there will be no current at all. In this way, the memory cell will be measured as the" 0 "state. The ground potential will be The source / impulse regions 52/70 and the control gate 68 are applied to non-selected rows, so only the selected memory cell can be read. The memory cell array contains peripheral circuits, which contain traditional column addresses. Decoding circuits, row address decoding circuits, sense amplifier circuits, output buffer circuits, and input buffer circuits are all known in the art. The present invention can provide a memory with reduced size and better planning efficiency. Cell array. The size of the memory cell will be greatly reduced because its source region 52 is buried in the substrate 10 and will be aligned with the second trench by itself, so the space will not be affected by lithographic generation and contact. It is wasteful due to the limitation of the alignment of the object and the integrity of the contact object. Each floating gate 40a has a lower portion disposed in the first groove 34 in the substrate 10, and can receive tunneling during the planning operation. Electrons, and can turn on the vertical channel area during the fetch operation Portion 92. Each floating gate 40a also has an upper portion that protrudes outside the second groove of the substrate, and ends at a pair of end lines to the control gate, which can be used for Fowler during the erasing operation- Nordheim's tunneling operation. The planning efficiency will also be greatly increased in the method of the present invention, because the electrons will be accelerated by the field lines without self-floating gates, and there will be little or no collisional dissociation. To make electrons lose kinetic energy or energy. The estimated planning efficiency (the number of emitted electrons compared to the total number of electrons) of the conventional clothes shown in Figure 1GA is about 1/1000. However, in the present invention, its planning The efficiency will be increased by 10 times or even 100 times, and almost all electrons will be emitted onto the floating gate. 23 200537696 According to the present invention, the polycrystalline silicon block is transmitted between each floating gate 40a and the corresponding source region 52. 54 (connected to the source region 52) will also have stronger voltage coupling. At the same time, there will be a lower voltage between the floating gate 40a and the control gate 68. In addition, the source region 52 and The drain region 70 is separated vertically and horizontally, and 5 will be easier to optimize reliability The number does not affect the cell size. ~ First modified embodiment ~ Figures 5A to 5J show a cross-sectional view of the structure of the active cell 22 in the active region 22, which is one of the methods for fabricating a memory cell array of the present invention. The structure of the first variation of the first manufacturing method is shown in FIG. 2A. For simplicity, the same elements as those in the aforementioned eleventh embodiment will be labeled with the same numbers. The thick nitride layer 32 (for example, about 1000 ~ 10000 people thick) will be covered on the oxide layer 30. Parallel second trenches 34 and the like will be provided on the nitride layer 32, which is first coated on the gas layer 32. Photoresist (masking) material, followed by a masking step to remove the photoresist material 15 from the selected parallel stripe region. An anisotropic nitride etch is used to remove these patterns The exposed portion of the nitride layer 32 in the band region, while leaving the second trench 34 and the like extending downward to the oxide layer 30 and exposing it. After the photoresist is removed, the oxide spacer 102 is formed in the second trench 34 by an oxide deposition step and then an oxide anisotropic etching step. A part of the oxide 20 layer 30 in the center of the bottom of the second trench is also removed in this oxide remaining step, and the substrate 10 underneath is exposed. The structure thus produced is shown in Fig. 5A. A silicon anisotropic etching process is used to extend the second trenches 34 in each active region 22 down to the substrate 10 (for example, the technique can be extended down to about 500 μA with a technique of 0.5 μm). Micron depth). The degree of the second trench 24 200537696 34 in the substrate 10 will form a space between the oxide spacers 102. Appropriate ion implantation (and possibly annealing) will occur on the surface of the structure, and the first (source) regions 52 are formed in the exposed substrate portion at the bottom of the second trenches 34. The source regions 52 are aligned with the second trench 34 by themselves, and have the second 5 types of electrical properties (for example, N-type), which are different from the first conductivity of the substrate (for example, P-type). ). The plasma does not have much influence on the nitride layer 32. The structure thus produced is shown in Figure 5B. The hafnium oxide layer 100 is provided on the exposed silicon substrate 10 (forming the bottom and lower side walls of the second trench 34), and it is preferably made by a thermal oxidation method (e.g., about 70 to 150) Into the thick). A thick polycrystalline silicon layer is deposited on the structure and fills the second trenches 34 and the like. A polycrystalline silicon CMP process using the nitride layer 32 as an etch stop layer will be used to remove the polycrystalline silicon layer, leaving only the stone block 54 remaining in the second trench 34. A controlled polycrystalline stone engraved seal will be used to lower the height of the polycrystalline stone block 54 below the top surface of the nitride layer 32. An optional oxide layer 104 (e.g., by thermal oxidation) is formed on the polycrystalline silicon block 54. A thin nitride layer 106 may be deposited on the structure. The nitride layer 1 is then removed by a masking step and a nitride leave, but remains on the oxide layer 104 and the polycrystalline silicon block 54. Serving. This can be made by depositing a photoresist on the structure and then leaving only 20 photoresist in the second trench 34 over the deposited nitride with controlled exposure. The structure thus produced is shown in Fig. 5C. Using the nitride layer 106 as a mask, a dry and / or wet oxide etch is used to remove the oxide spacers 102. A thermal oxidation process will be performed, and the exposed sides of the polycrystalline stone block 54 and the substrate will be exposed. 25 200537696 ^ Surface layer 1Q8. ―Anisotropic oxides are used to remove the oxide layer formed on the substrate. The structure thus produced is shown in Fig. 5D. Using the nitride layer 32 and 1G6 as a mask, the secret engraving will be used to expose the inside of the fifth trench 34; ^ the substrate ㈣, so that it is lowered to be flush with the bottom of the polycrystalline stone block 54 块degree. The additional ion implantation (and possibly annealing) will be used to expand the source region 52 under the second trench 34, as shown in Figure 5E. The first insulating layer no is preferably formed on the side wall of the second trench by a cVDa product method of a halide (for example, 70 to 150 mm thick). A thick polycrystalline silicon layer 10 will cover 5 and fill the second trench 34 on the structure. Then, a polycrystalline silicon block is formed by a CMP polycrystalline silicon etch (using the nitride layer 32 as an etch stop layer) and an additional polycrystalline silicon etch. 40a, etc., whose top is lower than the STI oxide block 26 in the isolation region 24. The oblique etching or oxidation method is used to sharpen the end edge 96 of the top of the polycrystalline silicon block 40a. The oxide deposition and etch-back process is used to fill the top of the second trench 34 with oxide U2, which seals the polycrystalline silicon block 40a and creates an oxide spacer on top of the second trench 34. The structure made in this way is shown in Fig. 5F, which will contain three polycrystalline silicon blocks in each second trench, which will be surrounded and sealed by the oxide. The polycrystalline silicon block 54 will be in electrical contact with the source region 52 and interposed between a pair of polycrystalline silicon blocks 40a (they are separated from the source region 52). An optional polycrystalline silicon block 54 extension can be performed in the following manner ... The nitride layer 106 and oxide 104 are first removed by controlled nitride and oxide etching, and then completed by a polycrystalline silicon deposition and polycrystalline silicon etching back. An optional polycrystalline stone engraving can be used to form a protective oxide layer on the polycrystalline silicon block 54 in a one-oxide process before it is used to lower the polycrystalline silicon block. The new top surface, as shown in Figure 5G.—Nitride money engraving will be used to remove the gas layer 32. Then a controlled oxide engraving will be used to collapse the exposed oxide by about 10 to Hundreds of A, followed by a thermal oxidation process to reform the oxygen pendant layers 30 and 114 and create a recess in the oxide surrounding the top of the polycrystalline stone 40a. The resulting structure is shown in Figure 5H A polycrystalline silicon deposition and anisotropic polycrystalline silicon etching will be used to make polycrystalline spacers 68 adjacent to the oxide spacer 112. Appropriate ion implantation (and annealing) will be used to form the Second (drain) region. Insulating spacers 76 嗣 can be made by insulating material deposition and anisotropic etching (such as nitride or oxide), and will rely on polycrystalline silicon spacers 68. A metal deposition step 沉积Will be carried out, a metal such as tungsten, cobalt, titanium, nickel, platinum The structure will be annealed to cause hot metal to flow into the exposed top of the polycrystalline silicon spacer 68 to form a polycrystalline silicon metallization 78 thereon. 15 The remaining metal deposited on the remaining structure will be replaced with a metal residue. The structure is made as shown in FIG. 51. The insulating material 80, the metal contact 82, and the drain line contact 84 are formed as shown in FIG. 2Q to form the first structure. The final structure shown in Figure 5J. The advantage of this embodiment is that solid source line polycrystalline silicon blocks 54 20 and the like can be easily made and brought into electrical contact with the source region 52 and so on. Furthermore, the polycrystalline silicon block 54 is used to separate the silicon substrate The fabricated floating gate polycrystalline silicon block 40a, etc., will make it easier to prevent short circuits between these floating gates. ~ Second modified embodiment ~ Figures 6A to 6G and Figures 7A to 7G are shown The second variation method for manufacturing the 27 200537696 memory cell array of the present invention is produced. The second variation method starts from the structure shown in FIGS. 2B and 3B, but it is not necessary to make the oxide under the nitride layer 32. Material layer 30, because the oxide layer 30 is The middle system is optional. After the insulating material 36 is made as shown in FIG. 2C, the ion implantation (and 5 may be annealed) process will be used in the exposed substrate portion at the bottom of the second trench 34. A first (source) region 52 is formed. A thin polycrystalline silicon layer 118 嗣 will be coated on the structure, as shown in Figures 68 and 78. The polycrystalline silicon layer n8 can be ion implanted or an in-situ method Doped (such as n +). The thickness of the polycrystalline silicon layer 118 is preferably about 50 ~ 500A, which will determine the actual thickness of the 10 floating gate of the final memory cell device. The oxide will be covered On this structure, a planarization etching is performed (for example, using a part of the polycrystalline silicon layer 118 on the rat compound layer 32 as the CMP remainder of the etch stop layer), and the oxide block 12 is used to fill the first portion.二 槽 34。 Two trenches 34. A polysilicon etch will be performed to remove the exposed portion of the polycrystalline silicon layer 118 (the portion located on the nitride layer 32). The oxide blocks 120 are recessed downward by oxide etching to be flush with a portion of the polycrystalline silicon layer U8 remaining on the STI block 26 of the isolation region 24 (for example, in the non-active region on the STI block 26). Part of the polycrystalline stone layer 118 is used as an oxide coin engraved stop layer. The structure of the active area and the surrounding area is shown in Figures 6B and 7B. Different polycrystalline silicon layers M ′ at the profile level will be used as the stop layer in the above oxides, polycrystalline silicon oxides, oxide oxides, etc. In other words, as shown in the figure below, The stone evening layer 118 has a first portion 119a provided outside the trench 34 on the nitride layer 32. Fig. 6H is the same view as the trench 34 of Fig. 6A, but in 28 200537696 isolation region 24 and not in active In the area 22. As shown in FIG. 6H, the polycrystalline layer 118 has a second portion 119b disposed on the ST1 block 26. Therefore, the polycrystalline portion of the polycrystalline layer 119a will be located higher than the 119b. At the structural level, in order to make the oxide block 120 in the active region, the first oxide etch will be performed using the polycrystalline silicon layer portion 5 119a as an etch stop layer, and The second trench 34 in the active region 22 and the isolation region 24 is filled in one place. Subsequent oxide names will use 11% of the polycrystalline silicon layer as an etch stop layer to set the oxide block 120 in the active region. And the polycrystalline silicon layer 118 in the isolation region 24 is completely exposed. A polycrystalline silicon etch will be used to remove the exposed part of the polycrystalline silicon layer 118 (ie, along the second trench 34 in the active region). Top, and above the STI block 26 in the isolation region 24). An oxide process is performed, and an oxide block 122, etc. is formed on the exposed end of the polycrystalline silicon layer 118. The dielectric spacer 124, such as oxide, may be oxidized The material is deposited and etched inside the second trench 34, and covers 15 over the oxide block 122 and partially covers the oxide block 120, as shown in FIG. 6C. Another oxide etch may be used The exposed central portion of the oxide block 12 is removed (that is, between the spacers 124, which is etched by the oxide to reduce the southness), and the polycrystalline silicon layer 118 exposed in the center of the second trench 34 is removed. Polycrystalline silicon etching and monoxide etching will be successively removed to the second The exposed portions of the polycrystalline silicon layer 118 and the oxide layer 36 in the center of the bottom of the trench 34 2 are exposed to W parts of the substrate. The structure thus produced is shown in Figures 7 and 7. The dielectric spacer 125 will be The nitride (or oxide) is deposited on the structure, and then anisotropic nitride etching is performed to form the second trenches 34. The second trenches 34 may be deposited using a polycrystalline silicon. And CMP etch 29 200537696 back process (using nitride layer 32 as a money stop layer) to fill the polycrystalline silicon block 54 as shown in Figure 6E. The nitride layer 32 will be nitride etched by the active area 22 and isolated Zone 24 and peripheral zone 28 are removed. The ytterbium tunnel oxide layer 56 is formed on the exposed top edge of the polycrystalline silicon layer 1185 by thermal oxidation and / or oxide deposition. Since the oxide layer 32 is not formed earlier in this process, the oxide layer 56 will also extend over the exposed portion of the substrate 10. This oxide formation step also forms an oxide layer 58 on the exposed top surface of the polycrystalline silicon block 54. Optional% implantation can also be performed in the peripheral area 28 by masking the active area 22 at this time. The active area 22 and the peripheral area 28 thus produced are shown in FIGS. 6F 10 and 7F. For example, the remaining processing steps of uranium described in Figures 2M to 2Q will be performed on the structures shown in Figures 6F and 7F, and the final active area memory cell structure will be shown in Figure 6G. And the final peripheral area control circuit structure is shown in Figure 7G. 15 As shown in Fig. 6G, the L-shaped polycrystalline layer 118 will form the floating interpole of each memory cell. Each floating gate 118 includes a pair of orthogonal elongated portions 118a / l 18b and the like joined together at their adjacent ends. The floating gate portion ⑽ will extend along the side wall of the substrate of the second trench 34 to be insulated from it, and a button 118 is provided to extend above the surface of the substrate. The part of the floating gate extends along the bottom substrate of the first trench 34 and is insulated from it (ie, it is provided on the source region 52 and insulated from it). The control gate spacer 68 has a first portion laterally abutted and insulated from the floating gate top section 118c. And a second part is provided above the top section and insulated from it. The top cymbal has a sharp end 96 which ends and is insulated from the control closed pole, so it can form a blessing between the floating gate 30 200537696 and the control gate 68. Le-Nordheim tunneling path. A second variation of the present invention provides a memory cell array, which can reduce the size and has better planning efficiency. The memory cell size will be reduced by 5 because the source region 52 is buried inside the substrate 10 and aligned with the trench 34 by itself, so its space will not be affected by lithography generation, contact object alignment, and contact. Constraints such as the integrity of the object are wasted. The planning efficiency can be greatly enhanced by aiming the horizontal portion of the channel area 90 at the floating gate 118. The L-shaped floating gate structure of the present invention will have many advantages. Because these floating gate portions 10 parts of llSa / llSb are made of a thin layer of polycrystalline stone material, and its narrow tip can strengthen the Fowler-Nordheim tunnel control gate 68. It does not require large-scale Thermal oxidation step to make sharp edges to enhance tunneling. Near the horizontal floating gate portion 118b and the source region 52 (separated only by the thin oxide layer%), each floating gate 118 and the corresponding source region 52 will also have an enhanced ratio of 15%. Because the floating gate top section U8c is made by the oxide gate method and is made by depositing a thin layer of polycrystalline stone, Heavier doped polysilicon can be used to prevent the polysilicon starvation problem during operation + and ^, so that the source region 52 and the drain region 7Q are vertically and horizontally grounded. It is easier to optimize reliability parameters without affecting the cell The element size ⑴θ can be known from this embodiment that the voltage consumption between the floating gate 118 and the source region 52 is sufficient. Enough, so it is not necessary to merge with the extra electric dust surface of the polycrystalline stone block 54. It is not necessary. The polycrystalline stone block 54 in this embodiment is mainly used to align the source region in each column with 7L. 52 are electrically connected together. Therefore, the polycrystalline stone block 54 can be omitted in this embodiment, as long as there is an electricity 31 200537696 similar to the contact 82, and the contact is not extended down to each source region 52. Please note that the polycrystalline silicon blocks 54 must be insulated from the substrate when passing through the isolation areas, so as not to cause a short circuit with the substrate. This can be compared by the depth of §11 block 26 in the isolation areas. The bottom of the first trench 34 is deeper, or it can be achieved that the material of the §11 block 26 can be etched more slowly than the material used to form the oxide block 120. ~ Third Variation Example ~ 8A Figures 8D and 9A to 9D show the third variation method of the present invention for fabricating a memory cell array. The third variation method starts with the structure not shown in Figures 2B and 3B. 〇: After the insulating material 10 36 is made as shown in the figure, the ion implantation (and possibly annealing) process will be used in the second trench The first (source) region 52 is formed in the exposed substrate portion at the bottom of the 34. The polysilicon spacer 126 嗣 will be changed in the second trench 34, which is a layer of polysilicon first deposited on the substrate ' Anisotropic polycrystalline silicon is used to remove the polycrystalline silicon layer except the spacers 126, as shown in Figures 8A and 9A. The height of the polycrystalline silicon 15 spacers is preferably not greater than that in the isolation region 24. STI block 26 (for example, STI block 26 in the non-active area is used as an etch stop layer), which will ensure that all polycrystalline silicon can be removed from these isolation areas. The oxide will be covered in Figure 8A / 9A On the structure, planarizing oxide etching (for example, CMP etching using the nitride layer 32 as the etch stop layer 20) is performed to fill the second trench 34 with the oxide block 128. The mono-oxide etching method is used to recess the oxide block 128 down to be flush with the top surface of the polycrystalline silicon spacer 126 (for example, using the polycrystalline silicon spacer 126 as an oxide etch stop layer). A dielectric spacer 130, such as an oxide, is formed inside the second trench 34 and the polycrystalline silicon 32 200537696 spacer 126 through an oxide deposition method and etching back, as shown in FIG. 8B.嗣 Another oxide etch will be used to remove the exposed central portion of oxide block 128 and oxide layer 36 (between the spacers 130, which will be reduced in height by this oxide #), and Exposed part of the substrate. The structure thus made is shown in Figure 8C / 9C. The remaining processing steps described previously in Figures 2K to 2Q will be performed on the structures shown in Figures 8C and 9C, resulting in a final active area memory cell structure as shown in Figure 8D. And the final peripheral area control circuit structure is shown in Figure 9D. In this embodiment, the polycrystalline silicon spacer 126 will constitute a snap gate 'which is insulated from the control gate 68 through the oxide 56. By making the floating gate into a spacer by 10 15 20, the number and / or complexity of processing steps will be reduced. These floating gate spacers 126 will each end at-sharp edges 96 'which are directly opposite and insulated from the control, so they can be formed between the floating gate and the control gate 68-available for Fowler · Norders should understand that the present invention is not limited to the above and illustrated embodiments, but can be any and all. "Please full-time headings." Grooves can also have any terminations that extend into the substrate ^ As shown in the figure, a long rectangle. Also, although the above-mentioned heterogeneous polycrystalline lysate is a conductive material that forms a memory cell, it can be appropriately mixed to understand that described in the scope of this specification and in the patent application. Cai Yueyue can be used to make non-volatile memory cell Fengyue Yuexi materials. In addition, any suitable conductive insulator of 0 to 1000 ohms can also be used to obtain silicon. Moreover, any etching material that is different from silicon monoxide or silicon) and polycrystalline silicon (or any conductor) is suitable material (or any insulating stone). In addition, according to the scope of the patent application, it can be seen that 0 is used to replace nitride Not all material steps must be performed in the order described, but in any order in which the memory cells of the present invention can be properly made. In addition, the invention described above is shown in a In the uniformly doped substrate, but if known and the present invention can also be adopted, the memory cell elements are fabricated in the well area of the substrate, and the 5 areas of the wells are doped to communicate with the Other parts of the substrate have different conductivity types. Finally, a single layer of insulating or conductive material can be made into multiple layers of these materials, and vice versa. L Schematic Illustration 3 • Figure 1A is used in The first step of the method of the present invention is to make a top view of a semiconductor substrate isolated from 10 regions. Figure 1B is a cross-sectional view of the structure along line 1B-1B, showing the initial process steps of the present invention. Figure 1C is the Top view of the structure, showing the bottom of the structure of Figure 1B During the manufacturing process, an isolation region is formed. 15 Figure 1D is a cross-sectional view of the structure in Figure 1C along the line 1D-1D, showing the isolation trench in the structure. ® Figure 1E is the structure in Figure ID Figure 1F shows the formation of blocks of isolation material in the isolation trenches. Figure 1F is a cross-sectional view of the structure in Figure 1E, showing the final structure of the isolation areas 20. Figures 2A ~ 2Q FIG. 1F is a cross-sectional view of the semiconductor structure along line 2A-2A, and sequentially shows the steps of the semiconductor structure when manufacturing the non-volatile memory array of the floating gate memory cell of the present invention. Section 3A ~ The 3Q diagram is a cross-sectional view of a peripheral region of the semiconductor structure, and sequentially 34 200537696 shows each process step of the semiconductor structure when manufacturing the non-volatile memory array of the floating gate memory cell of the present invention. The fourth diagram is The top view of the memory cell array of the invention. Figures 5A to 5J are cross-sectional views of the semiconductor structure along line 2A-2A in Figure 1F, which sequentially show a first variation of the semiconductor structure of the present invention. Figures 6A to 6H are semiconductors in Figure 2B The cross-sectional view of the structure sequentially shows the steps of the second variation of the manufacturing method of the semiconductor structure. • FIGS. 7A to 7G are 10 cross-sectional views of the isolation region of the semiconductor structure in FIG. 3B, which sequentially show the structure. The steps of the second variation of the manufacturing method embodiment. FIGS. 8A to 8D are cross-sectional views of the semiconductor structure in FIG. 2B, which sequentially show the steps of the third variation of the manufacturing method embodiment of the structure. FIGS. 9A to 9D 3B is a cross-sectional view of the isolation region of the semiconductor structure in FIG. 3B, which sequentially shows the steps of the third variation of the manufacturing method embodiment. 15 FIGS. 10A to 10B are the conventional flash memory and EPROM non-volatile memory, respectively. A partial cross-section of a cell and its planning mechanism. Figure 10C is a partial cross-sectional view of the non-volatile memory cell of the present invention and its planning mechanism. [Description of main component symbols] 10 ... semiconductor substrate 12, 100, 104, 108, 112, 114 ... oxide layer 14, 106 ... nitride layer 16, 60 ... photoresist 18 ... ribbon 35 200537696 20 ... trench 22 ... active area 24 ... isolation area 26 ... oxide block 28 ... peripheral area 30, 30a ... insulating layer (oxide) 32 ... hard cover (nitride)

34…第二溝槽 36,80···絕緣材料 38…多晶石夕 40,40a,54,66···多晶石夕塊 42…斜坡部份 46,58…氧化物層 48,102…氧化物間隔物 50,110…絕緣層 52···源極區 56…隨道氧化物層 62,118…多晶石夕層 64…光阻塊 68,126…多晶矽間隔物 70···汲極區 72/74…源極/沒極區 76…絕緣間隔物 78…多晶矽金屬化物 36 200537696 82…接觸物 84/86…汲極線接觸物 90…通道區 92,118a…垂直部份 94,118b···水平部份 96…端緣 118c…頂段 119a…第一部份34 ... Second trench 36, 80 ... Insulating material 38 ... Polycrystalline stone 40, 40a, 54, 66 ... Polycrystalline stone block 42 ... Slope portion 46, 58 ... Oxide layer 48, 102 ... oxide spacers 50, 110 ... insulating layer 52 ... source regions 56 ... with oxide layers 62, 118 ... polycrystalline silicon layers 64 ... photoresist blocks 68, 126 ... polycrystalline silicon spacers 70 ... Drain region 72/74 ... source / inverter region 76 ... insulating spacer 78 ... polycrystalline silicon metallization 36 200537696 82 ... contact 84/86 ... drain line contact 90 ... channel region 92, 118a ... vertical portion 94 , 118b ... horizontal part 96 ... edge 118c ... top section 119a ... first part

11%…第二部份 120,122,128…氧化物塊 124,125,130···介電間隔物 200…快閃記憶胞元 210…接地電壓區 220···高電壓區 230···浮動閘極 240…控制閘極 250…空乏區 260…基材 280…反相層 295…壓出點 300···ΕΡΙΙΟΜ 胞元 3711% ... Second part 120, 122, 128 ... Oxide block 124, 125, 130 ... Dielectric spacer 200 ... Flash memory cell 210 ... Ground voltage region 220 ... High voltage region 230 ... · Floating gate 240 ... control gate 250 ... empty area 260 ... substrate 280 ... inverting layer 295 ... extrusion point 300 ... · ΕΡΙΙΟΜ cell 37

Claims (1)

200537696 十、申請專利範圍: 1· 一種可電規劃及抹消之記憶裝置的規劃方法,該記憶裝 置具有一第一種導電性半導體材料的基材,並具有第二 種導電性之第一和弟二區分開地設在該基材内,及一非 共平面的通道區設在其間的基材内,且該通道區具有第 一和第二部份,一導電的控制閘極具有一部份會鄰近但 絕緣於該通道區的第一部份而造成一反相層,一浮動閉 極具有一部份會鄰近於該通道區的第二部份,但以一絕 緣體與之絕緣,而造成一空乏區具有場線等導向該浮動 閘極,其中該第一區係鄰近於該反相層;該規劃方法包 含: 造成該反相層; 在該第一區產生一電子流,並使該電子流橫穿該反 相層;及 藉該等場線令電子流加速穿過該空乏區而幾乎或 π王不會散射’俾使該等電子加速穿過該絕緣體並射出 於浮動閘極上。 2·如申請專利範圍第W之方法,其巾該通道區具有一第 -部份沿—水平表面佈設’及—第二部份係在一溝槽 3· t申請專利範圍第W之方法,其中該通道區具有一第 i部份係在一溝槽内,及-第二部份沿-水平表面佈 4·如申請專侧物之方法,其_1份係大致 38200537696 X. Scope of patent application: 1. A method for electrically planning and erasing a memory device. The memory device has a substrate of a first conductive semiconductor material, and a first and second conductive device. Two sections are disposed in the substrate separately, and a non-coplanar channel region is disposed in the substrate therebetween, and the channel region has first and second parts, and a conductive control gate has a part It will be adjacent but insulated to the first part of the channel area to create an inversion layer. A floating closed electrode has a part that will be adjacent to the second part of the channel area, but insulated from it by an insulator. An empty region has field lines or the like to guide the floating gate, wherein the first region is adjacent to the inversion layer; the planning method includes: causing the inversion layer; generating an electron flow in the first region and causing the Electron current traverses the inversion layer; and by the field lines, the electron flow is accelerated through the empty region with little or no π scattering, and the electrons are accelerated through the insulator and projected on the floating gate. 2. If the method of applying for the patent scope W, the channel area of the towel has a first part of the layout along the horizontal surface and the second part of the method of applying the patent scope W in a groove 3. The channel area has an i-th part in a groove, and-the second part is arranged along the horizontal surface. If the method of applying a special object is used, its _1-part is approximately 38. 200537696200537696 垂直於第二部份。 5.如申請專利範圍第4項之方法,其中該反相層具有一壓 出點係鄰近於該空乏區或在空乏區内,而該電子流會在 此壓出點處開始加速穿過該空乏區。 39Perpendicular to the second part. 5. The method according to item 4 of the patent application, wherein the inversion layer has an extrusion point adjacent to or in the empty area, and the electron flow will begin to accelerate through the extrusion point at the extrusion point. Empty area. 39
TW094100630A 2004-01-13 2005-01-10 An improved method of programming electrons onto a floating gate of a non-volatile memory cell TW200537696A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/757,830 US6891220B2 (en) 2002-04-05 2004-01-13 Method of programming electrons onto a floating gate of a non-volatile memory cell

Publications (1)

Publication Number Publication Date
TW200537696A true TW200537696A (en) 2005-11-16

Family

ID=34826429

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094100630A TW200537696A (en) 2004-01-13 2005-01-10 An improved method of programming electrons onto a floating gate of a non-volatile memory cell

Country Status (4)

Country Link
JP (1) JP2005203801A (en)
KR (1) KR20050074336A (en)
CN (1) CN1641861A (en)
TW (1) TW200537696A (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103903969B (en) * 2012-12-26 2016-05-04 北京兆易创新科技股份有限公司 The preparation method of floating boom
CN104882472A (en) * 2015-06-07 2015-09-02 上海华虹宏力半导体制造有限公司 Separated gate flash memory structure used for improving writing efficiency
US10141321B2 (en) * 2015-10-21 2018-11-27 Silicon Storage Technology, Inc. Method of forming flash memory with separate wordline and erase gates
CN113192838B (en) * 2021-03-24 2024-02-02 上海华虹宏力半导体制造有限公司 Flash memory forming method

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01291470A (en) * 1988-05-18 1989-11-24 Mitsubishi Electric Corp Semiconductor device
JP2000269365A (en) * 1999-03-17 2000-09-29 Sanyo Electric Co Ltd Nonvolatile semiconductor storage device and its manufacture
JP3459062B2 (en) * 2000-07-12 2003-10-20 松下電器産業株式会社 Nonvolatile semiconductor memory device and method of manufacturing the same

Also Published As

Publication number Publication date
KR20050074336A (en) 2005-07-18
CN1641861A (en) 2005-07-20
JP2005203801A (en) 2005-07-28

Similar Documents

Publication Publication Date Title
JP4065414B2 (en) Self-aligned method for forming a semiconductor memory array of floating gate memory cells with buried source lines and floating gates and memory array made thereby
US6891220B2 (en) Method of programming electrons onto a floating gate of a non-volatile memory cell
US6906379B2 (en) Semiconductor memory array of floating gate memory cells with buried floating gate
US7208376B2 (en) Self aligned method of forming a semiconductor memory array of floating gate memory cells with buried floating gate and pointed channel region
TW587331B (en) Double densed core gates in SONOS flash memory
KR100472162B1 (en) Self aligned method of forming a semiconductor memory array of floating gate memory cells with non-linear elongated floating gate, and a memory array made thereby
JP5035775B2 (en) Self-aligned method for forming a semiconductor memory array of floating gate memory cells with source side erase and memory array formed thereby
US7851846B2 (en) Non-volatile memory cell with buried select gate, and method of making same
JP2004289162A (en) Semiconductor memory array of floating gate memory cells equipped with embedded floating gate, mountain-shaped floating gate and mountain-shaped channel region
TW200409350A (en) Self-aligned split-gate NAND flash memory and fabrication process
JP2008251825A (en) Mehtod for manufacturing semiconductor memory device
TW201611247A (en) Split-gate flash memory cell with improved scaling using enhanced lateral control gate to floating gate coupling
US6822287B1 (en) Array of integrated circuit units with strapping lines to prevent punch through
JP4769425B2 (en) Bidirectional read / program nonvolatile non-volatile floating gate memory cell and array and manufacturing method thereof
US6893917B2 (en) Structure and fabricating method to make a cell with multi-self-alignment in split gate flash
US7129536B2 (en) Non-planar non-volatile memory cell with an erase gate, an array therefor, and a method of making same
TW200537696A (en) An improved method of programming electrons onto a floating gate of a non-volatile memory cell
US7408219B2 (en) Nonvolatile semiconductor memory device
JP4629982B2 (en) Nonvolatile memory element and manufacturing method thereof
JP5434594B2 (en) Nonvolatile semiconductor memory device
JP3137091B2 (en) Manufacturing method of nonvolatile semiconductor memory device
JP2005260235A (en) Embedded bit line type nonvolatile floating gate memory cell having independently controllable control gate in trench, array of cell, and method for manufacturing cell
TW565912B (en) Manufacturing method of flash memory
JP2001176989A (en) Non-volatile semiconductor memory device and producing method therefor