TW200535273A - Methods for producing ruthenium film and ruthenium oxide film - Google Patents

Methods for producing ruthenium film and ruthenium oxide film Download PDF

Info

Publication number
TW200535273A
TW200535273A TW094108763A TW94108763A TW200535273A TW 200535273 A TW200535273 A TW 200535273A TW 094108763 A TW094108763 A TW 094108763A TW 94108763 A TW94108763 A TW 94108763A TW 200535273 A TW200535273 A TW 200535273A
Authority
TW
Taiwan
Prior art keywords
ruthenium
volatile
manufacturing
reaction chamber
compound
Prior art date
Application number
TW094108763A
Other languages
English (en)
Inventor
Julien Gatineau
Christian Dussarrat
Original Assignee
Air Liquide
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Liquide filed Critical Air Liquide
Publication of TW200535273A publication Critical patent/TW200535273A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)

Description

200535273 九、發明說明: 【發明所屬之技術領域】 t發嶋關用於生產釘薄膜和氧化舒薄膜的方法。 【先前技術】 釕和氧化舒被認為是用於下一世代咖之 才料的最有前景的材料。目前係使用諸如氧化銘、:二 :、氧化給及欽酸鎖銷等高介電常數材料作為電容:電 極。然而,這些材料係使用高達6〇〇。〇的溫 : 此舉將造成複晶矽、矽或鋁的氣 服又仃產, 鋁的軋化及電容損失。另一方面, 二氧化釕皆具有高抗氧化性與高導電率,而適用於電容 2極材料的應用。其亦有效作為氧擴散阻障物。釕亦已 作為鑭系氧化物的閘極金屬。此外,釕㈣及其他貴全屬 :合物更易於為臭氧及氧電聚所敍刻。使用釘作為由電鑛 鋼隔離低介電常數材料之阻障層及作為種子層近來亦受到 >主目〇 業已研究出藉由化學蒸氣沈積UVD)及近來藉由原子 層沈積(ALD)之用於釕沈積的多種先驅物。雖然至今已 有約30 «不同的先驅物受用為釕或氧化釕沈積用的先驅 物但目剛僅使用三種主要的先驅物。最常使用的先驅物 為雙(乙基環戊二烯)釕或Ru(EtCp)2 (詳見非專利參考 育料1及專利參考資料。。該先驅物係直接使用或溶於 诸如四氫呋喃之溶劑後使用。其在室溫下為液體,並在75 C下具有〇. 1 torr的蒸氣壓。釕薄膜係藉由CVD而在 3〇〇-400°C溫度下由該先驅物進行製造(詳見非專利參考 5 200535273 資料i),而氧化㈣膜係藉由使用氧氣 的ALD進行势造p菜目室& &心_ ‘物 (评見專利苓考貧料1)。第二種先,區物 為釕氧烯(RuCp2),直且有^1 9ηίΓΓώ^^ 物 2 /、具有約200 C的熔點,並以相同於
Ru(EtCp)2的反應物與溫度進行處理(詳見非專利參考資 料2及非專利參考資料3)。使用該先驅物的cvd係說: 於非專利參考資料3中’而丨ALD製程係說明於非專利參 考資料4中。第三種先驅物為三(2,4_辛二酸酯)釕
(Ru(〇D)3),其在室溫下為液體,並在2〇(Γ(:下具有!切汀 的蒸氣壓。使用該先驅物形成釕薄膜的現代製程為在約3〇〇 C的CVD法(說明於非專利參考資料4中)。 〔專利參考資料1〕 美國專利第6, 580, 1 1 1號。 〔非專利參考資料1〕 s. Y. Kang 等人,J· Korean Phvs· Soc· · 2000,第 37 卷,No· 6,1 040-1 044 〔非專利參考資料2〕 S-E- Park 等人,J. Electrochemical Soc·,2000,147, 203-209 〔非專利參考資料3〕 丁· Aaltonen 等人, Electrochemical Society Pr,oceedijig^ 2003-08 〔非專利參考資料4〕 卜H· Lee 等人,Electrochemical and Sol id-State
Letters^ 1999, 2, 622-623 6 200535273 【發明内容】 本發明所解決的問題 …然而,已有報告指出藉由使用前揭先前技藝先驅物之 f氣沈積法所形成的釕型薄膜對於有機基層、玻璃、石夕、 ^ ^夕及五乳化组薄膜具有不佳的黏著性。亦有報告指出 =舒型薄膜對於氮化物薄膜與氧化物薄膜具有不佳的點 =非專利翏考資料3指出對於底層氧化紹薄膜具有良 士 —田虱化鋁溥膜(為鬲介電常數材料)不存在 蛉,釕型薄膜具有存在微孔隙的不均狀態。 數個專利與文獻亦已指出雜質存在於釘型薄膜中。碳 IS成長膜中為最大的問豸,因為碳會增加釕型薄膜的 y ; ^已指出存在有生成自先前技藝先驅物組成物的 、匙氣及氟化物雜質。另一個問題為Ru(EtCp)2的育 須=。為避免初始成長階段中的育成期,已有文獻指出必 Z使用Ru(EtCp)2沈積釕之前,便藉由濺鍍法施加種 刀先如技藝先驅物具有極低揮發性,此將導 用這些先驅物時會使釕型薄膜沈積變慢。 扩 ^本發明的目的在於提供一種使用先前技藝解決 則揭問題並特指可快速沈積釕或氧化釕薄膜的 Γ _或氧化釕薄膜對於基板具有良好的黏著性,且不推有 雜質。 / 解決問題的方式 一 康本t明的第一個觀點,所提供為一種用於#凌了 溽膜的方沬榜 、衣仏㈤ 力决’其特徵在於藉由將氣相揮發性無機釘化合物 7 200535273 吳孔相還原劑輸入載有至少_個基板的反應艙中,以使氣 相揮發性無機釕化合物與氣相還原劑發生反應,而將釕沈 積於該至少一個基板上。 據本lx明的第一個觀點,所提供為一種用於製造氧 化釕薄膜的方法,其特徵在於: 將氣相揮發性氧化釕於λ + t , 平知I乳化釕輸入載有至少一個基板的反應艙 中,以及 •在加熱的條件下分解該揮發性氧化舒,而將氧化釘薄 膜沈積於該至少一個基板上。 發明之有益的效果 本發明可快速沈積舒或氧化訂薄膜,其中該訂或氧化 釕溥膜對於基板具有良好的黏著性,且不接有雜質。 【實施方式】 本發明將更詳細說明如下。 本發明係使用揮於料I} 皁毛生…、機釕化合物作為製造釕薄膜的 釕先驅物。該無機釕化合物 ;骽只轭例為諸如三氧化釕 (Ru〇3 )與四氧化釘(R 〇〕 …)的揮發性無機氧化釕,及諸 如五氟化釕(ruF5 )、六螽卟 、,了( RuF6)與三氯化釕(ruci3) 的揮發性無機鹵化釘;然而, 揮杳性無機釕化合物並非僅 限於這些具體實施例。本發明 .^ ^ 丄々 个七月了使用早一種該無機釕化合 釕化合物。 “四氧化舒為特別較佳的無機 根據本發明的釕薄膜沈積包 ,,ίν, ^ ^ w已3有將揮發性無機釕化合 物以m氣相輸入载有至少一個 固基板的反應艙中,並將氣相 8 200535273 ‘ • 還原劑輸入該反應艙中。 該還原劑還原本發明的無機_化合物,並將其轉化成 釘金屬。該還原齊!的具體實施例為氫I (H2)、三石夕炫胺 (N(SiH3)3)、矽烷(SiH4)、二矽烷(^心)、三石夕烷 |Si3H8)、二硼烷(从)及六氣二矽烷⑺从),惟 亚非僅限於這些具體實施例。本發明可使用單一種該還原 劑或其二種或多種的混合物。氮氣為特別較佳的還原劑。 本發明可使用化學蒸氣沈積(c v D )及原子層沈積(A L D) φ 而形成釕薄膜。 CVD使用共存於反應艙中的蒸氣相無機釕化合物與蒸 氣相還原劑。在該狀況下,無機舒化合物與還原劑於氣相 發生反應,無機釕化合物會還原成釕,並沈積於基板上。 反應艙中的總壓最好維持在〇. 〇1_1〇〇〇 t〇rr且〇.卜i〇 t〇rr 為更佳,而基板則最好加熱至5〇_8〇〇t且1〇〇_4〇(rc為更 佳。進入反應艙的還原劑數量應足夠於將無機釕化合物藉 由該還原劑而還原成釕金屬。例如’當使用四氧化釘作為 無機釕化合物並使用氫氣作為還原劑時,至少一莫耳的氫 氣用於每莫耳的四氧化釕。該狀況下的反應副產物為水。 就所涉及的反應性化合物而言(無機釕化合物和/或還 原劑),在ALD中,僅先將無機釕化合物輸入反應艙中, 且極薄的無機釕化合物層(單原子層)係藉由吸附而形成 於基板上。其次,使用純氣(氮氣、氦氣等)沖洗反應搶 内。卩,以移除未反應(未吸附)的無機釕化合物,之後僅 允許還原劑進入反應艙。所輸入的還原劑會與存在於基板 9 200535273 上的無機釕化合物單原子層發生反應,藉此將無機釘化合 物還原成釕金屬。此將使釕單原子層形成於基板上。當希 冀形成較厚的舒薄膜時,將未反應的還原劑及由無機釘化 合物與還原劑所形成的蒸氣相反應物從反應艙沖洗出,再 重複下列V驟.輸入無機釕化合物’冑由沖洗移除殘留的 釕化合物,輸入還原劑,以及藉由沖洗移除還原劑與蒸氣 相反應物。 在ALD製程中,可使用脈衝方式輸入無機舒化合物盘 還原劑。例如’無機釕化合物可卩g.hG s咖的流速輸 入卜10秒,而還原劑可以諸如0 5_100 sccm的流速輸 入0.01秒。該沖洗氣體可以諸如100_200 sccm的流速輸 入 〇· (Π-10 秒。 在LD製私中,反應搶中的總壓最好維持在〇 · 1 一 1 〇 torr,而基板溫度最好維持在1〇〇_6〇〇它。 本發明係使用揮發性氧化釕作為製造氧化釘薄膜的氧 化釕先驅物。該揮發性氧域可為前揭實施例。最好使用 四氧化釕作為揮發性氧化釕。 為使用本發明形成(固態)氧化釕薄膜,揮發性氧化 釘輸入載有至少一個基板的反應艘中。基板加熱至揮發 性二化釕進行分解並產生固態氧化釕(二氧化釘)的溫度, 且糟由揮發性氧化釕分解所生成的固態氧化釕係沈積於基 板上。目癌、無機釕化合物係作用為揮發性氧化釕的分解觸 媒。因此’當揮發性氧化釕已進行熱分解且所產生的固態 虱化釕已沈積於基板上時,縱使加熱溫度降低時仍可完全 200535273 =解揮發性氧化_。使用四氧化釕作為揮發性氧化 n ni ln 了沈積期間之反應搶中的㈣最好維持在 力·Γ$ 且維持在仏^阶為更佳^板最好 加熱至至少250t,且350_40(rc為更佳。 在本發明中,可將經純氣稀釋的揮發性無機舒化合物 ^了先驅物)與還原劑輸人反應艙中。該鈍氣可為諸 氣、氬氣、氦氣等。 必仏在本^明中’可使用發泡器技術將揮發性無機釕化合 勿知入反應时。更具體地說,揮發性無㈣ 存於維持在最料―啊至1_(_邮至啊為更/) :曰内的今益中’可使用鈍氣發泡管將純氣發泡於揮發 '無機舒化合物中;以及揮發性無機舒化合物可隨著鈍^ 而輸入反隸中。揮發性無㈣化合物亦可儲存於容器 内’以作為溶劑内的溶液。 本發明的基板可以諸如石夕基板之半導體基板為範例。 =下列薄膜可能已先形成於該半導體基板上··低介電常 高介電常數薄膜、摻碳二氧化石夕薄膜、氮化欽薄 版、鋼溥膜、氮化鈕薄膜、鉬薄膜、鎢薄膜及鐵電薄膜。 康本《月所製造的釕薄膜與氧化舒薄膜對於這些薄膜皆 具有極佳的黏著性’且即使於化學機械拋光(cMp)時亦 :會發生剝離或脫落。此外,其完全沒有諸如碳、氯、_ 川氟)的雜^摻入這些|了或氧化釕薄膜内。本發明 亦可,視育成的要求’此將得於在較短時間内完成釘或氧 化釕薄膜的沈積(成長)。 200535273 第1圖為綱要地圖解可用於執行製造釘或固態氧化釘 薄膜之本發明方法的mVD為基礎之設備實施例的方塊 第1圖所不的设備設有反應搶】i、揮發性無機釘化合 物的饋Μ 12、還原劑氣體㈣料源13及通常作為載體 氣體和/或稀釋氣體之鈍氣的饋料源14。在單晶圓設備的 狀況中’基座(未表不於圖式中)設於反應艙η中,以
及諸如矽基板之單一半導體基板(未表 裝於該基座上。一加熱器設於基座中, 示於圖式中)係安 以將半導體基板加 熱至希冀的反應溫度。在批式設備的狀況中,5至200個 半導體基板係容納於反應艙!"。批式設備中的加熱器 具有與單一晶圓設備中的加熱器不同的結構。
揮發性無機釕化合物饋料源丨2係使用前揭的起泡器方 法二以將揮發性無機釕化合物輸入反應艙u ;且饋料源Μ 係藉由管線L1而連接至鈍氣饋料源14。管線u設有一截 流閥Vi及位於該閥門下游端的一流速控制胃(諸如質量 $量控制器MFC1)。揮發性釕化合物係由饋料源12穿經 管線L2而進入反應艙u。下列元件係由上游端開始嗖置. 紫外光譜儀uvs、壓力計PG1、截流閥V2及截流閥v3。紫 外光譜儀uvs用於證實揮發性無機釕化合物存在於管線L2 中,並偵測其濃度。 、 還原劑氣體饋料源13包含容納氣相還原劑的容哭。還 原劑氣體係由饋料源13穿經管線L3而進入反應艙^。截 流閥V4設於管線L3中。該管線L3連接至管線\2。 12 200535273 鈍氣饋料源1 4包含容納氣相鈍氣的容器。鈍氣可由饋 料源穿經管線L4而進入反應艘1 1。下列元件係由管線L4 上游端開始設置:截流閥V6、質量流量控制器MFC3及壓 力計PG2。管線L4在截流閥V4上游端接合於管線L3。 管線L5在管線L1中之截流閥VI的上游端分歧出;管 線L5在截流閥V2與截流閥V3之間接合於管線L2。管線 L5設有截流閥V7與質量流量控制器MFC4 (由上游至下游 的順序)。 管線L6由截流閥V3,V4之間分歧出而進入反應艙1!。 管線L6設有截流閥V8。 連接至泵PMP的管線L7設於反應艙11底部。下列元 件係由管線L7上游端開始設置··壓力計PG3、用於控制背 壓的蝴蝶閥BV及熱阱15。該熱阱15包含有加熱器設於其 周緣的管體。因為揮發性無機釕化合物係藉由熱分解而轉 化成固態釕化合物,所以輸入熱阱15的揮發性無機釕化 合物會轉化成固態釕化合物並沈積於管體的内壁上,以及 可以此方式由氣體流束移除。 使用第1圖所示設備製造釕薄膜係起始於關閉截流閥 VI,V2,V5並開啟截流閥V6,n,V3,V4,”,以及藉 由,PMP的作用將鈍氣由鈍氣饋料源} 4穿經管線w而進 入官線L6與進入反應艙11。 其次’開啟截流閥V5 遇原劑氣體輸入反應艙11 鈍氣饋料源1 4將鈍氣穿經 ’並由還原劑氣體饋料源1 3將 中。開啟截流閥VI,V2,並由 管線L1及輸入揮發性無機釕化 13 200535273 合物饋料源1 2中。此舉將使氣相無機釕化合物穿經管線l2 與管線L6而輸入反應艙11中。還原劑氣體與揮發性無機 釕化合物會於反應艙11中進行反應,而使釕金屬沈積於 半導體基板上。 為使用第1圖所示的設備製造固態氧化釕薄膜,該設 備因不會使用還原劑而先關閉截流閥V5 (並維持關閉), 且亦關閉戴流閥V4, V6, V7(並維持關閉)。當該設備處 於此狀態下時,開啟截流閥V1,V2, V3, V8,以及藉由泵 鲁PMP的作用將鈍氣由鈍氣饋料源】4穿經管線與管線^ 2進入揮發性氧化釕饋料源12。氣相揮發性氧化釕係穿經 管線L2與管線L6而進入反應艙u中。將反應艙u加熱, 以將輸入反應艙11中的揮發性氧化釕進行熱分解並轉化 成沈積於基板上的固態氧化釕。 第2圖為綱要地圖解可用於執行製造釕薄膜之本發明 方法的以ALD為基礎之设備實施例的方塊圖。 第2圖所示的設備包含有設有管線L8之第i圖所示的 攀設備;、其中該管線L8本身設有截流閥V2,,及位於截流閱 V2下游端之等同於熱阱丨5的熱阱丨5,。此外,與第1圖 相同的元件係以相同的參考符號表示,且將不再重複詳細 說明。管線L8的一端連接至位於紫外光譜儀uvs與壓力 什PG1之間的管線L2,且另一端則連接至位於熱阱15與 泵PMP之間的管線L7。 、 使用第2圖所示設備製造釕薄膜係起始於關閉截流閱 V2, V5,並開啟截流閥V6, V7, V3,以,V8, v9及截流 14 200535273 閥VI,V2 。藉由泵PMP的作用將鈍氣由鈍氣饋料源i 4穿 經管線L4與管線L6而進入反應艙U,且揮發性釕化合物 係與鈍氣一同在管線L1,L 2,L 8中傳送。 當初始設定完成時’關閉截流閥V2,並開啟截流閥V2, 以及將一揮發性釕化合物脈衝輸入反應艙丨丨中。其次, 關閉截流閥V2,開啟截流閥V2,,並關閉V2,以使揮發性 釕化合物與鈍氣一同穿經管線L8並由同一系統排出,以 及同時將鈍氣輪入反應艙内並沖洗反應艙内部,以由 •反應艙11移除未反應的無機釕化合物。其次,開啟截流 閥V5,此舉將輸入來自還原劑氣體饋料源丨3的還原氣體 及來自鈍氣饋料源14的鈍氣脈衝於反應艙11中。其次, 關閉截流閥V5,此舉將輸入一鈍氣脈衝於反應艙丨丨内, 以由反應艙11移除反應副產物、未反應還原劑等。可重 複該製程循環,直至獲得具希冀厚度的釕薄膜。 實施例 本發明係藉由實施例而說明如下,惟其並非僅限於這 些實施例。 實施例1 將表面具有二氧化矽薄膜的矽半導體及矽基板安裝於 反應搶中。在含有液態四氧化釕的容器中將氮氣起泡,並 將所產生之具有氮氣的四氧化釕與〇· 5體積%濃度(相對 於氮氣)的氫氣同時輸入反應艙中。反應艙中具有3 torr 的總壓,且基板溫度設定於250 °C。該步驟將使釕金屬膜 仏積方、基板上’且該狀況中的釕金屬沈積速率約為1 〇 〇埃 15 200535273 /勿知。所產生的氧化釕 胰係緊也地接合於矽基板及矽 基板上的二氧化矽薄膜。 M ^寻膜料,使用較高的基板溫度可提 南釕孟屬與矽基板及二氧化矽薄臈的接合強度。 實施例2
吏用貝施例1中的相同步驟(除了使用基板溫 度以外)开W了金屬薄膜於下列薄膜上:氧㈣薄膜、低 介電常數㈣'氧—(_2)薄膜、鑭系氧化物(La203 ) 薄膜、氮化叙(TaN)薄臈、氧化组(TaA)㈣、氮化 鈦(TlN)、BST薄膜及PZT薄膜。釘金屬沈積速率與薄膜 性質無關,且在各狀況下皆約為7〇埃/分鐘。再者,釕金 屬緊密接合於各薄膜上。 實施例3 將表面具有二氧化矽薄膜的矽半導體及矽基板安裝於 反應艙中。以10 sccm的流速將氮氣起泡於含有液態四氧 化釕的容器中,並以〇· 1 sccm的流速將所形成的稀釋四 氣化益了(具有氮氣的四乳化釕)輸入反應艘中〇 · 5秒,以 形成一個薄氧化釕層於各基板上。其次,使用氮氣沖洗反 應餘内部,以移除未反應的四氧化釕。其次,將1 · 2 sccm w速的氫氣伴隨著作為稀釋劑的氮氣輸入反應驗中1秒。 作為稀釋劑之氮氣的總流速為174 sccin。反應艙内的壓力 維持在4 torr。基板溫度設定在15(TC。 其次,使用氮氣沖洗反應艙内部,之後重複前揭循環 而獲得希冀厚度的釕金屬薄膜。釕金屬沈積速率約為每個 循環2· 5埃。 16 200535273 實施例4 使用實施例3中的相同步驟(除了使用2〇代基板溫 度以外)开)成釕金屬薄膜於下列薄膜上:氧化鋁薄膜、低 介電常數薄膜、氧化铪(Hf〇2)薄膜、鑭系氧化物(㈣:) 薄膜、氮化鈕(TaN)薄膜、氧化鈕(Μ)薄膜、氮化 鈦(TiN) 、BST薄膜及ρζτ薄膜。釕金屬沈積速率與薄膜 性質無關,且在各狀況下皆約為每個循環2. 5埃。再者, 釕金屬緊密接合於各薄膜上。 實施例5 將表面具有二氧化矽薄膜的矽半導體及矽基板安裝於 反應艙中。在含有液態四氧化釕的容器中以27 Μα的流 速將氮氣起泡,並將該具有氮氣的四氧化釕輸入反應艙 中。反應艙中具有i t〇rr的總壓,且基板溫度設定於4〇〇 C。在该條件下,於3分鐘後,各薄膜上將獲得約4〇〇埃 厚度的極均勻氧化釕薄膜(沈積速率約133埃/分鐘)。 產生的氣化釕薄膜係緊密地接合於石夕基板及石夕基板上的 二氧化矽薄膜。 【圖式之簡單說明】 第1圖為綱要地圖解用於執行本發明方法之設備實施 例的方塊圖。 第2圖為綱要地圖解用於執行本發明方法之另一個設 傷貫施例的方塊圖。 【主要元件符號說明】 11反應艙 17 200535273 12 揮發性無機釕化合物饋料源 13 還原劑氣體饋料源 14 鈍氣饋料源
18

Claims (1)

  1. 200535273 . 十、申請專利範圍: 1. -種用於製造舒薄膜的方法,其特徵在於藉由將氣 相揮發性無機舒化合物與氣相還原劑輪入載有至少—㈣ 板的反應搶中,以使氣相揮發性無機舒化合物與氣相㈣ 劑發生反應,而將釘沈積於該至少一個 2. 如申請專利㈣u項之μ方;r其特徵在於該 揮發性無機舒化合物為選自由三氧化舒、四氧化釘、五氣 化舒、六氟化釕及三氯化釘所組成之族群中的至少—種釘 ^化合物。 3·如申請專利範圍第…項之製造方法,其特徵在 =該還原劑包含有氫氣、三矽烷胺、矽烷、二矽烷、三矽 烷一硼烷、六氯二矽烷及前揭二種或多種物質的混合物。 4.如申請專利範圍第1至2項中之任-項的製造方法, 其特徵在於該反應驗中的壓力維持在ui-ioao torr。 5·如申請專利範圍第1至2項中之任-項的製造方法, 其特徵在於沈積係於50_ 80(rc的基板溫度下進行。 • 6.如申請專利範圍第1至2項中之任-項的製造方法, 、在於揮I性無機釕化合物與還原劑共存於該反應艙 内〇 7·如申請專利範圍第1至2項中之任-項的製造方法, 其特徵在於: 就揮發性無機釕化合物與還原劑而言,首先將揮發性 無機釕化合物輪人# c^^ J入邊反應;te中,而形成一揮發性無機釕化 合物層於該基板上, 19 200535273 沖洗該反應艙内部,以及 輸入該還原劑於該反應艙中,藉此還原該揮發性 釕化合物。 μ δ.如申請專利第7項之製造方法,其特徵在於: 在該還原後沖洗該反應艙内部,以及 之後重複執行輸人揮發性無機舒化合物與輸入還原 W ’其中反應艙内部係於輸入揮發 早發丨生無機釕化合物與輸入 遇原劑之間進行沖洗。 其特徵在於沈 9. 如申請專利範圍第7項之製造方法 積係於1 00- 600。(:的基板溫度下進行。 其特徵在於沈 10. 如申請專利範圍第8項之製造方法 積係於1 00- 60(Tc的基板溫度下進行。 ^-㈣於製造氧化㈣臈的方法,其特徵在於: 中,=相揮發性氧化钉輸入載有至少一個基板的反應搶 在加熱的條件下分解該揮發 臈沈積於該至少-個基板上。乳切,而將氧化釘薄 12. 如申請專利範圍第u 該反庫艙巾μ_pi 只又表每方法,其特徵在於 愿知甲的總堡力維持在0.01-10 torr。 13. 如申請專利範圍第u 在於該分解係於至少25(ΓΓή/?Α4員之I造方法,其特徵 王夕250 C的基板溫度下進行。 十一、圖式·· 如次頁 20
TW094108763A 2004-04-27 2005-03-22 Methods for producing ruthenium film and ruthenium oxide film TW200535273A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004130756A JP2005314713A (ja) 2004-04-27 2004-04-27 ルテニウム膜またはルテニウム酸化物膜の製造方法

Publications (1)

Publication Number Publication Date
TW200535273A true TW200535273A (en) 2005-11-01

Family

ID=34962028

Family Applications (1)

Application Number Title Priority Date Filing Date
TW094108763A TW200535273A (en) 2004-04-27 2005-03-22 Methods for producing ruthenium film and ruthenium oxide film

Country Status (6)

Country Link
US (1) US20050238808A1 (zh)
EP (1) EP1743359A1 (zh)
JP (1) JP2005314713A (zh)
KR (1) KR20060134180A (zh)
TW (1) TW200535273A (zh)
WO (1) WO2005104191A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI510495B (zh) * 2011-10-20 2015-12-01 Hansol Chemical Co Ltd 具有優良階梯覆蓋性之釕化合物以及藉由沈積該具有優良階梯覆蓋性之釕化合物所形成之薄膜
TWI565827B (zh) * 2008-06-05 2017-01-11 液態空氣喬治斯克勞帝方法研究開發股份有限公司 含鑭系元素前驅物的製備和含鑭系元素薄膜的沈積

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
KR100476556B1 (ko) * 2002-04-11 2005-03-18 삼성전기주식회사 압전트랜스 장치, 압전트랜스 하우징 및 그 제조방법
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US20050085031A1 (en) * 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
TW200617197A (en) * 2004-07-09 2006-06-01 Aviza Tech Inc Deposition of ruthenium and/or ruthenium oxide films
JP2006089790A (ja) * 2004-09-22 2006-04-06 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 貴金属膜、貴金属酸化物膜、および貴金属ケイ化物膜の製造方法
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
US20060162658A1 (en) * 2005-01-27 2006-07-27 Applied Materials, Inc. Ruthenium layer deposition apparatus and method
US7265048B2 (en) * 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
TW200734482A (en) 2005-03-18 2007-09-16 Applied Materials Inc Electroless deposition process on a contact containing silicon or silicide
US7651934B2 (en) 2005-03-18 2010-01-26 Applied Materials, Inc. Process for electroless copper deposition
US20070190362A1 (en) * 2005-09-08 2007-08-16 Weidman Timothy W Patterned electroless metallization processes for large area electronics
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070160756A1 (en) * 2006-01-07 2007-07-12 Helmuth Treichel Apparatus and method for the deposition of ruthenium containing films
US7713907B2 (en) * 2006-03-06 2010-05-11 Uchicago Argonne, Llc Method of preparing size-selected metal clusters
US7833358B2 (en) * 2006-04-07 2010-11-16 Applied Materials, Inc. Method of recovering valuable material from exhaust gas stream of a reaction chamber
US8298909B2 (en) * 2006-12-27 2012-10-30 Hynix Semiconductor Inc. Semiconductor device and method for fabricating the same
US20080272421A1 (en) * 2007-05-02 2008-11-06 Micron Technology, Inc. Methods, constructions, and devices including tantalum oxide layers
US7737028B2 (en) * 2007-09-28 2010-06-15 Applied Materials, Inc. Selective ruthenium deposition on copper materials
KR101544198B1 (ko) * 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
TW200939509A (en) * 2007-11-19 2009-09-16 Applied Materials Inc Crystalline solar cell metallization methods
US7888168B2 (en) * 2007-11-19 2011-02-15 Applied Materials, Inc. Solar cell contact formation process using a patterned etchant material
US8012532B2 (en) 2007-12-18 2011-09-06 Micron Technology, Inc. Methods of making crystalline tantalum pentoxide
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8124528B2 (en) 2008-04-10 2012-02-28 Micron Technology, Inc. Method for forming a ruthenium film
US8208241B2 (en) * 2008-06-04 2012-06-26 Micron Technology, Inc. Crystallographically orientated tantalum pentoxide and methods of making same
TWI390756B (zh) 2008-07-16 2013-03-21 Applied Materials Inc 使用摻質層遮罩之混合異接面太陽能電池製造
WO2010025262A2 (en) * 2008-08-27 2010-03-04 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
TWI528608B (zh) 2011-11-21 2016-04-01 財團法人工業技術研究院 環境敏感電子元件之封裝體
CN104011882A (zh) 2012-01-12 2014-08-27 应用材料公司 制造太阳能电池装置的方法
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10731250B2 (en) * 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
JP2022076335A (ja) * 2020-11-09 2022-05-19 東京エレクトロン株式会社 ルテニウム膜を成膜する方法及び装置
CN117597766A (zh) * 2022-06-15 2024-02-23 株式会社日立高新技术 等离子处理方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH069223A (ja) * 1992-06-25 1994-01-18 Kojundo Chem Lab Co Ltd 貴金属薄膜製造法
US6458183B1 (en) * 1999-09-07 2002-10-01 Colonial Metals, Inc. Method for purifying ruthenium and related processes
EP1282911B1 (en) * 2000-05-15 2018-09-05 Asm International N.V. Process for producing integrated circuits
KR100403611B1 (ko) * 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
US20020183054A1 (en) * 2001-04-09 2002-12-05 Yoram Rimoni Mobile system testing architecture
KR100406534B1 (ko) * 2001-05-03 2003-11-20 주식회사 하이닉스반도체 루테늄 박막의 제조 방법
JP4507598B2 (ja) * 2001-07-18 2010-07-21 ザ・リージエンツ・オブ・ザ・ユニバーシテイ・オブ・コロラド 表面に無機薄膜を有する非凝集粒子を製造するための方法
US20030036242A1 (en) * 2001-08-16 2003-02-20 Haining Yang Methods of forming metal-comprising materials and capacitor electrodes; and capacitor constructions
US7264846B2 (en) * 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6737313B1 (en) * 2003-04-16 2004-05-18 Micron Technology, Inc. Surface treatment of an oxide layer to enhance adhesion of a ruthenium metal layer
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI565827B (zh) * 2008-06-05 2017-01-11 液態空氣喬治斯克勞帝方法研究開發股份有限公司 含鑭系元素前驅物的製備和含鑭系元素薄膜的沈積
TWI510495B (zh) * 2011-10-20 2015-12-01 Hansol Chemical Co Ltd 具有優良階梯覆蓋性之釕化合物以及藉由沈積該具有優良階梯覆蓋性之釕化合物所形成之薄膜

Also Published As

Publication number Publication date
KR20060134180A (ko) 2006-12-27
US20050238808A1 (en) 2005-10-27
JP2005314713A (ja) 2005-11-10
WO2005104191A1 (en) 2005-11-03
EP1743359A1 (en) 2007-01-17

Similar Documents

Publication Publication Date Title
TW200535273A (en) Methods for producing ruthenium film and ruthenium oxide film
EP1797581B1 (en) Precursor for film formation and method for forming ruthenium-containing film
US7585762B2 (en) Vapor deposition processes for tantalum carbide nitride materials
TWI490945B (zh) 處理基板的方法
US8034728B2 (en) Systems and methods for forming metal oxides using metal diketonates and/or ketoimines
TW201006842A (en) Precursors for depositing silicon-containing films and methods for making and using same
TWI655308B (zh) 沉積鈦鋁薄膜的製程
TW201214532A (en) Metal gate structures and methods for forming thereof
JPH11238698A (ja) 原子層蒸着工程を用いた金属層形成方法
TW200927981A (en) Preparation of metal-containing film via ALD or CVD processes
US8349738B2 (en) Metal precursors for deposition of metal-containing films
TW201041038A (en) Dielectric barrier deposition using nitrogen containing precursor
JP2024508881A (ja) 薄膜堆積プロセスで金属オキシハロゲン化物前駆体から酸素を除去するための試薬
US7989339B2 (en) Vapor deposition processes for tantalum carbide nitride materials
TWI756959B (zh) 膜或塗層之方法
Peña et al. In situ infrared absorption study of plasma-enhanced atomic layer deposition of silicon nitride
TW201104010A (en) High dielectric constant films deposited at high temperature by atomic layer deposition
US9487860B2 (en) Method for forming cobalt containing films
US20210301400A1 (en) Precursors and methods for preparing silicon-containing films
CN113169070A (zh) 在550℃或更高的温度下使用ALD沉积含Si膜的前体和工艺
US11293093B2 (en) Water assisted highly pure ruthenium thin film deposition
TW200905725A (en) Methods for forming a ruthenium-based film on a substrate
JP2022537175A (ja) 重水素含有膜