SG10201604261TA - Power switching system for esc with array of thermal control elements - Google Patents

Power switching system for esc with array of thermal control elements

Info

Publication number
SG10201604261TA
SG10201604261TA SG10201604261TA SG10201604261TA SG10201604261TA SG 10201604261T A SG10201604261T A SG 10201604261TA SG 10201604261T A SG10201604261T A SG 10201604261TA SG 10201604261T A SG10201604261T A SG 10201604261TA SG 10201604261T A SG10201604261T A SG 10201604261TA
Authority
SG
Singapore
Prior art keywords
esc
array
control elements
switching system
power switching
Prior art date
Application number
SG10201604261TA
Inventor
William Gaff Keith
Anderson Tom
Comendant Keith
Jan-Pin Lu Ralph
Robertson Paul
a pape Eric
Benjamin Neil
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of SG10201604261TA publication Critical patent/SG10201604261TA/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)
SG10201604261TA 2012-11-30 2013-11-07 Power switching system for esc with array of thermal control elements SG10201604261TA (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/690,745 US10049948B2 (en) 2012-11-30 2012-11-30 Power switching system for ESC with array of thermal control elements

Publications (1)

Publication Number Publication Date
SG10201604261TA true SG10201604261TA (en) 2016-07-28

Family

ID=50825824

Family Applications (2)

Application Number Title Priority Date Filing Date
SG10201604261TA SG10201604261TA (en) 2012-11-30 2013-11-07 Power switching system for esc with array of thermal control elements
SG2013083647A SG2013083647A (en) 2012-11-30 2013-11-07 Power switching system for esc with array of thermal control elements

Family Applications After (1)

Application Number Title Priority Date Filing Date
SG2013083647A SG2013083647A (en) 2012-11-30 2013-11-07 Power switching system for esc with array of thermal control elements

Country Status (6)

Country Link
US (2) US10049948B2 (en)
JP (1) JP6276005B2 (en)
KR (2) KR102208488B1 (en)
CN (1) CN103854947B (en)
SG (2) SG10201604261TA (en)
TW (1) TWI619163B (en)

Families Citing this family (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5973731B2 (en) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 Plasma processing apparatus and heater temperature control method
WO2014164910A1 (en) 2013-03-12 2014-10-09 Applied Materials, Inc. Multi zone heating and cooling esc for plasma process chamber
WO2014164449A1 (en) 2013-03-13 2014-10-09 Applied Materials, Inc. Multi-zone heated esc with independent edge zones
TW201518538A (en) 2013-11-11 2015-05-16 Applied Materials Inc Pixelated cooling, temperature controlled substrate support assembly
US10460968B2 (en) 2013-12-02 2019-10-29 Applied Materials, Inc. Electrostatic chuck with variable pixelated magnetic field
US10217615B2 (en) 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US9520315B2 (en) 2013-12-31 2016-12-13 Applied Materials, Inc. Electrostatic chuck with internal flow adjustments for improved temperature distribution
US9622375B2 (en) 2013-12-31 2017-04-11 Applied Materials, Inc. Electrostatic chuck with external flow adjustments for improved temperature distribution
US11158526B2 (en) 2014-02-07 2021-10-26 Applied Materials, Inc. Temperature controlled substrate support assembly
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
JP6219227B2 (en) * 2014-05-12 2017-10-25 東京エレクトロン株式会社 Heater feeding mechanism and stage temperature control method
JP6219229B2 (en) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 Heater feeding mechanism
US9543171B2 (en) * 2014-06-17 2017-01-10 Lam Research Corporation Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element
KR20190010748A (en) 2014-06-23 2019-01-30 니혼도꾸슈도교 가부시키가이샤 Electrostatic chuck
CN106471609B (en) 2014-07-02 2019-10-15 应用材料公司 For using the apparatus, system and method for the substrate temperature control of insertion optical fiber optical device and epoxy resin optical diffuser
WO2016014138A1 (en) * 2014-07-23 2016-01-28 Applied Materials, Inc. Tunable temperature controlled substrate support assembly
JP6463938B2 (en) * 2014-10-08 2019-02-06 日本特殊陶業株式会社 Electrostatic chuck
US10002782B2 (en) * 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
CN107004626B (en) 2014-11-20 2019-02-05 住友大阪水泥股份有限公司 Electrostatic chuck apparatus
JP6172301B2 (en) * 2014-11-20 2017-08-02 住友大阪セメント株式会社 Electrostatic chuck device
JP6325424B2 (en) * 2014-11-21 2018-05-16 日本特殊陶業株式会社 Electrostatic chuck
US20160149733A1 (en) * 2014-11-26 2016-05-26 Applied Materials, Inc. Control architecture for devices in an rf environment
US9872341B2 (en) * 2014-11-26 2018-01-16 Applied Materials, Inc. Consolidated filter arrangement for devices in an RF environment
KR102288349B1 (en) 2014-12-09 2021-08-11 삼성디스플레이 주식회사 Electrostatic chuck system and method for manufacturing organic light emitting display device using the same
US9984911B2 (en) * 2014-12-11 2018-05-29 Applied Materials, Inc. Electrostatic chuck design for high temperature RF applications
CN104538341B (en) * 2014-12-17 2017-06-27 中国地质大学(北京) A kind of vacuum chamber electrostatic chuck adjusting means
JP5962833B2 (en) * 2015-01-16 2016-08-03 Toto株式会社 Electrostatic chuck
KR102308906B1 (en) 2015-03-26 2021-10-06 삼성디스플레이 주식회사 Electrostatic chuck system and method for manufacturing organic light emitting display device using the same
US10386821B2 (en) 2015-06-22 2019-08-20 Lam Research Corporation Systems and methods for calibrating scalar field contribution values for a limited number of sensors including a temperature value of an electrostatic chuck and estimating temperature distribution profiles based on calibrated values
US9779974B2 (en) 2015-06-22 2017-10-03 Lam Research Corporation System and method for reducing temperature transition in an electrostatic chuck
US10381248B2 (en) * 2015-06-22 2019-08-13 Lam Research Corporation Auto-correction of electrostatic chuck temperature non-uniformity
US10763142B2 (en) 2015-06-22 2020-09-01 Lam Research Corporation System and method for determining field non-uniformities of a wafer processing chamber using a wafer processing parameter
JP6655310B2 (en) 2015-07-09 2020-02-26 株式会社日立ハイテクノロジーズ Plasma processing equipment
US9673025B2 (en) * 2015-07-27 2017-06-06 Lam Research Corporation Electrostatic chuck including embedded faraday cage for RF delivery and associated methods for operation, monitoring, and control
US20170092470A1 (en) * 2015-09-28 2017-03-30 Applied Materials, Inc. Plasma reactor for processing a workpiece with an array of plasma point sources
US10237916B2 (en) * 2015-09-30 2019-03-19 Tokyo Electron Limited Systems and methods for ESC temperature control
US10186437B2 (en) * 2015-10-05 2019-01-22 Lam Research Corporation Substrate holder having integrated temperature measurement electrical devices
US10083853B2 (en) * 2015-10-19 2018-09-25 Lam Research Corporation Electrostatic chuck design for cooling-gas light-up prevention
US10690414B2 (en) 2015-12-11 2020-06-23 Lam Research Corporation Multi-plane heater for semiconductor substrate support
CN106935468A (en) * 2015-12-31 2017-07-07 中微半导体设备(上海)有限公司 A kind of semiconductor processor and the multi-region temp controlling heater for semiconductor processor
US10582570B2 (en) * 2016-01-22 2020-03-03 Applied Materials, Inc. Sensor system for multi-zone electrostatic chuck
WO2017159590A1 (en) * 2016-03-14 2017-09-21 Toto株式会社 Electrostatic chuck
JP6226092B2 (en) * 2016-03-14 2017-11-08 Toto株式会社 Electrostatic chuck
KR102513443B1 (en) * 2016-03-15 2023-03-24 삼성전자주식회사 electrostatic chuck and substrate processing apparatus including the same
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
KR20170123830A (en) * 2016-04-29 2017-11-09 세메스 주식회사 Apparatus for controlling temperature of substrate, apparatus for treating substrate comprising the same, and method of controlling the same
JP6982126B2 (en) * 2016-05-06 2021-12-17 日本特殊陶業株式会社 Heating member and electrostatic chuck
US10667379B2 (en) * 2016-05-10 2020-05-26 Lam Research Corporation Connections between laminated heater and heater voltage inputs
US10764966B2 (en) 2016-05-10 2020-09-01 Lam Research Corporation Laminated heater with different heater trace materials
JP6611666B2 (en) * 2016-05-16 2019-11-27 東京エレクトロン株式会社 Mounting table system, substrate processing apparatus, and temperature control method
JP6238097B1 (en) * 2016-07-20 2017-11-29 Toto株式会社 Electrostatic chuck
US20180053666A1 (en) * 2016-08-19 2018-02-22 Applied Materials, Inc. Substrate carrier with array of independently controllable heater elements
US10685861B2 (en) 2016-08-26 2020-06-16 Applied Materials, Inc. Direct optical heating of substrates through optical guide
US10892179B2 (en) * 2016-11-08 2021-01-12 Lam Research Corporation Electrostatic chuck including clamp electrode assembly forming portion of Faraday cage for RF delivery and associated methods
US10079168B2 (en) * 2016-11-08 2018-09-18 Lam Research Corporation Ceramic electrostatic chuck including embedded Faraday cage for RF delivery and associated methods for operation, monitoring, and control
US10725485B2 (en) * 2016-12-15 2020-07-28 Lam Research Corporation System and method for calculating substrate support temperature
KR101877667B1 (en) * 2017-02-28 2018-07-11 세메스 주식회사 Method of testing semiconductor packages
US11350490B2 (en) * 2017-03-08 2022-05-31 Raytheon Company Integrated temperature control for multi-layer ceramics and method
JP7158131B2 (en) 2017-05-30 2022-10-21 東京エレクトロン株式会社 Stage and plasma processing equipment
JP6688763B2 (en) * 2017-05-30 2020-04-28 東京エレクトロン株式会社 Plasma processing method
KR102398880B1 (en) * 2017-06-02 2022-05-17 삼성디스플레이 주식회사 Heat treatment apparatus and method of manufacturing film using the same
KR102435888B1 (en) * 2017-07-04 2022-08-25 삼성전자주식회사 Electro-static chuck, apparatus for processing substrate and manufacturing method of semiconductor device using the same
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US11551909B2 (en) * 2017-10-02 2023-01-10 Tokyo Electron Limited Ultra-localized and plasma uniformity control in a plasma processing system
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
US10306776B1 (en) * 2017-11-29 2019-05-28 Lam Research Corporation Substrate processing system printed-circuit control board assembly with one or more heater layers
CN108766904B (en) * 2018-04-26 2021-03-12 上海华力微电子有限公司 Temperature monitoring method for electrostatic adsorption disc
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
WO2020004091A1 (en) * 2018-06-29 2020-01-02 東京エレクトロン株式会社 Plasma processing device, plasma state detection method, and plasma state detection program
JP7456951B2 (en) 2018-07-05 2024-03-27 ラム リサーチ コーポレーション Dynamic temperature control of substrate supports in substrate processing systems
KR20200023988A (en) 2018-08-27 2020-03-06 삼성전자주식회사 Electro-static chuck and wafer etching device comprising the same
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN111211029B (en) * 2018-11-21 2023-09-01 中微半导体设备(上海)股份有限公司 Multi-zone temperature-control plasma reactor
KR20210090717A (en) 2018-12-07 2021-07-20 램 리써치 코포레이션 Long Life Extended Temperature Range Embedded Diode Design for Electrostatic Chuck with Array of Multiplexed Heaters
CN111326388B (en) * 2018-12-17 2023-02-28 中微半导体设备(上海)股份有限公司 Heating device for supporting substrate and plasma processor
CN111383894B (en) * 2018-12-29 2022-12-30 中微半导体设备(上海)股份有限公司 Plasma processor and electrostatic chuck heating method
CN109459896A (en) * 2018-12-29 2019-03-12 武汉华星光电技术有限公司 Narrow frame display module and its display device
CN111383891B (en) * 2018-12-29 2023-03-10 中微半导体设备(上海)股份有限公司 Temperature control device for semiconductor processing equipment and temperature control method thereof
CN113169026B (en) 2019-01-22 2024-04-26 应用材料公司 Feedback loop for controlling pulse voltage waveform
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
JP7254542B2 (en) 2019-02-01 2023-04-10 東京エレクトロン株式会社 Mounting table and substrate processing device
US11367645B2 (en) * 2019-03-13 2022-06-21 Applied Materials, Inc. Temperature tunable multi-zone electrostatic chuck
US11562913B2 (en) * 2019-04-25 2023-01-24 Watlow Electric Manufacturing Company Multi-zone azimuthal heater
KR102255449B1 (en) * 2019-08-13 2021-05-25 파워큐브세미 (주) Heater
US11043387B2 (en) 2019-10-30 2021-06-22 Applied Materials, Inc. Methods and apparatus for processing a substrate
US20210175103A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. In situ failure detection in semiconductor processing chambers
KR102323082B1 (en) * 2019-12-30 2021-11-09 세메스 주식회사 Apparatus for controlling temperature of substrate, and apparatus for treating substrate comprising the same
CN111121452B (en) * 2020-01-09 2020-09-18 永康市利高工具厂 Heater based on mutual switching of conductor and semiconductor
JP7429126B2 (en) * 2020-01-31 2024-02-07 新光電気工業株式会社 Board fixing device
JP7411431B2 (en) * 2020-01-31 2024-01-11 新光電気工業株式会社 Electrostatic chuck, substrate fixing device
JP7018978B2 (en) * 2020-01-31 2022-02-14 株式会社日立ハイテク Plasma processing equipment
JP2021132190A (en) * 2020-02-21 2021-09-09 東京エレクトロン株式会社 Substrate processing apparatus and mounting table
JP7413128B2 (en) 2020-04-01 2024-01-15 東京エレクトロン株式会社 board support stand
KR102593142B1 (en) 2020-05-19 2023-10-25 세메스 주식회사 Apparatus for treating substrate and method for controlling temperature of ferrite core
KR20220006952A (en) * 2020-07-09 2022-01-18 삼성전자주식회사 Plasma processing apparatus and method of fabricating semiconductor device using the same
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
CN114496693A (en) * 2020-11-11 2022-05-13 中微半导体设备(上海)股份有限公司 Multi-zone heating device, lower electrode assembly, plasma processing device and temperature adjusting method
CN114496692B (en) * 2020-11-11 2024-03-12 中微半导体设备(上海)股份有限公司 Heating assembly, substrate bearing assembly and plasma processing device thereof
CN114496886A (en) 2020-11-13 2022-05-13 新光电气工业株式会社 Substrate fixing device, electrostatic chuck and manufacturing method of electrostatic chuck
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US20220248500A1 (en) * 2021-02-04 2022-08-04 Applied Materials, Inc. Multi-zone heater control for wafer processing equipment
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US20220399185A1 (en) 2021-06-09 2022-12-15 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
KR102368832B1 (en) * 2021-07-08 2022-03-02 에이피티씨 주식회사 An Electrostatic Chuck with Multi Heating Areas
JP7213592B1 (en) 2021-08-19 2023-01-27 アダプティブ プラズマ テクノロジー コーポレーション Electrostatic chuck with multiple heating zone structure
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Family Cites Families (120)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3440883A (en) 1966-12-01 1969-04-29 Monsanto Co Electronic semiconductor thermometer
JPS5546346A (en) 1978-09-27 1980-04-01 Tokyo Electric Co Ltd Roaster
JPS601918B2 (en) 1980-04-26 1985-01-18 ライオン株式会社 Phosphorus-free detergent composition with low recontamination
JPS601918A (en) 1983-06-17 1985-01-08 Fuji Electric Co Ltd Matrix-type selecting circuit
JPS621176A (en) 1985-06-26 1987-01-07 Hitachi Ltd Head supporting device
JPH0610391B2 (en) 1989-11-17 1994-02-09 株式会社ナブコ Guide device for plug door
US5536918A (en) 1991-08-16 1996-07-16 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers
FR2682253A1 (en) 1991-10-07 1993-04-09 Commissariat Energie Atomique HEATING SOLE FOR PROVIDING THE HEATING OF AN OBJECT PROVIDED ON ITS SURFACE AND CHEMICAL PROCESSING REACTOR PROVIDED WITH SAID SOLE.
US5255520A (en) 1991-12-20 1993-10-26 Refir Technologies Advanced thermoelectric heating and cooling system
US5414245A (en) 1992-08-03 1995-05-09 Hewlett-Packard Corporation Thermal-ink heater array using rectifying material
DE4231702C2 (en) 1992-09-22 1995-05-24 Litef Gmbh Thermoelectric, heatable cooling chamber
KR100290748B1 (en) 1993-01-29 2001-06-01 히가시 데쓰로 Plasma processing apparatus
US5504471A (en) 1993-09-16 1996-04-02 Hewlett-Packard Company Passively-multiplexed resistor array
JPH07106319A (en) * 1993-09-30 1995-04-21 Hitachi Electron Eng Co Ltd Electromagnetic shielding method for heating equipment of cvd reaction furnace
JP3257328B2 (en) 1995-03-16 2002-02-18 株式会社日立製作所 Plasma processing apparatus and plasma processing method
US5667622A (en) 1995-08-25 1997-09-16 Siemens Aktiengesellschaft In-situ wafer temperature control apparatus for single wafer tools
JPH09213781A (en) 1996-02-01 1997-08-15 Tokyo Electron Ltd Stage structure and processor using it
US5740016A (en) 1996-03-29 1998-04-14 Lam Research Corporation Solid state temperature controlled substrate holder
WO1998005060A1 (en) 1996-07-31 1998-02-05 The Board Of Trustees Of The Leland Stanford Junior University Multizone bake/chill thermal cycling module
KR200159921Y1 (en) 1996-11-23 1999-11-01 이세원 Up/down control circuit of lifter
JPH10204645A (en) * 1997-01-17 1998-08-04 Hitachi Electron Eng Co Ltd Lower electrode
JP3526184B2 (en) 1997-03-17 2004-05-10 大日本スクリーン製造株式会社 Substrate processing equipment
US6222161B1 (en) 1998-01-12 2001-04-24 Tokyo Electron Limited Heat treatment apparatus
JP3892609B2 (en) 1999-02-16 2007-03-14 株式会社東芝 Hot plate and method for manufacturing semiconductor device
US6353209B1 (en) 1999-03-04 2002-03-05 Board Of Trustees Of The Leland Stanford Junior University Temperature processing module
US6100506A (en) 1999-07-26 2000-08-08 International Business Machines Corporation Hot plate with in situ surface temperature adjustment
US6740853B1 (en) 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
WO2001031978A1 (en) 1999-10-22 2001-05-03 Ibiden Co., Ltd. Ceramic heater
US6271459B1 (en) 2000-04-26 2001-08-07 Wafermasters, Inc. Heat management in wafer processing equipment using thermoelectric device
US6515207B1 (en) 2000-11-08 2003-02-04 Maestro Alex Gregory Adjustable string tree
US6403403B1 (en) 2000-09-12 2002-06-11 The Aerospace Corporation Diode isolated thin film fuel cell array addressing method
AU2002212963A1 (en) 2000-10-25 2002-05-06 Tokyo Electron Limited Method of and structure for controlling electrode temperature
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6501052B2 (en) 2000-12-22 2002-12-31 Chrysalis Technologies Incorporated Aerosol generator having multiple heating zones and methods of use thereof
AU2002240261A1 (en) 2001-03-02 2002-09-19 Tokyo Electron Limited Method and apparatus for active temperature control of susceptors
US6746616B1 (en) 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
US6741446B2 (en) 2001-03-30 2004-05-25 Lam Research Corporation Vacuum plasma processor and method of operating same
JP3582518B2 (en) 2001-04-18 2004-10-27 住友電気工業株式会社 Resistance heating element circuit pattern and substrate processing apparatus using the same
CN100401852C (en) 2001-04-30 2008-07-09 科林研发公司 Method and apparatus for controlling spatial temperature distribution across surface of workpiece support
US6847014B1 (en) 2001-04-30 2005-01-25 Lam Research Corporation Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support
US20050211385A1 (en) * 2001-04-30 2005-09-29 Lam Research Corporation, A Delaware Corporation Method and apparatus for controlling spatial temperature distribution
US6795292B2 (en) 2001-05-15 2004-09-21 Dennis Grimard Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6483690B1 (en) 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
JP3897563B2 (en) 2001-10-24 2007-03-28 日本碍子株式会社 Heating device
US6739138B2 (en) 2001-11-26 2004-05-25 Innovations Inc. Thermoelectric modules and a heating and cooling apparatus incorporating same
US6921724B2 (en) 2002-04-02 2005-07-26 Lam Research Corporation Variable temperature processes for tunable electrostatic chuck
US6612673B1 (en) 2002-04-29 2003-09-02 Hewlett-Packard Development Company, L.P. System and method for predicting dynamic thermal conditions of an inkjet printing system
JP3808407B2 (en) 2002-07-05 2006-08-09 住友大阪セメント株式会社 Electrode built-in susceptor and manufacturing method thereof
US6886347B2 (en) 2002-07-11 2005-05-03 Temptronic Corporation Workpiece chuck with temperature control assembly having spacers between layers providing clearance for thermoelectric modules
US6825681B2 (en) 2002-07-19 2004-11-30 Delta Design, Inc. Thermal control of a DUT using a thermal control substrate
US20040027781A1 (en) 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
JP3924524B2 (en) 2002-10-29 2007-06-06 京セラ株式会社 Wafer heating apparatus and manufacturing method thereof
US7372001B2 (en) 2002-12-17 2008-05-13 Nhk Spring Co., Ltd. Ceramics heater
US6979805B2 (en) 2003-01-08 2005-12-27 Hewlett-Packard Development Company, L.P. Fuel-cell resistors and methods
JP3950068B2 (en) * 2003-02-07 2007-07-25 三井造船株式会社 Temperature control method for semiconductor manufacturing equipment
US6825617B2 (en) 2003-02-27 2004-11-30 Hitachi High-Technologies Corporation Semiconductor processing apparatus
JP4607865B2 (en) 2003-03-28 2011-01-05 東京エレクトロン株式会社 Method and system for substrate temperature control
US6989210B2 (en) 2003-04-23 2006-01-24 Hewlett-Packard Development Company, L.P. Fuel cartridge with thermo-degradable barrier system
US8974630B2 (en) 2003-05-07 2015-03-10 Sungkyunkwan University Inductively coupled plasma processing apparatus having internal linear antenna for large area processing
US20050016465A1 (en) 2003-07-23 2005-01-27 Applied Materials, Inc. Electrostatic chuck having electrode with rounded edge
TWI247551B (en) 2003-08-12 2006-01-11 Ngk Insulators Ltd Method of manufacturing electrical resistance heating element
JP2005123286A (en) 2003-10-15 2005-05-12 Hitachi Kokusai Electric Inc Substrate treatment equipment
KR20050053464A (en) 2003-12-01 2005-06-08 정준호 Two terminal semiconductor memory using cascaded diodes
US20100257871A1 (en) 2003-12-11 2010-10-14 Rama Venkatasubramanian Thin film thermoelectric devices for power conversion and cooling
US7250309B2 (en) 2004-01-09 2007-07-31 Applied Materials, Inc. Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP4349952B2 (en) 2004-03-24 2009-10-21 京セラ株式会社 Wafer support member and manufacturing method thereof
US7141763B2 (en) 2004-03-26 2006-11-28 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
US7697260B2 (en) 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
JP2005294237A (en) 2004-04-05 2005-10-20 Aun:Kk Planar heater
JP4281605B2 (en) 2004-04-08 2009-06-17 住友電気工業株式会社 Semiconductor heating device
US20050229854A1 (en) 2004-04-15 2005-10-20 Tokyo Electron Limited Method and apparatus for temperature change and control
US7415312B2 (en) 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
KR20050121913A (en) 2004-06-23 2005-12-28 삼성전자주식회사 Apparatus for baking
US7396431B2 (en) 2004-09-30 2008-07-08 Tokyo Electron Limited Plasma processing system for treating a substrate
KR100632544B1 (en) 2004-12-15 2006-10-09 현대자동차주식회사 DC driver gate driver circuit
US7475551B2 (en) 2004-12-23 2009-01-13 Nanocoolers, Inc. System employing temporal integration of thermoelectric action
US20060226123A1 (en) 2005-04-07 2006-10-12 Applied Materials, Inc. Profile control using selective heating
JP4667158B2 (en) 2005-08-09 2011-04-06 パナソニック株式会社 Wafer level burn-in method
JP2007081160A (en) 2005-09-14 2007-03-29 Fujitsu Ltd Method for manufacturing semiconductor device
JP4483751B2 (en) 2005-09-16 2010-06-16 株式会社デンソー Power supply reverse connection protection circuit
US20070125762A1 (en) 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
US8168050B2 (en) 2006-07-05 2012-05-01 Momentive Performance Materials Inc. Electrode pattern for resistance heating element and wafer processing apparatus
US7837826B2 (en) 2006-07-18 2010-11-23 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
JP4394667B2 (en) 2006-08-22 2010-01-06 日本碍子株式会社 Manufacturing method of electrostatic chuck with heater
US7557328B2 (en) 2006-09-25 2009-07-07 Tokyo Electron Limited High rate method for stable temperature control of a substrate
US7297894B1 (en) 2006-09-25 2007-11-20 Tokyo Electron Limited Method for multi-step temperature control of a substrate
US7723648B2 (en) 2006-09-25 2010-05-25 Tokyo Electron Limited Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system
JP4850664B2 (en) 2006-11-02 2012-01-11 東京エレクトロン株式会社 Heat treatment plate temperature setting method, program, computer-readable recording medium storing the program, and heat treatment plate temperature setting device
KR20080058109A (en) 2006-12-21 2008-06-25 동부일렉트로닉스 주식회사 Wafer heating device and the wafer heating method
US8222574B2 (en) 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US20080197015A1 (en) 2007-02-16 2008-08-21 Terry Bluck Multiple-magnetron sputtering source with plasma confinement
DE102007015368A1 (en) 2007-03-28 2008-10-02 Endress + Hauser Flowtec Ag Method for operating a magnetic-inductive flowmeter
KR100849069B1 (en) 2007-04-20 2008-07-30 주식회사 하이닉스반도체 Electro static discharge device
US8057602B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US20090000738A1 (en) 2007-06-29 2009-01-01 Neil Benjamin Arrays of inductive elements for minimizing radial non-uniformity in plasma
JP5301812B2 (en) * 2007-11-14 2013-09-25 東京エレクトロン株式会社 Plasma processing equipment
JP2009170509A (en) * 2008-01-11 2009-07-30 Hitachi High-Technologies Corp Plasma processing apparatus including electrostatic chuck with built-in heater
JP4486135B2 (en) 2008-01-22 2010-06-23 東京エレクトロン株式会社 Temperature control mechanism and processing apparatus using the same
JP5351479B2 (en) 2008-01-28 2013-11-27 東京エレクトロン株式会社 Cooling structure of heating source
JP5307445B2 (en) 2008-04-28 2013-10-02 日本碍子株式会社 Substrate holder and method for manufacturing the same
US20090274590A1 (en) 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma reactor electrostatic chuck having a coaxial rf feed and multizone ac heater power transmission through the coaxial feed
US8206552B2 (en) 2008-06-25 2012-06-26 Applied Materials, Inc. RF power delivery system in a semiconductor apparatus
US20100116788A1 (en) 2008-11-12 2010-05-13 Lam Research Corporation Substrate temperature control by using liquid controlled multizone substrate support
JP2010153730A (en) 2008-12-26 2010-07-08 Omron Corp Wiring structure, heater driving device, measuring device, and control system
US8503151B2 (en) 2009-09-30 2013-08-06 Lam Research Corporation Plasma arrestor insert
KR101690327B1 (en) * 2009-03-31 2016-12-27 램 리써치 코포레이션 Plasma arrestor insert
GB2470063B (en) 2009-05-08 2011-09-28 Siemens Magnet Technology Ltd Quench propagation circuit for superconducting magnets
WO2011006018A2 (en) 2009-07-08 2011-01-13 Plasmasi, Inc. Apparatus and method for plasma processing
US8637794B2 (en) * 2009-10-21 2014-01-28 Lam Research Corporation Heating plate with planar heating zones for semiconductor processing
WO2011081645A2 (en) * 2009-12-15 2011-07-07 Lam Research Corporation Adjusting substrate temperature to improve cd uniformity
CN103081088B (en) * 2010-08-06 2016-04-06 应用材料公司 The method of electrostatic chuck and use electrostatic chuck
US8633423B2 (en) * 2010-10-14 2014-01-21 Applied Materials, Inc. Methods and apparatus for controlling substrate temperature in a process chamber
US9123762B2 (en) * 2010-10-22 2015-09-01 Applied Materials, Inc. Substrate support with symmetrical feed structure
US8791392B2 (en) 2010-10-22 2014-07-29 Lam Research Corporation Methods of fault detection for multiplexed heater array
US8546732B2 (en) 2010-11-10 2013-10-01 Lam Research Corporation Heating plate with planar heater zones for semiconductor processing
DE102010053194A1 (en) * 2010-12-03 2012-06-06 Carl Zeiss Nts Gmbh Particle beam device with deflection system
KR101868130B1 (en) * 2011-08-30 2018-06-18 와틀로 일렉트릭 매뉴팩츄어링 컴파니 Thermal array system
JP6010391B2 (en) 2012-08-24 2016-10-19 旭化成株式会社 Mold manufacturing method

Also Published As

Publication number Publication date
CN103854947B (en) 2017-06-30
KR102357476B1 (en) 2022-02-08
CN103854947A (en) 2014-06-11
US10049948B2 (en) 2018-08-14
SG2013083647A (en) 2014-06-27
JP6276005B2 (en) 2018-02-07
TW201438097A (en) 2014-10-01
US10770363B2 (en) 2020-09-08
KR102208488B1 (en) 2021-01-27
KR20140070494A (en) 2014-06-10
TWI619163B (en) 2018-03-21
US20180374763A1 (en) 2018-12-27
JP2014112672A (en) 2014-06-19
US20140154819A1 (en) 2014-06-05
KR20210013247A (en) 2021-02-03

Similar Documents

Publication Publication Date Title
SG2013083647A (en) Power switching system for esc with array of thermal control elements
HRP20181402T1 (en) Solar biomass complementary thermal power system
IL231257B (en) Thermal array system
ZA201406874B (en) Control techniques for photovoltaic power plants
GB2488119B (en) Power control
EP2701265A4 (en) Power control system
EP2894330A4 (en) Solar power system
GB201200837D0 (en) Power control
PT2647841T (en) Solar thermal power system
EP2837086A4 (en) High efficiency control system for the conversion of electrical energy to thermal energy
GB2492461B (en) Control system for an electrical power system
DK2761733T3 (en) ENERGY SYSTEM WITH JUNCTION TEMPERATURE CONTROL
GB201205533D0 (en) Solar thermal system
EP2786115A4 (en) High temperature heating system
EP2905867A4 (en) Power control system and solar power generation system
GB2498758B (en) Power control
EP2932395A4 (en) Power stack control systems
EP2766981A4 (en) Power control
GB2502064B (en) Power control
GB2488201B (en) Power control
EP2770542A4 (en) Solar energy generating system for high temperature environments
EP2885946A4 (en) Multi-channel power control
ZA201305535B (en) Temperature control system
GB2514302B (en) Control of line power
EP2708076A4 (en) Power control of control channels in an lte system