KR960008499B1 - Laser treatment method and laser treatment apparatus - Google Patents

Laser treatment method and laser treatment apparatus Download PDF

Info

Publication number
KR960008499B1
KR960008499B1 KR1019920018168A KR920018168A KR960008499B1 KR 960008499 B1 KR960008499 B1 KR 960008499B1 KR 1019920018168 A KR1019920018168 A KR 1019920018168A KR 920018168 A KR920018168 A KR 920018168A KR 960008499 B1 KR960008499 B1 KR 960008499B1
Authority
KR
South Korea
Prior art keywords
chamber
temperature
laser
doping
film
Prior art date
Application number
KR1019920018168A
Other languages
Korean (ko)
Other versions
KR930020566A (en
Inventor
장흥용
야스히코 다케무라
순페이 야마자끼
Original Assignee
가부시키가이샤 한도오따이 에네루기 겐큐쇼
순페이 야마자끼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시키가이샤 한도오따이 에네루기 겐큐쇼, 순페이 야마자끼 filed Critical 가부시키가이샤 한도오따이 에네루기 겐큐쇼
Publication of KR930020566A publication Critical patent/KR930020566A/en
Application granted granted Critical
Publication of KR960008499B1 publication Critical patent/KR960008499B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60RVEHICLES, VEHICLE FITTINGS, OR VEHICLE PARTS, NOT OTHERWISE PROVIDED FOR
    • B60R25/00Fittings or systems for preventing or indicating unauthorised use or theft of vehicles
    • B60R25/01Fittings or systems for preventing or indicating unauthorised use or theft of vehicles operating on vehicle systems or fittings, e.g. on doors, seats or windscreens
    • B60R25/04Fittings or systems for preventing or indicating unauthorised use or theft of vehicles operating on vehicle systems or fittings, e.g. on doors, seats or windscreens operating on the propulsion system, e.g. engine or drive motor
    • B60R25/06Fittings or systems for preventing or indicating unauthorised use or theft of vehicles operating on vehicle systems or fittings, e.g. on doors, seats or windscreens operating on the propulsion system, e.g. engine or drive motor operating on the vehicle transmission
    • B60R25/066Locking of hand actuated control actuating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14692Thin film technologies, e.g. amorphous, poly, micro- or nanocrystalline silicon

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Electromagnetism (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

내용 없음.No content.

Description

레이저 처리방법 및 레이저 처리장치Laser processing method and laser processing apparatus

제1도는 실시예의 제작공정도.Fig. 1 is a production process diagram of the embodiment; Fig.

제2도는 실시예의 제작공정도.FIG. 2 is a manufacturing process diagram of the embodiment. FIG.

제3도는 실시예의 제작공정도.Fig. 3 is a production process diagram of the embodiment. Fig.

제4도는 실시예의 제작공정도.Fig. 4 is a production process diagram of the embodiment. Fig.

제5도는 본 발명의 반도체 처리(불순물도핑)장치의 개념도.FIG. 5 is a conceptual diagram of a semiconductor processing (doping doping) apparatus of the present invention. FIG.

제6도는 본 발명의 반도체 처리(불순물도핑)장치의 개념도.FIG. 6 is a conceptual diagram of a semiconductor processing (doping doping) apparatus of the present invention. FIG.

제7도는 본 발명의 반도체 처리(불순물도핑)장치의 예시도.7 is an exemplary diagram of a semiconductor processing (doping doping) apparatus of the present invention;

제8도는 본 발명의 반도체 처리(불순물도핑)장치의 예시도.Figure 8 is an illustration of an example of a semiconductor processing (doping doping) device of the present invention;

제9도는 본 발명 및 종래의 방법에 의해 제작된 반도체 불순물 영역의 불순물농도의 깊이 분포도.FIG. 9 is a depth distribution diagram of the impurity concentration in the semiconductor impurity region fabricated by the present invention and the conventional method. FIG.

제10도는 실시예의 제작공정도.FIG. 10 is a manufacturing process diagram of the embodiment; FIG.

제11도는 실시예의 제작공정도.FIG. 11 is a production process diagram of the embodiment; FIG.

제12도는 실시예의 CV 특성 및 원소분포도.12 shows CV characteristics and elemental distributions of the examples.

제13도는 실시예에서의 불순물(붕소)의 깊이방향의 분포도.FIG. 13 is a distribution diagram of the depth direction of the impurity (boron) in the embodiment. FIG.

제14도는 실세예에서의 불순물(인)의 길이방향의 분포도.FIG. 14 is a longitudinal distribution diagram of the impurity (phosphorus) in practical examples. FIG.

제15도는 실시예에서 피막의 시트 저항의 변화를 나타내는 도면.FIG. 15 is a view showing a change in sheet resistance of a film in the embodiment; FIG.

제16도는 실시예에서 제작된 인버터, 링오실레이터 특성도.FIG. 16 is a characteristic diagram of an inverter and a ring oscillator fabricated in the embodiment; FIG.

본 발명은, 저온공정에서 효율적으로 도핑하고, 그 밖의 화학적, 물리적 처리를 행하는 기술에 관한 것이다.TECHNICAL FIELD [0001] The present invention relates to a technology for efficiently doping a semiconductor wafer in a low temperature process and performing other chemical and physical treatments.

종래, 도핑을 행하는 기술로서, 열확산법이나 이온주입법이 알려져 있다.Conventionally, as a technique for performing doping, a thermal diffusion method and an ion implantation method are known.

열확산법은, 1,000~1,200℃라는 고온분위기 중에서 불순물을 반도체중에 확산시키는 방법이고, 이온주입법은 이온화한 불순물을 전계로 가속하여 소정의 장소에 투입하는 방법이다.The thermal diffusion method is a method of diffusing an impurity into a semiconductor in a high-temperature atmosphere of 1,000 to 1,200 DEG C, and the ion implantation method is a method of accelerating ionized impurities to an electric field and putting them in a predetermined place.

그러나,불순물의 확산계수 D는 D=D0exp[-Ea/kT]로 나타낸 바와같이 절대온도 T에 대하여 지수함수적으로 의존한다.However, the diffusion coefficient D of the impurity depends exponentially on the absolute temperature T, as indicated by D = D 0 exp [-Ea / kT].

여기에서 D0는 T=∞에 있어서 확산계수이고, Ea는 활성에너지이고,k는 볼쯔만(Boltzmann)계수이다.Where D 0 is the diffusion coefficient at T = ∞, Ea is the activation energy, and k is the Boltzmann coefficient.

따라서,불순물을 반도체중에 효율적으로,확산시키기 위해서는 가능한한 고온에서 행하는 것이 바람직하며 열산화법에서는 1,000도 이상의 고온 공정에서 행하는 것이 일반적이었다.Therefore, in order to efficiently diffuse the impurities into the semiconductor, it is preferable to carry out the heat treatment at a high temperature as high as possible, and in the thermal oxidation method, the heat treatment is generally carried out at a high temperature process of 1,000 degrees or more.

또한 이온주입법에서는 불순물의 활성화와 결함의 회복을 위해 6000~950℃의 온도에서의 후열처리 공정이 필요했다.Further, in the ion implantation method, a post heat treatment process at a temperature of 6000 to 950 ° C was required to activate impurities and to recover defects.

최근 유리기판상에 설치된 TFT(박막 트랜지스터)를 화소의 스위칭 소자로서 이용한 액티브 매트릭스형 액정표시장치가 일부 실용화되어 있는데, 이것은 TFT의 소스, 드레인 영역을 오오믹콘택트에 일도전형의 비정질규소로 형성하고 있는 것이 일반적이다.Recently, an active matrix type liquid crystal display device using a TFT (thin film transistor) provided on a glass substrate as a switching element of a pixel has been partly put to practical use. This is because the source and drain regions of the TFT are formed of amorphous silicon in an ohmic contact It is common.

또한 TFT의 구조로서 역스태거형의 형식을 취하고 있어 구조적인 문제에서 기생용량을 발생시키기 쉬웠다.In addition, since the structure of the TFT is of a reverse stagger type, it is easy to generate parasitic capacitance due to structural problems.

그리고, 소스, 드레인 영역을 자기정합적(셀프어라인)으로 형성하는 TFT를 이용하는 것이 검토되고 있지만, 소스, 트레인 영역을 자기정합적으로 형성하기 위해서는, 이온주입법이나 이온샤워법을 이용하지 않으면 안되었다.It has been studied to use a TFT that forms the source and drain regions in a self-aligning manner. However, in order to form the source and the drain regions in a self-aligning manner, the ion implantation method or the ion shower method must be used .

그러나 이들 방법은 상술한 바와 같이 불순물의 활성화와 결함의 회복을 위해 600~700℃온도에서 후열처리 공정이 필요하고, 일반적인 값싼 유리기판의 내열온도가, 600~700℃인 점을 고려하면, 공업적으로 이용하는 것이 곤란했다.However, these methods require a post-heat treatment process at a temperature of 600 to 700 ° C to activate impurities and recover defects as described above. Considering that the heat-resistant temperature of general cheap glass substrates is 600 to 700 ° C, It was difficult to use it as an enemy.

이와같은 유리기판에 가해지는 열손상의 문제를 해결하는 방법으로서 레이저광의 조사에 의한 도핑기술이 알려져 있다.As a method for solving the problem of thermal damage to such a glass substrate, a doping technique by irradiation of laser light is known.

이 방법의 한가지는, 도핑을 행하려 하는 반도체 표면에 불순물의 박막을 형성하고, 레이저광의 조사에 의해 이 불순물의 박막과 반도체 표면을 용융시키고, 불순물을 녹여들어가는 방법이 있다.One of these methods is a method of forming a thin film of an impurity on the surface of a semiconductor to be doped and melting the thin film of the impurity and the surface of the semiconductor by irradiation with laser light to dissolve the impurity.

상기의 엑시머 레이저광의 조사에 의해 도핑을 행하는 방법은, 유리기판에 열손상을 주지 않기 때문에, 열손상에 의한 결함의 발생을 억제할 수 있다는 잇점이 있지만, 불순물의 피막을 형성하는 공정을 거칠필요가 있었다.The method of performing the doping by irradiation with the excimer laser light has the advantage of preventing the occurrence of defects due to thermal damage because it does not cause thermal damage to the glass substrate. However, it is necessary to carry out a step of forming a film of impurities .

종래, 이피막형성에는 스핀코트법등의 도포법이 이용되었다.Conventionally, a coating method such as a spin coating method is used to form an effervescent film.

그러나, 이 공정에 있어서, 피막 두께의 균일성이 좋지 않으면, 불순물의 도핑 농도가 다르기 때문에,이상적인 방법이 아니었다.However, in this process, if the uniformity of the film thickness is not good, the doping concentration of the impurity differs, which is not an ideal method.

또한 이피막은 통상, 유기용제를 용매로하여 형성되었는데, 그 경우에는 반도체중에 탄소나 산소, 질소등의 바람직하지 않은 원소가 들어가, 특성을 악화시키는 일이 있었다.In addition, the effervescent film is usually formed by using an organic solvent as a solvent. In such a case, undesirable elements such as carbon, oxygen, and nitrogen may be contained in the semiconductor, thereby deteriorating the characteristics.

본 발명은, 상기의 레이저광, 특히 엑시머 레이저광을 이용한 도핑기술에 있어서,문제가 되었던,공정의 복잡화 및 이원소의 침입이라는 과제를 감안하여 이루어진 것이다.The present invention has been made in view of the problem of the complication of the process and the intrusion of these elements, which has been a problem in the above-described laser light, in particular, in the doping technique using the excimer laser light.

본 발명은,따라서, 액체상태 혹은 고체상태의 도핑재료를 이용하지 않고, 기체상태의 순도가 높은 도핑가스를 이용하여 도핑을 행하려 하는 것으로, 공정의 간략화와 이원소의 침입을 방지하는 것을 목적으로 한다.The present invention aims at doping using a doping gas having a high purity in a gaseous state without using a doping material in a liquid state or a solid state and in order to simplify the process and to prevent intrusion of these elements do.

또한, 도핑효율을 높이는 것도 발명의 과제로 한다.It is also an object of the invention to increase the doping efficiency.

또한, 본 발명은, 반도체 재료에 대한 도핑 이외에도, 다종의 다양한 재료(절연체,도전체) 및 그들의 표면에 대한 도핑 및 그것에 부수되는 재료 및 그 표면의 개량을 행하는 것을 과제로 한다.The present invention also aims at doping various kinds of materials (insulators, conductors) and their surfaces in addition to doping with semiconductor materials, and also to improve the materials and surface of the doping.

예를 들면, 산화규소 피막중에 인의 도핑등이다.For example, phosphorus doping is included in the silicon oxide film.

본 발명은, 상기의 과제를 해결하기 위해, 일도전형을 부여하는 불순물을 함유하는 고순도의 반응성 기체 분위기 중에서, 시료 반도체 표면에 대해 레이저광을 조사하는 것에 의해, 상기 일도전형을 부여하는 불순물을 상기 시료 반도체중에 도핑하는 방법이다.In order to solve the above-described problems, the present invention provides a method for manufacturing a semiconductor device, which comprises irradiating a surface of a sample semiconductor with laser light in a highly pure reactive gas atmosphere containing an impurity imparting a conductivity, Doping in the sample semiconductor.

그러나, 본 발명자들의 경험에 의하면, 시료 반도체가 실온과 같은 저온이면,원소의 확산이 충분하지 않았다.However, according to the experience of the present inventors, if the sample semiconductor is at a low temperature such as room temperature, the diffusion of elements is not sufficient.

그리고, 본 발명의 하나는, 상기 레이저 조사시에, 시료를 가열하여, 적어도 200℃ 이상의 온도로 유지하는 것에 의해, 불순물원소의 확산을 촉진시키고, 또한, 고농도의 불순물도프를 행하려 하는 것이다.One of the present invention is to heat the sample and maintain the temperature at least 200 캜 or more during the laser irradiation so as to promote the diffusion of the impurity element and also to perform the dopant doping at a high concentration.

기판의 가열온도는 반도체의 종류에 따라 다르지만, 폴리실리콘(다결정 실리콘), 세미 아모르퍼스 실리콘에 있어서는, 250~500℃, 바람직하게는 300~400℃가 가장 적합하다.The heating temperature of the substrate varies depending on the kind of the semiconductor, but in the case of polysilicon (polycrystalline silicon) and semiamorphous silicon, 250 to 500 deg. C, preferably 300 to 400 deg.

이와같이 시료를 가열하여 레이저를 조사하면, 불순물이 확산되기 쉬울 뿐아니라, 레이저의 조사에 의해 일시적으로 결정성이 저하된 반도체가, 열적으로 충분한 완화시간이 부여되기 때문에 결정성을 회복하기 쉽다.Thus, when the sample is heated and irradiated with a laser, impurities are easily diffused, and a semiconductor in which the crystallinity is temporarily deteriorated by laser irradiation is thermally sufficiently relaxed, so that crystallinity is easily recovered.

레이저 조사는, 특히 펄스 레이저의 조사에 있어서는, 시료가 적당한 온도로 가열되어 있지 않은 경우에는, 전형적인 급가열, 급냉이기 때문에, 반도체는 아모르퍼스 상태를 나타내기 쉽다.In the case of laser irradiation, particularly in the case of pulsed laser irradiation, when the sample is not heated to an appropriate temperature, the semiconductor is likely to exhibit an amorphous state because of the typical rapid heating and quenching.

즉 순간적으로, 1,000℃ 이상까지 가열되지만, 수 100msec 후에는 실온까지 저하한다.That is, the temperature is instantaneously heated to 1,000 ° C or higher, but falls to room temperature after several hundreds of milliseconds.

만일, 시료가 실리콘으로 상술한 범위로 가열되어 있는 경우에는, 실리콘의 결정화 온도의 하한인500℃부근까지 온도가 강하하는데 요하는 시간이, 실온의 경우의 10배 이상이라고 산출된다. 이 단계에서 레이저의 조사기간이 어느 일정시간 이상 계속된 경우에는 실리콘이 용융되고, 불순물은 용액의 대류에 의해 내부에 침투한다.If the sample is heated to the above-mentioned range in silicon, it is calculated that the time required for the temperature to drop to about 500 deg. C, which is the lower limit of the crystallization temperature of silicon, is 10 times or more as compared with room temperature. At this stage, when the irradiation period of the laser is continued for a certain period of time, the silicon is melted and the impurities penetrate into the inside by the convection of the solution.

또한, 펄스가 일정시간 이상 계속되지 않는 경우에는, 실리콘은 고상(固相)적으로 결정화하여, 이른바 세미 아모르퍼스가 되지만, 그때에는 불순물은 고상적으로 내부에 확산된다.Further, when the pulse does not continue for a certain period of time, the silicon crystallizes in solid phase to form so-called semi-amorphous, but the impurity is solidly diffused therein.

온도가 너무 높은 것은 바람직하지 않다.It is not desirable that the temperature is too high.

왜냐하면, 고온에서는 반응성 가스자체가 분해되고, 시료 뿐만 아니라, 그 홀더등에도 부착되어, 가스의 이용효율이 저하되기 때문이다.This is because, at a high temperature, the reactive gas itself is decomposed and attached not only to the sample but also to the holder and the like, and the utilization efficiency of the gas is lowered.

또한,반도체의 결정화 온도 이상의 고온으로 유지하는 것도 바람직하지 않다.Also, it is not preferable to maintain the temperature higher than the crystallization temperature of the semiconductor.

특히 이것은 다결정 반도체나 아모르퍼스 반도체, 세미 아모르퍼스 반도체와 같은 결함이 많은 반도체에 있어서는 바람직하지 않다.Particularly, this is not preferable for polycrystalline semiconductor, amorphous semiconductor, semi-amorphous semiconductor or the like.

결정성의 반도체에 대하여 결정화 온도 이상의 온도로 가열하면서 도핑을 행하면, 준위의 발생에 기인하는 가전자제어의 곤란성 문제가 발생하기 때문이다. 아모르퍼스 실리콘이, 열적으로 폴리실리콘으로 변화하는 것은 500~550℃라고 일컬어지기 때문에, 이 온도 이하, 바람직하게는 그 100℃이하 (즉,400~450℃, 혹은 그 이하)에서 행하는 것이 바람직하다.When the doping is performed with respect to the crystalline semiconductor while the temperature is higher than the crystallization temperature, there arises a difficulty in controlling the electromagnet due to the generation of the level. Since it is said that amorphous silicon changes into polysilicon thermally at 500 to 550 캜, it is preferable that the amorphous silicon is performed at this temperature or lower, preferably 100 캜 or lower (that is, 400 to 450 캜 or lower) .

또한 아모르퍼스 실리콘을 이용한 TFT(a-Si:TFT라고 한다.)에 있어서, 본 발명의 구성을 이용한 경우, a-Si:TFT를 350℃이상의 온도로 가열하면 소자가 파괴되어 버리기 때문에, 이 경우는 350℃ 이하의 온도로 가열하는 것이 적당하다.Further, in the case of using the structure of the present invention in a TFT (a-Si: TFT) using amorphous silicon, when the a-Si: TFT is heated to a temperature of 350 캜 or higher, the device is broken. Is suitably heated to a temperature of 350 DEG C or less.

이점은 다른 반도체에서도 동일하다.This is the same for other semiconductors.

본 발명의 다른 한가지는,상기 레이저광, 특히 엑시머 레이저광을 이용한 기체상태에서의 도핑 기술에 있어서, 서로 다른 도핑가스를 이용하여 복수의 도핑을 행하려고 하는 경우, 단일 레이저광에서는 도핑가스의 흡광특성이 서로 다르고, 가스의 종류에 따라 분해특성이 달라지는 것에 의한 도핑효율이 저하되는 것을 과제로 하고, 이것을 해결하기 위한 것이다.Another aspect of the present invention resides in the fact that, in a doping technique in a gaseous state using the laser light, particularly excimer laser light, when a plurality of dopings are to be performed using different doping gases, The characteristics are different from each other, and the doping efficiency is reduced due to the change in the decomposition characteristics depending on the kind of the gas.

그것을 위하여, 일도전형을 부여하는 불순물을 함유하는 반응성 기체 분위기 중에서, 레이저 조사시에, 상기 반응성 기체를 분해하기 위해 전자에너지가 가해지는 구성을 취하는 것이다.In order to do so, electron energy is applied to decompose the reactive gas during laser irradiation in a reactive gas atmosphere containing an impurity imparting uniformity.

이때 다시 레이저 광을 조사할 때, 동시에 시료인 도핑을 행하려고 하는 반도체를 상기 제1의 발명과 동일하게, 적당한 온도로 가열하면, 한층 도핑율을 높일 수 있다.At this time, when the laser beam is irradiated again, the doping rate can be further increased by heating the semiconductor to be doped as a sample at a suitable temperature in the same manner as in the first invention.

본 발명에 있어서,열도전형을 부여하는 불순물은, 반도체로서 규소반도체(실리콘)를 이용한 경우에 있어서,P형을 부여하는 것이라면,3가(價)의 불순물, 대표적으로는 붕소(B)등을 이용할 수 있고, N형을 부여하는 것이라면,5가의 불순물,대표적으로는 인(P)나 비소(As)등을 이용할 수 있다.In the present invention, in the case of using a silicon semiconductor (silicon) as the semiconductor, the impurity imparting the heat conduction type may be doped with a trivalent impurity, typically boron (B) or the like, (P), arsenic (As), or the like can be used as long as it can be used as the n-type impurity.

그리고 이들 불순물을 함유하는 반응성 기체로서 AsH₃,PH₂,BF₃,BCI₃,B(CH₃)₃등을 이용할 수 있다.As the reactive gas containing these impurities, AsH 3, PH 2, BF 3, BCI 3, B (CH 3) ₃ and the like can be used.

반도체로서는,TFT를 제작하는 것이라면,기상성장법이나 스퍼터법등에 의해 성막한 비정질 실리콘 반도체 박막이 일반적으로 이용된다.As a semiconductor, an amorphous silicon semiconductor thin film formed by a vapor deposition method or a sputtering method is generally used as long as the TFT is manufactured.

또한, 액상성장에 의해 제작된 다결정 또는 단결정의 실리콘 반도체라도 본 발명을 적용할 수 있다.In addition, the present invention can be applied to a polycrystalline or single crystal silicon semiconductor fabricated by liquid phase growth.

또한, 실리콘 반도체에 한정되지 않고, 그밖의 반도체라도 좋음은 말할 것도 없다.Further, it is needless to say that the present invention is not limited to the silicon semiconductor, and other semiconductors may be used.

레이저광으로서, 펄스발진형의 엑시머 레이저 장치를 이용하는 것이 유용하다. 이것은, 펄스발진 레이저에서는, 시료의 가열이 순간적이고, 게다가 표면에만 한정되어,기판에 영향을 주지 않기 때문이다. 레이저에 의한 가열은, 국소적인데 비해, 연속발진 레이저(알곤 이온레이저등)에 있어서는, 가열부분과 기판과의 열팽창의 현저한 차이등에 의해, 가열부분이 벗겨져 버리는 일이 있다. 이점에서, 펼스레이저에서는, 열 완화시간은, 열팽창과 같은 기계적 응력의 반응시간에 비하여 압도적으로 짧아, 기계적인 손상을 주지 않는다. 물론, 기판의 불순물이 열확산하는 일은 거의 없다.As the laser light, it is useful to use a pulse oscillation type excimer laser device. This is because, in the pulse oscillation laser, the heating of the sample is instantaneous, and is limited to the surface only, and does not affect the substrate. In the continuous oscillation laser (argon ion laser or the like), the heated portion may be peeled off due to a significant difference in thermal expansion between the heated portion and the substrate, while the laser is heated locally. In this regard, in a pulsed laser, the thermal relaxation time is overwhelmingly shorter than the reaction time of mechanical stress such as thermal expansion, and does not cause mechanical damage. Of course, the impurities of the substrate rarely thermally diffuse.

특히, 액시머 레이저는 이미, 아모르퍼스 실리콘 박막을 레이저 조사하는 것에 의해 결정화 시키고, 결정성이 높은 다결정 실리콘 박막을 얻는다고 하는 실험에 사용된 실적이 있다. 구체적인 레이저의 종류로서는,ArF 엑시머 레이저(파장 193nm),XeCl 엑시머 레이저(파장 308nm),XeF 엑시머 레이저(파장 351nm),KrF 엑시머 레이저(248nm)등을 이용하는 것이 적당하다.Particularly, the liquid crystal laser has already been used in an experiment in which an amorphous silicon thin film is crystallized by laser irradiation to obtain a highly crystalline polycrystalline silicon thin film. As a specific type of laser, ArF excimer laser (wavelength: 193 nm), XeCl excimer laser (wavelength: 308 nm), XeF excimer laser (wavelength: 351 nm), KrF excimer laser (248 nm)

본 발명의 구성에 있어서, 기판을 가열하는 수단으로서, 홀더에 직접 니크롬선이나 칸달선, 그 밖의 발열체를 편입시킨 전도형의 것을 이용해도 좋은데, 적외선 램프 이외의 방사형을 이용해도 된다.In the constitution of the present invention, as the means for heating the substrate, a conduction type in which a nichrome wire, a bent wire or other heating element is directly embedded in the holder may be used, but a radial type other than an infrared lamp may be used.

그러나, 기판온도는 불순물 도핑 농도나 깊이에 큰 영향을 주기 때문에, 그 제어는 정밀하게 행하는 것이 바람직하다. 따라서,시료로는 열전쌍등의 온도센서가 불가결하다.However, since the substrate temperature has a large influence on the impurity doping concentration and depth, it is preferable that the control is performed precisely. Therefore, a temperature sensor such as a thermocouple is indispensable as a sample.

본 발명의 구성에 있어서, 도핑용 반응성기체(도핑가스라고 한다)를 분해하기 위해 가해지는 전자에너지로서는, 13.56MHz의 고주파 에너지가 일반적이다. 이 전자에너지에 의한 도핑가스의 분해에 의해, 도핑가스를 직접 분해할 수 없는 레이저 광을 이용하는 경우에도 효율적으로 도핑을 행할 수 있다. 전자에너지의 종류로서는,13.56MHz의 주파수로 한정되는 것이 아니고, 예를들면, 2.45㎓의 마이크로파와 875 가우스의 자장가의 자장과의 상호작용에서 발생하는 ECR 조건을 이용해도 좋다. 또한 도핑가스를 직접 분해 할 수 있는 광에너지를 이용하는 것도 유효하다.In the constitution of the present invention, high frequency energy of 13.56 MHz is generally used as the electron energy applied to decompose the reactive gas for doping (referred to as doping gas). By the decomposition of the doping gas by the electron energy, the doping can be efficiently performed even when the laser light which can not directly decompose the doping gas is used. The kind of the electron energy is not limited to the frequency of 13.56 MHz. For example, the ECR condition generated by the interaction between the microwave of 2.45 GHz and the magnetic field of the magnetic field of 875 Gauss may be used. It is also effective to use light energy capable of directly decomposing the doping gas.

이상의 기술에서는,반도체중의 도핑기술에 관하여 서술했지만, 본 발명은 그것에 한정되지 않고,폭 넓은 응용이 가능하다. 예를들면, 금속중에,그 표면의 특정 두께의 부분에,표면재질을 향상시키는 미량원소를 수% 첨가하는 경우에도 경우에도 본 발명을 사용하는 것이 가능하다. 예를들면, 철의 표면에,암모니아중에서 본 발명을 실시하고, 질소를 도핑하여, 표면의 수~수백nm을 질화철로 해도 좋다.Although the above description has described the doping technique in semiconductors, the present invention is not limited thereto, and a wide variety of applications are possible. For example, it is possible to use the present invention even in the case of adding several percent of a trace element for improving the surface material to a specific thickness portion of the surface of the metal. For example, the present invention may be carried out on the surface of iron and ammonia, and nitrogen may be doped so that the surface to several hundreds nm of the surface may be nitrided.

혹은,산화물에 있어서도 본 발명을 실시하여 효과를 얻을 수 있다.Alternatively, the present invention can be applied to an oxide to obtain an effect.

예를들면, 비스머스계 산화물 고온 초전도체 박막에 염화납 증기중에서 본 발명을 실시하여, 납을 함유하도록 함으로써 초전도 임계온도를 높이는 것도 가능하다. 종래, 비스머스계 산화물 고온 초전도체는, 몇 가지의 종류가 존재하는 것이 알려져 있고, 최고의 임계온도는 110K 정도였다. 그런, 임계온도가 100K를 넘는 상은 얻기가 곤란했다.For example, it is also possible to increase the superconducting critical temperature by making the Bismuth-based oxide high-temperature superconductor thin film contain lead in the lead chloride vapor. Conventionally, it has been known that several kinds of Bismuth-based oxide high-temperature superconductors exist, and the highest critical temperature is about 110K. Such an image having a critical temperature exceeding 100 K was difficult to obtain.

납을 첨가하면 100K 를 넘는 상이 용이하게 얻어지는 것이 알려져 있지만, 박막 제작과정에 있어서는, 기판가열의 영향을 납은 외부에 증산해 버리는 경향이 있었다. 그러나, 본 발명은 비열평형 반응이기 때문에, 납을 유효하게 박막 형성재료로 포함할 수 있다. 동일하게, 최근, 반도체 집적회로, 특히 반도체 메모리의 기능성 재료로서 주목되고, 납을 함유하는 강유전체인 PZT(납 지르코니아티탄 산화물)에 적용할 수 있다.It is known that when lead is added, an image exceeding 100 K can be easily obtained. However, in the thin film manufacturing process, there is a tendency that the influence of substrate heating is increased to the outside of lead. However, since the present invention is a non-thermal equilibrium reaction, lead can be effectively included as a thin film forming material. Likewise, the present invention can be applied to PZT (lead zirconia titanium oxide), which is a ferroelectric material containing lead, which has recently attracted attention as a functional material of a semiconductor integrated circuit, particularly a semiconductor memory.

또한, 산화규소와 같은 절연물에 있어서도, 미량 불순물을 첨가할 때 사용할 수 있다. 산화규소에는 이미 반도체 공정에서 사용되고 있듯이, 인을 수 % 정도 함유시켜 인 유리로 하는 것이 자주 행해지고 있다. 물론, 본 발명을 사용하여 산화규소에 인을 함유시키는 것도 가능하다. 예를 들면,1 ×1020~3 ×1020cm-3의 농도로 인을 확산하면 좋다.In addition, it can be used also in insulators such as silicon oxide when adding trace impurities. As silicon oxide has already been used in semiconductor processing, phosphorus glass is often made into phosphorus glass by containing phosphorus in a percentage. Of course, it is also possible to use phosphorus in silicon oxide by using the present invention. For example, phosphorus may be diffused at a concentration of 1 × 10 20 to 3 × 10 20 cm -3 .

이 인 유리는 반도체 내부에 외부로 부터 부터 나트륨의 가동이온이 침입하는 것을 방지하는 것으로 알려져 있다. 종래에는, 인 유리(PSG)전용의 CVD챔버에 의해 성막했는데, 전용의 장치를 준비하지 않으면 안되기 때문에 단가가 상승한다. 본 발명을 이용한 경우에는, 레이저 도핑장치를 반도체의 불순물 도프용과 인유리 형성으로 할 수 있고, 산화규소의 성막장치는,다른 용도로도 널리 사용할 수 있기 때문에, 전체적인 단가 상승을 초래하지 않아, 경제적이다.Glass is known to prevent the penetration of mobile ions of sodium from the outside into the semiconductor. Conventionally, the film is formed by a CVD chamber dedicated to phosphorus glass (PSG). However, since a dedicated device must be prepared, the unit price rises. In the case of using the present invention, the laser doping apparatus can be used for the doping of the impurities of the semiconductor and the phosphorus formation, and the silicon oxide film formation apparatus can be widely used for other purposes, to be.

특히, 본 발명을 실시하는 것은 각종 유기실란(테트라 $에톡실 $실란(TEOS)등)을 재료로서 비교적 저온(600℃ 이하)으로 형성된 산화규소막의 특성을 향상시키는데 유효했다. 즉, 이와같은 피막에 있어서는,원료중에 탄소가 많이 함유되어 있어, 절연성이 좋지 않고, 또한, 이것을 MOS 구조등의 절연막으로서 사용하는 경우에는, 트랩준위가 너무 많아, 양호한 재료가 아니였다.In particular, the practice of the present invention has been effective in improving the properties of silicon oxide films formed at relatively low temperatures (600 DEG C or less) using various organosilanes (tetra $ ethoxysilane (TEOS), etc.). That is, in such a film, the raw material contains a large amount of carbon, and the insulating property is not good. When the film is used as an insulating film such as a MOS structure, the trap level is too large and is not a good material.

그러나, 본 발명에 의해 인의 레이저 도핑을 행하면 레이저 조사의 가열에 의해, 이들 탄소가 막에서 제거되어, 트랩준위가 현저하게 감소하여 절연 특성도 향상된다. 앞서 설명한 바와 같이,레이저 도핑때에 기판 온도를 변화시킴으로서 불순물의 깊이 방향의 분포를 제어할 수 있다. 따라서, 산화규소막중에 깊게 인을 분포시키기 위해서는 기판온도를 200℃이상, 바람직하게는 350~450℃로 유지하고, 또한 깊이100nm 이하에만 분포시키기 위해서는 기판을 실온 혹은 그 이하로 유지하면 좋다.However, according to the present invention, when phosphorus doping is performed by phosphorus, these carbons are removed from the film by the heating of the laser irradiation, and the trap level is remarkably reduced to improve the insulating property. As described above, it is possible to control the distribution of impurities in the depth direction by changing the substrate temperature at the time of laser doping. Therefore, in order to distribute phosphorus deeply in the silicon oxide film, the substrate may be maintained at room temperature or below in order to maintain the substrate temperature at 200 ° C or higher, preferably 350-450 ° C, and only the depth is 100 nm or less.

또한,레이저 도핑때,기본막에 아모르퍼스의 실리콘등의 반도체 재료가 존대하는 경우에는, 동시에 이들 반도체 재료도 아닐되어 결정성이 향상된다. 즉, 산화규소막은, 자외선에 대하여 흡수율이 낮고, 레이저 광의 대부분이 그 밑의 반도체 재료에 흡수되기 때문이다. 따라서 두가지 공정을 동시에 진행할 수 있어, 양산성의 향상에 유효하다.Further, when the semiconductor film such as silicon of amorphous silicon is deposited on the base film at the time of laser doping, the crystallinity is improved because these semiconductor materials are not simultaneously formed. That is, the silicon oxide film has a low absorption rate with respect to ultraviolet rays, and most of the laser light is absorbed by the underlying semiconductor material. Therefore, the two processes can be performed at the same time, which is effective for improving the mass productivity.

본 발명의 장치의 개념도를 제 5도 및 제 6도에 나타낸다. 제 5도는 기판가열장치를 구비한 것, 제 6도는,그것에 덧붙여 플라즈마를 발생시키기 위한 전자장치를 구비한 것을 나타내고 있다.A conceptual diagram of the apparatus of the present invention is shown in FIGS. 5 and 6. FIG. 5 shows a substrate heating apparatus, and FIG. 6 shows an electronic apparatus for generating plasma in addition to the substrate heating apparatus.

이들 도면은 개념적인 것이기 때문에, 당연히, 실제의 장치에 있어서는, 필요에 따라 그 밖의 부품을 구비할 수 있다. 이하, 그 사용법에 대하여 개략 설명한다.Since these drawings are conceptual, it is a matter of course that, in an actual apparatus, other components can be provided as necessary. Hereinafter, how to use it will be outlined.

제 5도에 있어서, 시료(24)는 시료홀더(25)상에 설치된다.In FIG. 5, the sample 24 is placed on the sample holder 25.

최초로, 챔버(21)는 배기장치에 접속한 배기계(27)에 의해 진공 배기된다. 이 경우에는,가능한한 고진공으로 배기하는 것이 바람직하다.즉, 대기성분인 탄소나 질소, 산소는 반도체에 있어서는 일반적으로 바람직하지 않기 때문이다. 이와같은 원소는, 반도체중에 포함되는데, 동시에 첨가된 불순물의 활성도를 저하시키는 일이 있다.First, the chamber 21 is evacuated by an evacuation system 27 connected to the evacuation apparatus. In this case, it is preferable to exhaust as high a vacuum as possible. That is, carbon, nitrogen and oxygen, which are atmospheric components, are generally not preferable for semiconductors. Such an element is included in the semiconductor, and at the same time, the activity of the added impurities may be lowered.

또한, 반도체의 결정성을 손상시키고,입계에 있어서 불포화결합의 원인이 된다. 따라서,10-6otrr 이하,바람직하게는 10-8torr 이하까지 챔버내를 진공으로 하는 것이 바람직하다.Further, the crystallinity of semiconductors is deteriorated and causes unsaturated bonds in the grain boundaries. Therefore, it is preferable to evacuate the inside of the chamber to 10 -6 otrr or less, preferably 10 -8 torr or less.

또한, 배기를 전후해서 히터(26)를 작동시켜, 챔버내부에 흡착된 대기성분을 배출하는 것도 바람직하다. 현재의 진공장치에서 사용되는 것과 같이, 챔버이외에 예비실을 설치하고, 챔버가 직접, 대게에 접촉하지 않는 구조로 하는 것도 바람직하다. 당연히,로타리 펌프나 기름확산 펌프에 비하여,탄소등의 오염이 적은 터보분자 펌프나 크라이오펌프를 이용하는 것이 바람직하다.It is also preferable to operate the heater 26 before and after the exhaust to discharge the atmospheric component adsorbed in the chamber. It is also preferable to provide a spare chamber other than the chamber as in the present vacuum apparatus so that the chamber does not directly come into direct contact with the vacuum chamber. Naturally, it is preferable to use a turbo molecular pump or a cryo pump which is less contaminated with carbon or the like, as compared with a rotary pump or an oil diffusion pump.

충분히 배기되었다면, 반응성가스를 가스계(28)에 의해, 챔버내에 도입한다.반응성 가스는 단독의 가스로 이루어져 있어도, 혹은 수수나 알곤, 헬륨, 네온등으로 회석되어도 좋다. 또한,그 압력은 대기압에서도, 그 이하라도 좋다. 이들은, 목적으로 하는 반도체의 종류와,불순물 농도, 불순물 영역의 깊이, 기판온도등을 고려하여 선택된다.If sufficiently exhausted, the reactive gas is introduced into the chamber by the gas system 28. The reactive gas may be composed of a single gas, or may be converted to sorbent, argon, helium, neon, or the like. The pressure may be at or below atmospheric pressure. These are selected in consideration of the kind of the intended semiconductor, the impurity concentration, the depth of the impurity region, the substrate temperature, and the like.

다음으로 창(22)을 통하여, 레이저광(23)이 시료에 조사된다.이때, 시료는 히터에 의해,일정한 온도로 가열되어 있다. 레이저광은, 1개소에 통상 5~50펄스 정도 조사된다. 레이저펄스의 에너지의 편차는 충분히 크고, 따라서,너무 펄스수가 적은 경우에는 불량발생 확률이 크다. 한편, 너무 많은 펄스를 1개소에 조사하는 것을 양산성(처리량)면에서 바람직하지 않다. 본 발명자의 경험에 의하면,상기의 펄스수가 양산성에서도,제조효율면에서도 타당했다.Then, the laser light 23 is irradiated to the sample through the window 22. At this time, the sample is heated to a constant temperature by the heater. The laser beam is normally irradiated at about 5 to 50 pulses in one place. The deviation of the energy of the laser pulse is sufficiently large, and therefore, when the number of pulses is too small, the probability of occurrence of defects is large. On the other hand, it is not preferable in terms of mass production (throughput) to irradiate too many pulses at one place. According to the inventor's experience, the above-mentioned number of pulses was valid in terms of mass productivity and manufacturing efficiency.

이 경우,예를 들면,레이저의 펄스가10mm(x방향)×30mm(y방향)의 특징의 장방형의 형상을 하고 있는 경우에, 동일한 영역에 레이저 펄스를 10펄스를 조사하고, 종료후에는, 다음 부분으로 이동한다고 하는 방법도 좋지만, 레이저를 1펄스에 대해,x방향으로 1mm씩 이동시켜도 좋다.In this case, for example, when the pulse of the laser has a rectangular shape with a characteristic of 10 mm (x direction) x 30 mm (y direction), 10 pulses of laser pulse are irradiated to the same area, However, the laser may be moved by 1 mm in the x direction for one pulse.

레이저 조사가 종료되었다면,챔버내를 진공배기하고, 시료를 실온까지 냉각하여 시료를 꺼낸다.이와같이, 본 발명에서는,도핑공정은 극히 간단하고,또한,고속이다. 즉 종래의 이온주입공정에서는,When the laser irradiation is completed, the inside of the chamber is evacuated, and the sample is cooled to room temperature to take out the sample. Thus, in the present invention, the doping process is extremely simple and high speed. That is, in the conventional ion implantation process,

(1)도핑패턴의 형성(레지스트 도포, 노광,현상)(1) Formation of a doping pattern (resist coating, exposure, development)

(2)이온주입(혹은 이온도핑)(2) ion implantation (or ion doping)

(3)재결정화(3) Recrystallization

라는 3공정이 필요하고,또한 종래의 레이저 조사에 의한 고상확산(固相擴散)에서도,(Solid phase dispersion) by conventional laser irradiation,

(1)도핑패턴으 형성(레지그트 도포,노광,현상)(1) Formation of a doping pattern (resist coating, exposure, development)

(2)불순물피막 형성(스핀코팅 외)(2) Impurity film formation (other than spin coating)

(3)레이저 조사(3) Laser irradiation

라고 하는,역시 3공정이 필요했다. 그러나, 본 발명에서는,I also needed three processes. However, in the present invention,

(1)도핑패턴의 형성(레지스트 도포, 노광,현상)(1) Formation of a doping pattern (resist coating, exposure, development)

(2)레이저 조사(2) Laser irradiation

라고 하는 2공정으로 완료된다.. ≪ / RTI >

제 6장치에 있어서도,제 5도의 경우와 거의 같다.최초로 챔버(31)내를 배기계(37)에 의해 진공배기하고,가스계(38)로 부터 반응성 가스를 도입한다. 그리고, 시료홀더(35)상의 시료(34)에 대해서,창(32)을 통하여, 레이저광(33)을 조사한다.그때에는 고주파 혹은 교류(혹은 직류) 전원(40)으로 부터,전극(39)에 전력을 투입하고,챔버내부에 플라즈마 등을 발생시켜서, 반응성 가스를 활성화한 상태로 한다. 도면에서 전극은 용량결합형으러 나타냈는데, 유도(인덕턴스)결합형이라도 좋다.또한, 용량결합형이라도, 시료홀더를 한쪽의 전극으로서 이용해도 좋다. 또한,레이저조사시에는, 히터(36)에 의해 시료를 가열해도 좋다.5, the inside of the chamber 31 is first evacuated by the evacuation system 37, and the reactive gas is introduced from the gas system 38. In this case, Then, the sample 34 on the sample holder 35 is irradiated with the laser light 33 through the window 32. At this time, the sample 39 is irradiated from the high frequency or alternating current (or DC) ), And generates plasma or the like in the chamber to activate the reactive gas. In the drawing, the electrodes are shown as capacitive coupling type, but they may be inductive (inductance) coupling type. [0154] Also in the capacitive coupling type, the sample holder may be used as one electrode. Further, at the time of laser irradiation, the sample 36 may be heated by the heater 36.

이하에 실시예를 나타내고,보다 상세하게 본 발명을 설명한다.EXAMPLES Hereinafter, the present invention will be described in more detail with reference to Examples.

[실시예1][Example 1]

본 실시예는, 유리기판상에 설치된 N채널 박막형 절연게이트 전계효과 트랜지스터(이하 NTFT라고 한다)의 제작에 본 발명의 구성인 도핑법을 적용한 예이다. 본 실시예에 있어서는, 기판으로서 유리기판 또는 석영기판을 이용했다. 이것은, 본 실시예에서 제작한 TFT가 엑티브 매트릭스형의 액정표시장치 또는 이미지 센서의 스위칭소자나 구동소자로서 이용되는 것을 의도하고 있기 때문이다. 물론, 다른 반도체장치, 예를 들면, 광전변환장치의 P형 반도체층이나 N형 반도체층의 형성,또는 단결정 반도체 집적회로를 제작할 때의 도핑기술로서 본 발명의 구성을 적용해도 좋다. 따라서 기판으로서는,규소 또는 그밖의 반도체의 단결정 또는 다결정의 것을 이용해도 좋고, 다른 절연체를 이용해도 좋다.This embodiment is an example in which the doping method of the present invention is applied to manufacture an N-channel thin film insulated gate field effect transistor (hereinafter referred to as NTFT) provided on a glass substrate. In this embodiment, a glass substrate or a quartz substrate is used as the substrate. This is because the TFT manufactured in this embodiment is intended to be used as a switching element or a driving element of an active matrix type liquid crystal display device or an image sensor. Of course, the structure of the present invention may be applied to another semiconductor device, for example, a P-type semiconductor layer or an N-type semiconductor layer of a photoelectric conversion device, or a doping technique for manufacturing a single crystal semiconductor integrated circuit. Therefore, as the substrate, a single crystal or polycrystal of silicon or other semiconductor may be used, or another insulator may be used.

우선 제1도에 있어서, 기판인 유리기판(11)상 SiO₂막 또는 질화규소막을 기본막의 보호막(12)으로서 형성한다. 본 실시예에서는, 산소 100% 분위기중에서 RF 스퍼터링에 의해 SiO₂막(12)을 200nm성막했다. 성막조건은, 이하와 같다.First, in FIG. 1, a SiO 2 film or a silicon nitride film on a glass substrate 11 as a substrate is formed as a protective film 12 of a base film. In the present embodiment, the SiO 2 film 12 was formed to a thickness of 200 nm by RF sputtering in an oxygen atmosphere of 100%. The deposition conditions are as follows.

O₂유량 50sccmO2 flow rate 50 sccm

압력 0.5㎩Pressure 0.5 Pa

RF전력 500WRF power 500W

기판온도 150℃Substrate temperature 150 ° C

다음으로, 플라즈마 CVD법에 의해 진성 또는 실질적으로 진성(인위적으로 불순물을 첨가하지 않은 것을 의미한다.)의 수소화 비정질 규소반도체층(13)을 100nm의 두께로 형성한다. 이 수소화 비정질 규소 반도체층(13)은,채널형성영역 및 소스,드레인 영역을 구성하는 반도체층이 된다. 성막조건은,이하와 같다.Next, a hydrogenated amorphous silicon semiconductor layer 13 of intrinsic or substantially intrinsic (meaning that no artificial impurities are added) is formed to a thickness of 100 nm by the plasma CVD method. The hydrogenated amorphous silicon semiconductor layer 13 becomes a semiconductor layer constituting a channel forming region and a source and a drain region. The deposition conditions are as follows.

분위기 실란(SiH₄)100%Atmosphere Silane (SiH4) 100%

성막온도 160℃(기판온도)Film forming temperature 160 캜 (substrate temperature)

성막압력 0.05TorrFilm forming pressure 0.05 Torr

투입파워 20W(13.56㎒)Input power 20 W (13.56 MHz)

또한, 본 실시예에서는, 비정질 규소의 성막원료가스로서 실란을 이용하고 있지만,열결정화에 의해 비정질 규소를 다결정화시킨 경우에는,결정화 온도를 낯추기 위해서, 디실란,또는 트리실란을 이용해도 좋다.In this embodiment, although silane is used as a raw material gas for forming an amorphous silicon film, disilane or trisilane may be used in order to crystallize amorphous silicon polycrystallized by thermal crystallization .

성막분위기를 실란 100%에서 행하는 것은,일반적으로 행해지는 수소로 희석된 실란 분위기중에서 성막한 비정질 규소막에 비하여, 실란100% 분위기중에서 성막한 비정질규소막은,결정화하기 쉽다고 하는 실험결과에 의거한 것이다. 또한, 성막온도가 낮은 것은, 성막된 비정질 규소막중에 수소를 다량으로 함유시켜 가능한한 규소의 결합수를 수소로 중화하기 위한 것이다.The film forming atmosphere is performed at 100% silane because the amorphous silicon film formed in a 100% silane atmosphere is easier to crystallize than an amorphous silicon film formed in a hydrogen-diluted silane atmosphere in general . The low film forming temperature is intended to contain a large amount of hydrogen in the amorphous silicon film to neutralize the bonding number of silicon as much as possible with hydrogen.

또한, 고주파 에너지(13.56㎒)의 투입파워가 20W로 낮은 것은, 성막시에 있어서 규소의 클러스터 즉결성을 갖는 부분이 발생하는 것을 강력하게 막기 위한 것이다. 이것도, 비정질 규소막 중에서 조금이라도 결정성을 갖고 있으면,차후의 레이저조사시에 결정화에 악영향을 준다고 하는 실험사실에 의거한 것이다.In addition, the input power of the high-frequency energy (13.56 MHz) is as low as 20 W to strongly prevent the generation of clusters of silicon, that is, the formation of portions at the time of film formation. This is also based on the experimental fact that if the amorphous silicon film has a slight crystallinity in the amorphous silicon film, the crystallization is adversely affected at the subsequent laser irradiation.

다음으로, 디바이스 분리 패너닝을 행하여 제1도의 형상을 얻었다. 그리고,시료를 진공중(10-6Torr 이하)에서,450℃,1시간 가열하고, 수소제거를 철저하게 행하여 막중의 불포화결합(dangling bond)을 고밀도에서 생성시켰다.Next, device separation pannings were performed to obtain the shape of FIG. 1. Then, the sample was heated under vacuum (10 -6 Torr or less) at 450 ° C. for 1 hour to thoroughly remove hydrogen, thereby forming a dangling bond in the film at a high density.

또한, 시료를 제5도에 나타낸 레이저 조사장치로 옮기고, 엑시머 레이저를 조사하여,시료의 다결정화를 행했다. 이 공정은, KrF 엑시머 레이저(파장 248nm)를 이용했다. 조건은 다음과 같다.The sample was transferred to a laser irradiation apparatus shown in FIG. 5, and an excimer laser was irradiated to polycrystallize the sample. This process uses a KrF excimer laser (wavelength: 248 nm). The conditions are as follows.

레이저조사 에너지 밀도 350mJ/㎠Laser irradiation energy density 350 mJ / cm 2

펄스수 1~10쇼트Number of pulses 1 to 10 shots

기판온수 400℃Substrate temperature 400 ° C

레이저 조사후, 수소감암 분위기중(약 1 Torr)에서,100℃까지 온도를 올렸다.After the laser irradiation, the temperature was raised to 100 DEG C in a hydrogen decontamination atmosphere (about 1 Torr).

또한 본 실시예에 있어서는 레이저광의 조사에 의한 비정질 규소막의 결정화를 나타냈지만, 이것을 가열에 의한 공정으로 치환해도 좋은 것은 말할 것도 없다. 이 가열공정이라는 것은, 유리의 내열온도이하의 온도인 450℃~700℃정도(일반적으로는 600℃)의 온도에서는 6시간~96시간 가열하여, 유리기판상에 설치된 비정질 규소 반도체막을 결정화시키는 공정을 공정을 말한다.In the present embodiment, crystallization of the amorphous silicon film by irradiation with laser light is shown, but it goes without saying that this may be replaced by a process by heating. This heating step is a step of crystallizing an amorphous silicon semiconductor film provided on a glass substrate by heating for 6 to 96 hours at a temperature of about 450 ° C. to 700 ° C. (generally 600 ° C.), which is a temperature lower than the heat resistance temperature of the glass Process.

제 5도에 있어서,(21)은 진공챔버(21),(22)는 진공 챔버(21)의 외부로 부터 레이저를 조사하기 위한 석영(특히 엑시머 레이저의 경우에는,무수(無水) 석영이 바람직하다.) 창,(23)은 레이저가 조사된 경우에 있어서의 레이저광,(24)는 시료(샘플),(25)는 샘플 홀더,(26)은 시료가열용 히터,(27)은 배기계,(28)은 원료가스가 불황성가스 또한 캐리어가스의 도입계이고, 도면에서는 하나 밖에 나타나 있지 않지만 실제로는 복수로 설치되어 있는 것이다.5, reference numeral 21 denotes a vacuum chamber 21, 22 denotes a quartz for irradiating a laser from the outside of the vacuum chamber 21 (in the case of an excimer laser, in particular, anhydrous quartz) (Sample), (25) a sample holder, (26) a sample heating heater, (27) an exhaust system , And (28), the raw material gas is an introduction system of the incompressible gas and the carrier gas, and only one is shown in the drawing, but actually, a plurality of them are provided.

또한,배기계에서는,저진공용 로터리 펌프를,고진공용으로 터보분자 펌프를 이용하여,챔버내의 불순물(특히 산소)의 잔류 농도를 극히 적게 했다. 배기능력에 관해서는 10-6torr 이하, 바람직하에는 10-8torr 이하로 한다.Further, in the exhaust system, the residual concentration of impurities (particularly oxygen) in the chamber was extremely reduced by using a rotary pump for low vacuum and a turbo molecular pump for high vacuum. The exhaust performance is set to 10 -6 torr or less, preferably 10 -8 torr or less.

제 5도의 진공챔버를 이용하여 엑시머 레이저에 의한 결정화를 행한 후,PF스퍼퍼법을 이용하여 게이트 절연막이 될 SiO₂막(14)을 100nm 성막하고,제 2도의 형상을 얻었다. 그리고 게이프 전극(15)이 될 비정질 규소 반도체층 또는 다결정 규소 반도체층(두께 150nm)을 N형의 도전형으로 하기 위해 인(P)을 첨가해 형성했다.After crystallization by an excimer laser using a vacuum chamber in FIG. 5, a SiO 2 film 14 to be a gate insulating film was formed to have a thickness of 100 nm by a PF sputtering method to obtain a second figure. And phosphorus (P) was added to form an amorphous silicon semiconductor layer or a polycrystalline silicon semiconductor layer (thickness: 150 nm) to be a Geff electrode 15 into an N-type conductivity type.

이후 게이트 영역을 패터닝에 의해 형성하여,제 3도의 형상을 얻었다.게이트 전극으로서는, 이것 이외에도, 알루미늄이나 크롬, 탄탈등의 금속재료를 이용해도 좋다. 또한 알루미늄이나 탄탈을 이용하는 경우에는, 그 표면을 양극산화해 두면, 차후의 레이저 조사시에도 게이트 전극에 손상이 미치지 않는다. 게이트 전극에 양극산화를 행한 플레이너형 TFT에 대해서는 특원평 3-238713(이상 일본특허출원)에 기술되어 있기 때문에 여기서는 상술하지 않는다.Then, a gate region was formed by patterning to obtain a shape in FIG. 3. As the gate electrode, a metal material such as aluminum, chromium, or tantalum may be used. In the case of using aluminum or tantalum, if the surface is anodically oxidized, the gate electrode is not damaged even in the subsequent laser irradiation. The planer TFT in which anodic oxidation is performed on the gate electrode is described in Japanese Patent Application No. 3-238713 (Japanese Patent Application).

여기에서,재차 제 5도에 나타낸 장치를 이용하여 본 발명의 구성인 레이저광에 의한 불순물의 도핑을 행한다. 제 5도에 나타내는 장치에 있어서,PH₃분위기하에서, 시료(제 3도의 형상을 갖고 있다)를 가열하고, 레이저광을 조사하여 인(P)의 도핑을 행했다.Here, the apparatus shown in FIG. 5 is used again to perform doping of the impurity by the laser beam, which is a constitution of the present invention. In the apparatus shown in FIG. 5, phosphor (P) was doped by heating a sample (having a shape shown in FIG. 3) under a PH 3 atmosphere and irradiating laser light.

이때, 소스, 드레인 영역(제 4도에 나타낸 131,133)에는 인이 도핑되어 있기 때문에 N형화한다. 이것에 대하여 채널형성영역(제 4도에 나타낸 132)에는 게이트 절연막(14)과 게이트 전극(15)이 마스크가 되어 레이저가 조사되지 않고,그 부분의 온도가 상승하지 않기 때문에, 도핑이 행해지지 않는다. 도핑 조건은 다음과 같다.At this time, phosphorus is doped in the source and drain regions (131 and 133 shown in FIG. On the contrary, in the channel forming region (132 shown in FIG. 4), the gate insulating film 14 and the gate electrode 15 become masks, so that no laser is irradiated and the temperature of the portion does not rise. Do not. The doping conditions are as follows.

분위기 PH₂5% 농도(H₂희석)Atmosphere PH₂ 5% concentration (H2 dilution)

시료온도 350℃Sample temperature 350 ℃

압력 0.02~1.00TorrPressure 0.02 to 1.00 Torr

레이저 KrF 엑시머 레이저(파장 248nm)Laser KrF excimer laser (wavelength 248 nm)

에너지 밀도 150~350mJ/㎠Energy density 150 to 350 mJ / cm 2

펄스수 10쇼트Number of pulses 10 Shorts

상기 소스, 드레인 영역형성후,제 4도에 나타낸 바와같이 RF 스퍼터법에 의해 절연막으로서 SiO₂막(16)을 10nm의 두께로 성막했다. 성막조건은 게이트 산화막의 제작방법과 동일하다.After the source and drain regions were formed, as shown in FIG. 4, an SiO 2 film 16 having a thickness of 10 nm was formed as an insulating film by RF sputtering. Film forming conditions are the same as those of the gate oxide film.

그후, 콘택트용 구멍뚫기 패너닝을 행하고, 또한, 전극이 될 알루미늄을 중착하여 소스전극(17)과 드레인전극(18)을 형성하고, 또한 수소분위기중에서 350℃의 온도에서 수소 열아닐을 행하는 것에 의해, NTFT를 완성했다. 동일하게, 분위기를 B₂H6로 하는 것에 의해 P채널형 TFT(PTFT)로 형성할 수 있었다.Thereafter, a contact hole punching pane is performed, aluminum to be an electrode is adhered to form a source electrode 17 and a drain electrode 18, and hydrogen annealing is performed at a temperature of 350 ° C in a hydrogen atmosphere I completed the NTFT. Similarly, by setting the atmosphere to B 2 H 6 , a P-channel TFT (PTFT) could be formed.

특히, 본 발명의 효과를 비교하기 위해, 레이저 조사시에 시료를 가열하지않고, 완전히 같은 강도의 레이저를 조사했는데, 제9도 (b)에 나타낸 바와같이 시료가열이 없는 경우에는,불순물 농도도 1자리수 이상적고, 또한, 불순물의 분포도 표면근방에 한정되었다. 한편, 본 실시예에서는, 시료를 350℃로 가열하여 레이저 조사한 것은, 제9도(a)에 나타낸 바와같이, 불순물의 도핑농도가 크고, 또한 그 확산은 심부에 까지 미친다.Particularly, in order to compare the effects of the present invention, the laser was irradiated with completely the same intensity without heating the sample at the time of laser irradiation. In the case where the sample was not heated as shown in FIG. 9 (b) And the distribution of impurities was limited to the vicinity of the surface. On the other hand, in the present embodiment, as shown in FIG. 9 (a), the doping concentration of the impurity is large and the diffusion reaches the deep portion.

이상과 같이하여 NTFT와 PTFT를 제작할 수 있었다. 이들 TFT를 조합하여 CMOS인버터를 구성한결과, 제14도의 위쪽의 그래프로 나타낸 바와같은 양호한 특성이 얻어졌다. 또한, 이 CMOS 회로를 다수접속하여 링오실레이터를 구성한 결과, 제14도의 밑쪽 그래프로 나타낸 바와같이 양호한 특성이 얻어졌다.As described above, NTFT and PTFT were fabricated. As a result of constructing a CMOS inverter by combining these TFTs, good characteristics as shown by the graph in the upper part of FIG. 14 were obtained. Further, as a result of constituting a ring oscillator by connecting a plurality of these CMOS circuits, good characteristics were obtained as shown by the lower graph in FIG. 14.

[실시예 2][Example 2]

본 실시예는, 우리기판상에 설치된 NTFT의 제작에 본 발명의 구성인 도핑법을 적용한 예이다. 본 실시예에서는, 기판으로서 실시예 1과 동일하게 유리기판 또는 석영기판을 이용했다. 우선 실시예 1과 동일하게, 제 1도의 기판인 유리기판(11)위에 SiO₂막 도는 질화규소막을 기본막의 보호막(12)으로서 형성한다.This embodiment is an example in which the doping method of the present invention is applied to the fabrication of an NTFT provided on a substrate. In this embodiment, a glass substrate or a quartz substrate was used as the substrate in the same manner as the first embodiment. First, a SiO 2 film or silicon nitride film is formed as a protective film 12 of a base film on a glass substrate 11, which is a substrate of FIG. 1, similarly to Embodiment 1.

다음으로, 플라즈마 CVD법에 의해 진성 또는 실질적으로 진성의 수소화 비정질 규소반도체층(13)을 100nm의 두께로 형성한다. 다음으로, 디바이스 분리 패터닝을 행하여 제 1도의 형상을 얻었다. 그리고, 시료를 진공중(10-6Torr 이하)에서, 450℃,1시간 가열하여, 수소제거를 철저하게 행하여, 막중의 불포화결합을 고밀도로 생성시켰다.Next, an intrinsic or substantially intrinsic hydrogenated amorphous silicon semiconductor layer 13 is formed to a thickness of 100 nm by a plasma CVD method. Next, device separation patterning was performed to obtain the shape of FIG. 1. Then, the sample was heated under vacuum (10 -6 Torr or less) at 450 ° C. for 1 hour to thoroughly remove hydrogen, thereby forming unsaturated bonds in the film at high density.

또한 상기 수소제거를 행한 챔버중에서, 진공상태를 유지한 채로 엑시머 레이저를 조사하고, 시료의 다결정화를 실시예 1과 동일한 조건으로 행했다. 레이저 조사후, 수소 감압분위기 안(약 1Torr)에서,100℃까지 온도를 높였다.In the chamber where hydrogen was removed, an excimer laser was irradiated while maintaining the vacuum state, and polycrystallization of the sample was carried out under the same conditions as in Example 1. After laser irradiation, the temperature was raised to 100 DEG C in a hydrogen reduced pressure atmosphere (about 1 Torr).

본 실시예에 있어서는, 제6도에 나타낸 바와같은 장치를 이용하여 상기 시료의 수소제거를 위한 가열공정과 엑시머 레이저광의 조사에 의한 결정화, 또한 불순물의 도핑공정도 진공챔버에 의해 행했다. 이와같은 진공챔버를 이용하는 것에 의해, 가열공정에서 레이저 조사에 의한 결정화 공정에 걸쳐 진공상태를 유지하는 것이 용이해지고, 막중에 불순물(특히 산소)이 혼입되지 않은 막을 얻을 수 있다. 이 진공챔버에는,전자에너지를 분위기에 부여하기 위한 전극을 갖추고 있어 PCVD 장치도 겸하고 것이다. 그러나. 각각 연속되는 공정을 멀티챔버 형식으로 구성한 장치를 이용하여, 각각의 공정을 별개의 반응로에서 행해도 좋음은 말할 것도 없다. 제 6도에 나타낸 반응로는 양광주(positive column)방식이 구성이지만, 그 밖의 형식이라도 좋고, 전자에너지를 가하는 방법도 특별히 한정되는 것은 아니다. 또한, 특히높은 활성화율을 얻고 싶다면, ECR형식의 장치를 이용하는 것이 유용하다.In this embodiment, crystallization by irradiation of excimer laser light and doping of impurities by a heating process for removing hydrogen from the sample using the apparatus shown in FIG. 6 was also performed by a vacuum chamber. By using such a vacuum chamber, it is easy to maintain the vacuum state during the crystallization process by laser irradiation in the heating process, and a film in which impurities (particularly oxygen) are not mixed in the film can be obtained. This vacuum chamber is equipped with an electrode for applying electron energy to the atmosphere, and also serves as a PCVD apparatus. But. It is needless to say that each process may be performed in a separate reaction furnace by using an apparatus constituted by successive processes in a multi-chamber type. The reaction furnace shown in FIG. 6 is of a positive column type, but may be of other types and the method of applying the electron energy is not particularly limited. Also, it is useful to use an ECR-type device, especially if it is desired to obtain a high activation rate.

제 6도에 있어서,(31)은 진공챔버, (32)는 진공챔버(31)의 외부에서 레이저를 조사하기 위한 석영창,(33)은 레이저가 조사된 경우에 있어서 레이저광,(34)는 시료(샘플),(35)는 샘플홀터,(36)은 시료가열용의 히터,(37)은 배기계,(38)은 원료가스나 불활성가스 또는 캐리어가스의 도입계이고, 도면에서는 하나밖에 나타내지 않았지만 실제로는 복수로 설치되어 있는 것이다. 또한, 배기계에는, 저진공용으로 로터리펌프를, 고진공용으로 터보 분자 펌프를 이용하여,챔버내의 불순물(특히 산소)의 잔류 농도를 극히 적게 했다. 그리고,(39)는 평행평판 전극이고,고주파 발진장치(40)로 부터 공급되는 13.56㎒의 전자에너지를 챔버내에 공급하는 것이다.In FIG. 6, reference numeral 31 denotes a vacuum chamber, 32 denotes a quartz window for irradiating the laser outside the vacuum chamber 31, 33 denotes laser light in the case of laser irradiation, Reference numeral 35 denotes a sample holder, reference numeral 36 denotes a heater for heating the sample, reference numeral 37 denotes an evacuation system, reference numeral 38 denotes an introduction system of a raw gas, an inert gas or a carrier gas, It is not shown, but it is actually installed in plural. In addition, the residual concentration of impurities (particularly oxygen) in the chamber was extremely reduced in the exhaust system by using a rotary pump for low vacuum and a turbo molecular pump for high vacuum. Reference numeral 39 denotes a parallel plate electrode, which supplies 13.56 MHz of electron energy supplied from the high-frequency oscillator 40 into the chamber.

제 6도의 진공챔버를 이용하여 엑시머 레이저에 의한 결정화를 행한 후,RF 스퍼터법을 이용하여 게이트 절연막이 될 SiO₂막(14)을 100nm 성형하여, 제 2도의 형상을 얻었다. 그리고 게이트 전극(15)이 될 비정질 규소반도체층 또는 다결정 규소반도체층(두께 150nm)을 N형의 도전형으로 하기 위해 인(P)을 첨가하여 설치했다. 이후 게이트영역을 패터닝에 의해 형성하여 제 3도의 형상을 얻었다.6, the SiO 2 film 14 to be a gate insulating film was formed into 100 nm by using an RF sputtering method to obtain a second figure. Phosphorus (P) was added to the amorphous silicon semiconductor layer or the polycrystalline silicon semiconductor layer (thickness: 150 nm) to be the gate electrode 15 in order to make the N type conductivity type. The gate region was then formed by patterning to obtain the shape of FIG.

여기서 재차 제 6도에 나타낸 장치를 이용하여 본 발명의 구성인 레이저광에 의한 불순물의 도핑을 행한다. 제 6도에 나타낸 장치에 있어서 전자에너지를 부여해분해된 PH₃분위기 하에서, 시료(제 3도의 형상을 하고 있다.)를 가열하고 레이저광을 조사하여 인(P)의 도핑을 했다. 이때 소스, 드레인영역(제 4도에 나타낸 131,133)에는 인이 도프되기 때문에 N형화 된다. 이것에 비해 채널 형성 영역(제 4도에 나타낸 132)에는 게이트 절연막(14)과 게이트 전극(15)이 마스크가 되어 레이저가 조사되지 않아, 그 부분의 온도가 상승하지 않기 때문에,도핑이 행해지지 않는다. 도핑 조건은 다음과 같다.Here again, the device shown in FIG. 6 is used to perform doping of the impurity by the laser light, which is a constitution of the present invention. In the apparatus shown in Fig. 6, phosphor (P) was doped by irradiating laser light to the specimen (shape of FIG. 3) under an atmosphere of PH 3 decomposed by applying electron energy. At this time, phosphorus is doped in the source and drain regions (131 and 133 shown in FIG. In contrast to this, the gate insulating film 14 and the gate electrode 15 are masked in the channel forming region (132 in FIG. 4) and the laser is not irradiated, so that the temperature of the portion is not increased. Do not. The doping conditions are as follows.

분위기 PH₃5%농도(H₂희석)Atmosphere PH3 5% concentration (H2 dilution)

시료온도 350℃Sample temperature 350 ℃

압력 0.02~1.00TorrPressure 0.02 to 1.00 Torr

투입파워 50~200WInput power 50 ~ 200W

레이저 KrF 엑시머 레이저(파장 248nm)Laser KrF excimer laser (wavelength 248 nm)

에너지밀도 150~350mJ/㎠Energy density 150 to 350 mJ / cm 2

펄스수 10쇼트Number of pulses 10 Shorts

상기 소스, 드레인영역 형성후, 실시예 1과 동일하도록, 제 4도에 나타낸 바와 같이 RF 스퍼터법에 의해 절연막으로서 SiO₂막(16)을 100nm 두께로 성막하고,콘텍트용의 구멍뚫기 패너닝을 행하고, 또한 전극이 되는 알루미늄을 증착하여 소스전극(17)과 드레인 전극(18)을 형성하고, 또한 수소분위기 중에서 350℃의 온도에서 수소 열아닐 행하는 것에 의해,NTFT를 완성했다.After forming the source and drain regions, an SiO 2 film 16 as an insulating film was formed to have a thickness of 100 nm by an RF sputtering method as shown in FIG. 4 in the same manner as in Example 1, and hole punching for contact was performed And the source electrode 17 and the drain electrode 18 were formed by vapor-depositing aluminum as an electrode, and hydrogen annealing was performed at 350 DEG C in a hydrogen atmosphere to complete the NTFT.

이 도핑공정에 있어서, 분위기를 B₂H6으로 하는 것에 의해 P채널형 TFT(PTFT)를 형성할 수 있었다. 종래에는 레이저광의 파장에 의해 도핑가스의 분해 상황이 다르고, 이점에 의한 도핑의 불균일성의 문제였지만, 본 발명의 구성을 취한 경우, 레이저광에 의해서가 아니라, 전자에너지에 의해 도핑가스가 분해되기 때문에 PTFT라도 NTFT라도 레이저광의 파장에 제한되는 일 없이 도핑을 행할 수 있다.In this doping process, a P-channel TFT (PTFT) can be formed by setting the atmosphere to B 2 H 6 . Conventionally, the decomposition situation of the doping gas differs depending on the wavelength of the laser light, and the problem of non-uniformity of doping due to this point is considered. However, in the case of adopting the constitution of the present invention, the doping gas is decomposed by the electron energy Even with PTFT or NTFT, doping can be performed without being limited by the wavelength of laser light.

[실시예 3][Example 3]

제 7도에는 본 발명의 도핑처리장치의 모양을 나타낸다. 즉, 챔버(71)에는,무수(無水)석영유리로 이루어진 슬릿(silt)형의 창(72)이 설치되어 있다.레이저광은, 이 창에 맞추어 가늘고 긴 형상으로 성형된다. 레이저의 빔은, 예를들면 10nm S300mm의 장방형으로 하였다. 또한, 레이저광의 위치는 고정되어 있다.챔버에는, 배기계(77) 및 반응성가스를 도입하기 위한 가스계(78)가 접곡되어 있다.또한 챔버내에는 시료홀더(75)가 설치되고, 그 위에는 시료(74)가 올려지고, 시료홀더의 밑에는 자외선 램프(히터로서 기능한다)(76)가 설치되어 있다. 시료홀더는 가동되고,시료를 레이저의 쇼트에 맞추어 가동할 수 있다.FIG. 7 shows the shape of the doping treatment apparatus of the present invention. That is, a window 72 of a silt type made of anhydrous quartz glass is provided in the chamber 71. The laser light is shaped into an elongated shape conforming to the window. The beam of the laser was, for example, 10 mm in length and 300 mm in length. An evacuation system 77 and a gas system 78 for introducing a reactive gas are bended in the chamber. A sample holder 75 is provided in the chamber, and a sample (Functioning as a heater) 76 is provided under the sample holder. The sample holder is operated, and the sample can be operated in accordance with the shot of the laser.

이와같이, 시료의 가동을 위한 기구가 챔버내에 조합되어 있을 때에는, 히터에 의한 시료홀더의 열팽창에 의해 기구의 이상이 발생하기 때문에,온도제어에는 세심한 주의가 필요하다.또한 시료이송기구에 의해 먼지가 발생하기 때문에, 챔버내의 유지보수는 번잡하다.In this way, when the mechanism for moving the sample is combined in the chamber, it is necessary to pay close attention to the temperature control because an abnormality of the mechanism occurs due to the thermal expansion of the sample holder by the heater. Maintenance in the chamber is troublesome.

[실시예 4][Example 4]

제 8도(A)에는 본 발명의 도핑처리장치의 모양을 나타낸다. 즉, 챔버(81)에는,무수석영유리로 이루어진 창(82)이 설치되어 있다. 이 창은 실시예 3의 경우와 달리,시료 (84) 전면을 덮을 만큼 넓은 것이다. 챔버에는, 배기계(87) 및 반응성가스를 도입하기 위한 가스계(88)가 접속되어 있다. 또한 챔버내에는 시료홀더(85)가 설치되고, 그 위헤는 시료(84)가 올려지고, 시료홀더는 히터가 내장되어 있다. 시료홀더는 챔버에 고정되어 있다. 챔버의 하부에는 챔버의 받침대(81a)가 설치되어 있고, 레이저의 펄스에 맞추어,챔버 전체를 이동시키는 것에 의해, 레이저조사를 행한다. 레이저의 빔은 실시예 3의 경우와 동일하게,가늘고 긴형상이다. 예를 들면 5mm ×100mm의 장방형으로 했다. 제 3도와 동일하게 레이저광의 위치가 고정되어 있다. 본 실시예에서는, 실시예 3돠 달리, 챔버전체가 이동하는 구조를 채용한다. 따라서, 챔버내에는 기계 부분이 존재하지 않고, 먼지들이 발생하지 않기 때문에 보수유지가 용이하다. 또한 이송기구가, 히터열의 영향을 받는 일은 적다.8 (A) shows the shape of the doping treatment apparatus of the present invention. That is, the chamber 81 is provided with a window 82 made of anhydrous quartz glass. This window is wide enough to cover the entire surface of the sample 84, unlike the case of the third embodiment. To the chamber, an exhaust system 87 and a gas system 88 for introducing a reactive gas are connected. A sample holder 85 is provided in the chamber, a specimen 84 is mounted on the specimen holder 85, and a heater is embedded in the specimen holder. The sample holder is fixed to the chamber. A pedestal 81a of the chamber is provided at the bottom of the chamber, and laser irradiation is performed by moving the entire chamber in accordance with the pulse of the laser. The laser beam has an elongated shape as in the case of the third embodiment. For example, a rectangular shape of 5 mm x 100 mm. The position of the laser beam is fixed in the same manner as in the third aspect. In this embodiment, a structure in which the entire chamber is moved is employed, unlike the third embodiment. Therefore, there is no mechanical part in the chamber, and dust is not generated, so maintenance is easy. In addition, the transfer mechanism is rarely affected by the heater row.

본 실시예에서는, 실시예 3에 비하여 상기한 바와같은 점에서 우수한 것 뿐만 아니라, 다음과 같은 점에서도 우수하다. 즉, 실시예 3의 방식에서는, 시료를 챔버에 넣고 나서,충분한 진공도까지 진공배기될 때까지 레이저방사를 행할 수 없다. 즉 비작동시간이 많았다. 그러나, 본 실시예에서는,제 8도(A)와 같은 챔버를 다수 준비하고, 각각 순차적으로, 시료장진,진공배기,레이저조사,시료제거라고 하는 식으로 진행시키면 상기와 같은 비작동시간이 발생하지 않는다. 이와같은 시스템을 제 8도 (B)에 나타낸다.The present embodiment is superior not only to the above-described third embodiment but also to the following points. That is, in the method of the third embodiment, laser irradiation can not be performed until the sample is put in the chamber and vacuum exhausted to a sufficient degree of vacuum. In other words, there was a lot of non-operating time. However, in this embodiment, when a plurality of chambers as shown in FIG. 8 (A) are prepared and sequentially advanced in the form of sample advancement, vacuum exhaustion, laser irradiation, sample removal, I never do that. Such a system is shown in Fig. 8 (B).

즉,미처리의 시료를 내장한 챔버(97,96)는, 배기공정의 사이에 연속적인 반송기구(98)에 의해, 정밀한 이동을 행할 수 있는 시스템을 갖는 받침대(99)로 향한다. 스테이지상의 챔버(95)에는, 레이저장치(91)로부터 방사되어, 적당한 광학장치(92,93)로 가공된 레이저광이 창을 통하여 안쪽으이 시료에 조사된다. 스테이지를 움직이는 것에 의해, 필요한 레이저조사가 행해진 챔버(94)는 재차 연속적인 반송기구(100)에 의해 다음 단계로 보내지고, 그 사이에 챔버내의 히터는 꺼지고, 배기되어 충분히 온도가 내려간 다음,시료를 꺼낸다.That is, the chambers 97, 96 containing the untreated sample are directed to the pedestal 99 having a system capable of precise movement by the continuous transport mechanism 98 during the evacuation process. In the chamber 95 on the stage, laser light emitted from the laser device 91 and processed into suitable optical devices 92 and 93 is irradiated to the sample through the window. By moving the stage, the chamber 94 in which the necessary laser irradiation is performed is sent again to the next stage by the continuous transport mechanism 100, and the heater in the chamber is turned off, exhausted and sufficiently cooled down, .

이와같은, 본 실시예에서는 연속적인 처리를 행할 수 있고, 배기에 걸리는 시간을 줄일 수 있어, 처리량을 늘릴 수 있다. 물론, 본 실시예의 경우에는, 처리량은 향상되지만, 그 만큼 실시예 3의 경우보다 많은 챔버를 필요로 하기 때문에, 양산규모나 투자규모를 고려하여 실시해야 할 것이다.As described above, in the present embodiment, continuous processing can be performed, the time required for exhausting can be reduced, and the throughput can be increased. Of course, in the case of this embodiment, although the throughput is improved, since more chambers are required than in the case of the third embodiment, the mass production scale and the investment scale must be considered.

[실시예 5][Example 5]

본 실시예는, 유리기판상에 설치된 NTFT의 제작에 본 발명의 구성도인 도핑법을 적용한 예이다. 본 실시예에 있어서는, 기판으로서 실시예 1과 동일하게, 유리기판 또는 석영기판을 이용했다. 우선 실시예 1과 동일하게, 제 1도의 기판인 유리기판(101)상에 SiO막을 기본막의 보호막(102)으로서 형성하고, 다음으로 플라즈마 CVD법에 의해 실질적으로 진성의 수소화 비정질 규소반도체층(103)을 100nm의 두께로 형성한다. 이어서, 디바이스 분리 패터닝을 행했다. 그리고,시료를 진공중(10-6Torr이하)에서, 450℃,1시간 가열하여, 수소제거를 철저히 행하고, 막중의 불포화결합을 고밀도로 생성시켰다. 그후,RF 스퍼터법을 이용하여 SiO₂막(104)을 100nm성막하고, 제 10도(A)의 형상을 얻었다. 그리고, 채널부분에만, 산화규소 마스크(105)를 남겨 두었다.This embodiment is an example in which the doping method of the present invention is applied to the manufacture of an NTFT provided on a glass substrate. In the present embodiment, a glass substrate or a quartz substrate was used as the substrate in the same manner as in Example 1. First, a SiO 2 film is formed as a protective film 102 of a base film on a glass substrate 101 which is a substrate of the first embodiment, and then a substantially hydrogenated amorphous silicon semiconductor layer 103 ) Is formed with a thickness of 100 nm. Subsequently, device separation patterning was performed. Then, the sample was heated under vacuum (10 -6 Torr or less) at 450 ° C. for 1 hour to thoroughly remove hydrogen, thereby forming unsaturated bonds in the film at a high density. Thereafter, an SiO 2 film 104 was formed to a thickness of 100 nm by RF sputtering to obtain the shape of FIG. 10 (A). Then, a silicon oxide mask 105 is left only in the channel portion.

여기에서, 제 6도에 나타낸 장치를 이용하여 본 발명의 구성인 레이저광에 의한 불순물의 도핑을 행한다. 제 6도에 나타낸 장치에 있어서, 전자에너지를 부여하여 분해한 PH₃분위기하에서,시료(제10도(B)의 형상을 갖고 있다.)를 가열하고, 레이저광을 조사하여 인(P)을 도핑했다. 이때, 소스, 드레인영역(도면에 나타난 106,108)에는 인이 도핑되기 때문에 N형화 한다. 이것에 대하여 채널형성 영역(도면에 나타난 107)에는 산화규소가 마스크(105)가 마스크가 되어 레이저는 조사되고, 결정화 되지만,마스크재료가 존재하기 때문에, 도핑은 이루어지지 않는다. 즉, 본 공정에서는, 레이저에 의한 결정화와, 도핑이 동시에 이루어진다. 이때의 조건은 실시예 2와 동일하게 했다.Here, the device shown in FIG. 6 is used to perform doping of the impurity by the laser light, which is a constitution of the present invention. In the apparatus shown in FIG. 6, the sample (having the shape of FIG. 10 (B)) was heated under an atmosphere of PH 3 decomposed by applying electron energy, and phosphorus (P) did. At this time, since phosphorus is doped in the source and drain regions (106 and 108 in the figure), N type is formed. On the other hand, in the channel forming region (107 in the figure), silicon oxide is masked by the mask 105, and the laser is irradiated and crystallized, but since the mask material exists, doping is not performed. That is, in this step, crystallization by laser and doping are simultaneously performed. The conditions at this time were the same as those in Example 2.

상기 소스, 드레인영역 형성후, 게이트 산화막(110)과 게이트 전극(109)을 형성하고, 또한 층간절연막으로서 SiO₂막(111)을 100nm의 두께로 성막하고, 콘택트용의 구멍뚫기 패너닝을 행하고, 또한 전극이 될 알루미늄을 증 착하여 소스전극(112)과 드레인 전극(113)을 형성하고, 또한 수소분위기 중에서 350℃의 온도에서 열아닐을 행하는 것에 의해, 제10도(C)에 나타낸 바와같이, NTFT를 완성했다.After the source and drain regions are formed, a gate oxide film 110 and a gate electrode 109 are formed, and an SiO 2 film 111 is formed to a thickness of 100 nm as an interlayer insulating film. Then, perforation punching for contact is performed, In addition, the source electrode 112 and the drain electrode 113 are formed by depositing aluminum to be an electrode, and thermal annealing is performed at 350 DEG C in a hydrogen atmosphere. As shown in FIG. 10C, , And NTFT.

본 실시예에서는, 셀프어라인적인 소스, 드레인의 형성은 할 수 없지만, 예를들면, 실시예 1과 동일하게 게이트 절연막상에 게이트 전극을 형성해 두고, 이면에서 레지저 조사를 행하면, 본 실시예와 같이, 채널영역의 결정화와 소스, 드레인의 도핑을 동시에 행할 수 있다.In this embodiment, a self-aligned source and drain can not be formed. However, when the gate electrode is formed on the gate insulating film in the same manner as in Embodiment 1 and the backside is irradiated on the back surface of the gate insulating film, The crystallization of the channel region and the doping of the source and the drain can be performed at the same time.

[실시예 6][Example 6]

코닝 7059(제품명) 유리기판상에 액티브 매트릭스를 형성한 예를 제11도에 나타낸다. 제11도(A)에 나타낸 바와같이, 기판(201)으로는, 코닝 7059 유리기판(두께 1.1mm, 300x400mm)을 사용했다. 코닝 7059 유리에 함유된 나트륨등의 불순물이 TFT중에 확산되지 않도록 플라즈마 CVD법으로 전면에 두께 5~50nm, 바람직하게는 5~20nm의 질화규소막(202)을 형성했다. 이와같이, 기판을 질화규소 또는 산화알루미늄의 피막으로 코팅하여 이것을 블록킹층으로 하는 기술은, 본 발명자등의 출원인 특원평 3-238710, 동 3-238714에 기술되어 있다.An example in which an active matrix is formed on a glass substrate of Corning 7059 (product name) is shown in FIG. As shown in Fig. 11 (A), a Corning 7059 glass substrate (1.1 mm in thickness, 300 x 400 mm) was used as the substrate 201. A silicon nitride film 202 having a thickness of 5 to 50 nm, preferably 5 to 20 nm was formed on the entire surface by plasma CVD so that impurities such as sodium contained in the Corning 7059 glass would not diffuse into the TFT. The technique of coating a substrate with a coating of silicon nitride or aluminum oxide and using it as a blocking layer is described in Applicant's Japanese Patent Application No. 3-238710 and No. 3-238714.

이어서, 기본 산화막(203)(산화규소)을 형성한 후, LPCVD법 혹은 플라즈마 CVD법으로 실리콘막(204)(두께 30~150nm, 바람직하게는 30~50nm)을 형성하고, 또한 테트라·에톡시·실란(TEOS)을 원료로서, 산소분위기중의 플라즈마 CVD법에 의해, 산화규소의 게이트 절연막(두께 70~120nm, 전형적으로는 100nm)(205)을 형성했다. 기판온도는 유리의 위축이나 휘어짐을 방지하기 위해 400℃ 이하, 바람직하게는 200~350℃로 했다. 그러나, 이 정도의 기판온도에서는, 산화막 중에서는 다량의 재결합중심이 존재하고, 예를들면, 계면준위 밀도는 1012cm-2이상에서 게이트 절연막으로서 사용할 수 없는 수준의 것이었다.Subsequently, a silicon film 204 (30 to 150 nm in thickness, preferably 30 to 50 nm in thickness) is formed by LPCVD or plasma CVD after the formation of the basic oxide film 203 (silicon oxide), and tetraethoxy A gate insulating film (thickness: 70 to 120 nm, typically 100 nm) 205 of silicon oxide was formed by plasma CVD in an oxygen atmosphere using silane (TEOS) as a raw material. The substrate temperature was set at 400 DEG C or less, preferably 200 to 350 DEG C, in order to prevent atrophy or warping of the glass. However, at such a substrate temperature, a large amount of recombination centers exist in the oxide film, and for example, the interface level density is a level that can not be used as a gate insulating film at 10 12 cm -2 or more.

그리고, 제11도(A)에 나타낸 바와같이, 수소희석 포스핀 PH(5%) 중에서, KrF 레이저광을 조사하여, 이 실리콘막(204)의 결정성을 개선함과 아울러, 게이트 산화막(205)의 재결합중심(트랩중심)을 감소시켰다. 이때에는 레이저광의 에너지밀도는 200~300mJ/cm2으로 했다. 또한, 쇼트수도 10회로 했다. 바람직하게는 온도를 200~400℃, 대표적으로는 300℃로 유지하면 좋다. 그 결과, 실리콘막(204)은 결정성이 개선되고, 또한 게이트 산화막(205)nd에는, 1×1020~3×1020cm-3의 인이 도핑되고, 계면준위 밀도도 1011cm-2이하로 감소했다.As shown in FIG. 11 (A), KrF laser light is irradiated from the hydrogen dilution phosphine PH (5%) to improve the crystallinity of the silicon film 204, and the gate oxide film 205 (The center of the trap). At this time, the energy density of the laser beam was set to 200 to 300 mJ / cm 2 . In addition, the number of shots was 10 circuits. Preferably, the temperature is maintained at 200 to 400 캜, typically 300 캜. As a result, the silicon film 204, the crystallinity is improved, and also the gate oxide film (205) is nd, 1 × 10 20 ~ 3 × 10 20 cm -3 of phosphorus is doped, the interface state density of 10 11 cm - 2 or less.

다음으로, 제11도(B)에 나타낸 바와같이 알루미늄의 게이트전극(206)을 형성하여, 그 주위을 양극산화물(207)로 피복했다.Next, as shown in FIG. 11 (B), a gate electrode 206 made of aluminum was formed and its periphery was covered with an anodic oxide 207.

그후, P형의 불순물로서, 붕소를 이온도핑법으로 실리콘층에 자기정합적으로 주입하고, TFT의 소스/드레인(208,209)을 형성하고, 또한, 제11도(C)에 나타낸 바와같이, 이것에 KrF 레이저광을 조사하여, 이 이온도핑 때문에 결정성이 악화된 실리콘막의 결정성을 개선했다. 그러나, 이때에는 레이저광의 에너지밀도는 25-~300mJ/cm2으로 높게 설정했다. 이 때문에 이 TFT의 소스/드레인의 시트저항은 300~800Ω이 되었다.Thereafter, boron is implanted into the silicon layer in a self-aligning manner as a P-type impurity by ion doping to form source / drain regions 208 and 209 of the TFT, and as shown in FIG. 11C, Was irradiated with KrF laser light to improve the crystallinity of the silicon film whose crystallinity was deteriorated due to the ion doping. At this time, however, the energy density of the laser light was set to be as high as 25 to 300 mJ / cm 2 . Therefore, the sheet resistance of the source / drain of this TFT is 300 to 800 ?.

그후, 제11도(D)에 나타낸 바와같이, 폴리이미드에 의해 층간절연물(210)을 형성하고, 또한 화소전극(211)을 ITO에 의해 형성했다. 그리고 제11도(E)에 나타낸 바와같이, 콘택트홀을 형성하여 TFT의 소스/드레인영역에 크롬전극(212,213)을 형성하고, 이중 한쪽의 전극(213)은 ITO에도 접속하도록 했다. 최후로 수소중에서 300℃로 2시간 아닐하여, 실리콘의 수소화를 완료하여, 액정표시장치의 화소를 제작했다.Thereafter, as shown in FIG. 11 (D), interlayer insulating material 210 was formed by polyimide and the pixel electrode 211 was formed by ITO. As shown in FIG. 11E, contact holes are formed to form chromium electrodes 212 and 213 in the source / drain regions of the TFT, and one of the electrodes 213 is also connected to the ITO. Finally, the hydrogen was not hydrogenated at 300 DEG C for 2 hours in hydrogen, completing the pixel of the liquid crystal display device.

[실시예 7][Example 7]

실시예 6과 동일하게 산화규소막에 인을 도프하고, 이것을 게이트 절연막으로서 TFT를 형성한 예를 제11도에 나타낸다. 실시예 6과 동일하게, 제11도(A)에 나타낸 바와같은, 기판(201)의 전면에 플라즈마 CVD법으로 두께 5~50nm, 바람직하게는 5~20nm의 질화규소막(202)을 형성했다. 이어서 기본산화막(203)(산화규소)을 형성한 후, LPCVD법 혹은 플라즈마 CVD법으로 실리콘막(204)(두께 30~150nm, 바람직하게는 30~50nm)을 형성하고, 또한 스퍼터법에 의해 산화규소막(두께 70~120nm, 전형적으로는 100nm)(205)을 형성했다. 이 공정은 실시예 6과 같이, 테트라·에톡시·실란(TEOS)을 원료로서, 산소분위기중의 플라즈마 CVD법에 의해 행해도 좋다. 기판온도는 유리의 위축이나 휘어짐을 방지하기 위해 400℃ 이하, 바람직하게는 200~350℃로 했다.11 shows an example in which a silicon oxide film is doped with phosphorus and a TFT is formed as a gate insulating film in the same manner as in Embodiment 6. FIG. A silicon nitride film 202 having a thickness of 5 to 50 nm, preferably 5 to 20 nm, was formed on the entire surface of the substrate 201 as shown in FIG. 11 (A) by plasma CVD. A silicon oxide film 204 (thickness of 30 to 150 nm, preferably 30 to 50 nm) is formed by an LPCVD method or a plasma CVD method after forming the basic oxide film 203 (silicon oxide) A silicon film (thickness: 70 to 120 nm, typically 100 nm) 205 was formed. This process may be carried out by plasma CVD in an oxygen atmosphere using tetraethoxy silane (TEOS) as a raw material as in the sixth embodiment. The substrate temperature was set at 400 DEG C or less, preferably 200 to 350 DEG C, in order to prevent atrophy or warping of the glass.

그리고, 제11도(A)에 나타낸 바와같이, 수소희석 포스핀 PH(5%) 중에서, KrF 레이저광을 조사하여, 이 실리콘막(204)의 결정성을 개선함과 동시에, 게이트 산화막(205)의 재결합중심(트랩중심)을 감소시켰다. 이때에는 레이저광의 에너지밀도는 200~300mJ/cm2으로 했다. 또한 쇼트수도 10회로 했다. 기판온도는 실온으로 했다. 이 때문에 인의 도프는 산화규소막의 표면에서 70% 이하의 부분에 한정되었다.As shown in FIG. 11 (A), KrF laser light is irradiated from hydrogen dilution phosphine PH (5%) to improve the crystallinity of the silicon film 204, and the gate oxide film 205 (The center of the trap). At this time, the energy density of the laser beam was set to 200 to 300 mJ / cm 2 . It also had 10 circuits for the shot. The substrate temperature was set to room temperature. For this reason, phosphorus doping was limited to 70% or less of the surface of the silicon oxide film.

다음으로, 제11도(B)에 나타낸 바와같이 알루미늄의 게이트 전극(206)을 형성하여, 그 주위를 양극산화물(207)로 피복했다. 양극산화공정이 종료된 후에, 역으로 부(-)의 전압을 인가했다. 예를들면 -100~-200V의 전압을 0.1~5시간 인가했다. 바람직하게는 기판온도는 100~250℃, 대표적으로 150℃로 했다. 이 공정에 의해, 산화규소중 혹은 산화규소와 실리콘 계면에 있었던 가동이온이 게이트 전극(AI)으로 당겨지고, 그 도중에 존재하는 인의 농도가 큰 영역(인유리화되어 있다고 추정된다)에 트랩된다. 이와같이 양극산화후, 혹은 양극산화중에 게이트 전극에 부(-)의 전압을 인가하는 기술은, 본 발명자들의 출원한 특원평 4-115503(1992년 4월 7일 일본특허출원)에 기술되어 있다.Next, as shown in FIG. 11 (B), a gate electrode 206 made of aluminum was formed and its periphery was covered with an anodic oxide 207. After the anodic oxidation process was terminated, negative (-) voltage was applied. For example, a voltage of -100 to -200 V is applied for 0.1 to 5 hours. Preferably, the substrate temperature is 100 to 250 캜, typically 150 캜. By this process, the movable ions in the silicon oxide or silicon oxide and the silicon interface are attracted to the gate electrode AI, and trapped in the region (presumed to be vitrified) having a large concentration of phosphorus present therein. A technique of applying a negative voltage to the gate electrode after the anodic oxidation or during the anodic oxidation is described in Japanese Patent Application No. 4-115503 (filed on April 7, 1992) by the inventors of the present invention.

그후, N형의 불순물로서, 인을 공지의 이온도핑법으로 실리콘층에 자기 정합적으로 주입하여, TFT의 소스/드레인(208,209)을 형성하고, 또한 제11도(C)에 나타낸 바와같이, 이것에 KrF 레이저광을 조사하여, 이 이온도핑으로 인해 결정성이 악화된 실리콘막의 결정성을 개선했다. 그후 제11도(D)에 나타낸 바와같이, 폴리이미드에 의해 층간절연물(210)을 형성하고, 또한 화소전극(211)을 ITO에 의해 형성했다. 그리고, 제11도(E)에 나타낸 바와같이, 콘택트 홀을 형성하여, TFT의 소스/드레인영역에 크롬으로 전극(212,213)을 형성하고, 그중 한쪽의 전극(213)은 ITO에도 접속하도록 했다. 최후로 수소중에서 300℃로 2시간 아닐하여 실리콘의 수소화를 완료하여, TFT를 제작했다.Thereafter, phosphorus is implanted into the silicon layer in a self-aligning manner by a known ion doping method as an N-type impurity to form source / drain regions 208 and 209 of the TFT, and as shown in FIG. 11 (C) This was irradiated with KrF laser light to improve the crystallinity of the silicon film with deteriorated crystallinity due to the ion doping. Then, as shown in FIG. 11 (D), interlayer insulating material 210 was formed by polyimide and the pixel electrode 211 was formed by ITO. As shown in FIG. 11E, contact holes are formed to form electrodes 212 and 213 with chromium in the source / drain regions of the TFT, and one of the electrodes 213 is also connected to the ITO. Finally, the hydrogen was not hydrogenated at 300 DEG C for 2 hours in hydrogen to complete the TFT.

[실시예 8][Example 8]

본 실시예에서는, 단결정 기판상에 산화규소막을 형성하고, 이것에 인의 레이저도핑을 행하고, 이것을 게이트 산화막으로 한 MOS 캐퍼시터(capacitor)를 제적하여, 그 특성(CV 특성)을 측정했다.In this embodiment, a silicon oxide film is formed on a single crystal substrate, phosphorus doping is performed on the silicon oxide film, and a MOS capacitor having the gate oxide film is removed therefrom, and the characteristics (CV characteristics) thereof are measured.

단결정 실리콘(100)면상에 테트라·에톡시·실란(TEOS)을 원료로서, 산소분위기중의 플라즈마 CVD법에 의해, 산화규소의 게이트 절연막(두께 70~120nm, 전형적으로는 100nm)을 형성했다. 기판온도는 400℃이하, 바람직하게는 200~350℃로 했다. 그러나, 이 정도의 기판온도에선,s 산화막 중에는 탄소를 포함한 클러스터가 다수 조내하고, 또한 다량의 재결합중심이 존재하고, 예를들면, 계면준위밀도는 1012cm-2이상에서 게이트 절연막으로서 사용할 수 없는 수준의 것이었다.A gate insulating film of silicon oxide (thickness of 70 to 120 nm, typically 100 nm) was formed on the single crystal silicon (100) surface by using plasma of tetraethoxy silane (TEOS) as a raw material in an oxygen atmosphere. The substrate temperature was 400 占 폚 or less, preferably 200 to 350 占 폚. However, at such a substrate temperature, the s oxide film has a large number of clusters containing carbon and a large amount of recombination centers. For example, the interface state density can be used as a gate insulating film at a concentration of 10 12 cm -2 or more There was no level.

그 점에서, 실시예 1에서 사용한 장치를 이용하여 수소희석 포스핀 PH(5%) 중에서, KrF 레이저광을 조사하여, 이 산화규소막의 재결합중심(트랩중심)을 감소시켰다. 이때에는 레이저광의 에너지밀도는 200~300mJ/cm2으로 했다. 또한, 쇼트수도 10회로 했다. 바람직하게는, 온도를 200~400℃, 대표적으로는 300℃로 유지하면 좋다. 그 결과, 산화막중에는, 1×1020~3×1020cm-3의 인이 도핑되고, 계면준위 밀도도 1011cm-2이하로 감소했다. 다음으로 알루미늄의 게이트 전극을 형성했다.From this point, the recombination center (trap center) of the silicon oxide film was reduced by irradiating KrF laser light from hydrogen dilution phosphine PH (5%) using the apparatus used in Example 1. At this time, the energy density of the laser beam was set to 200 to 300 mJ / cm 2 . In addition, the number of shots was 10 circuits. Preferably, the temperature is maintained at 200 to 400 캜, typically 300 캜. As a result, phosphorus of 1 x 10 20 to 3 x 10 20 cm -3 was doped into the oxide film, and the interfacial level density also decreased to 10 11 cm -2 or less. Next, a gate electrode of aluminum was formed.

예를들면, 레이저 도핑처리를 행하지 않으면, 얻어지는 MOS 캐퍼시터의 CV 특성은 제12도(A)에 나타내는 것과 같은 자기이력(磁氣履歷 ; hysteresis)이 큰 것이 된다. 여기에서, 횡축은 전압, 종축은 정전용량이다. 그러나, 본 실시에와 같은 레이저 도핑처리에 의해 제12도(b)와 같은 양호한 CV 특성이 얻어진다.For example, if the laser doping process is not performed, the CV characteristic of the obtained MOS capacitor becomes large as shown in FIG. 12 (A). Here, the abscissa represents the voltage, and the ordinate represents the electrostatic capacity. However, good CV characteristics as shown in Fig. 12 (b) can be obtained by the laser doping treatment as in this embodiment.

이때 막중의 각 원소의 분포는 제12도(C)에 나타낸 바와같다. 즉, 본 실시예의 레이저 도핑에 의해 산화 규소막의 도중까지 인이 도프되었다. 그리고, 이것에 의해, 나트륨 원소는 이 인에 의해 제거되어 있음을 알 수 있다. 또한 탄소는 산화막의 모든 영역에서 아주 조금밖에 존재하지 않았는데, 이것은 레이저조사에 의해, 막밖으로 방출되었기 때문이다. 또한 본 실시예에 있어서도, 실시예 7과 같이, 게이트 전극(AI)에 부(-)의 전압을 인가하여, 막중에 존재하는, 나트륨등의 가동이온을 전극적으로 인이 많은 영역으로 끌어당기면 한층 더 큰 효과가 얻어진다.The distribution of each element in the film is as shown in FIG. 12 (C). In other words, phosphorus was doped to the middle of the silicon oxide film by the laser doping of this embodiment. It can be seen from this that the sodium element is removed by phosphorus. Carbon was also present in only a small fraction of all areas of the oxide film because it was emitted outside the film by laser irradiation. Also in this embodiment, as in the seventh embodiment, when negative (-) voltage is applied to the gate electrode AI and the movable ion such as sodium existing in the film is attracted to the region where the phosphorus is extremely phosphorous An even greater effect can be obtained.

[실시예 9][Example 9]

유리기판상에 형성된 두께 500nm의 아모르퍼스 실리콘 박막에 본 발명에 의해 불순물 도프를 행하고, 그 특성에 대하여 조사한 예를 제13도~제15도에 나타낸다. 엑시머 레이저로서는 KrF 레이저(파장 248nm)를 사용했다. 또한 챔버는 제5도에 나타난 바와같은 형상은 하고 있다. 이 챔버에서는, 유리의 종류를 바꿈으로써 도핑되는 불순물의 종류를 바꾸는 것을 시험했다. 즉, N형 불순물을 도핑할 때에는 챔버내에 포스핀을 5% 함유하는 수소가스를 도입하고, 레이저를 조사하여, P형 불순물을 도핑할 때에는 디보란응 5% 함유하는 수소가스를 도입하여, 레이저를 조사했다.Examples 13 to 15 show examples in which the dopant is doped in the amorphous silicon thin film having a thickness of 500 nm formed on the glass substrate and the characteristics thereof are investigated. As the excimer laser, a KrF laser (wavelength: 248 nm) was used. The chamber also has the shape shown in FIG. In this chamber, it was tested to change the type of doping doped by changing the type of glass. That is, when doping the N-type impurity, hydrogen gas containing 5% of phosphine is introduced into the chamber, and a laser is irradiated. When the P-type impurity is doped, hydrogen gas containing 5% .

챔버내의 압력은 100Pa로 했다. 또한, 레이저의 에너지밀도는 190~340mJ/cm2으로, 그 쇼트순는 1~100으로 했다. 기판온도는 실온 혹은 300℃로 했다.The pressure in the chamber was set at 100 Pa. The energy density of the laser was 190 to 340 mJ / cm < 2 > The substrate temperature was room temperature or 300 占 폚.

제13도, 제14도에는 불순물 확산의 기판온도 의존성을 나타낸다. 여기에서, 레이저의 에너지밀도는 300mJ/cm2이고, 그 쇼트수는 50으로 했다. 제13도는 붕소의 깊이 방향의 확산모양을 나타내고 있다. 데이터는 2차 이온 질량분석법에 의해 얻었다. 도면에서 분명한 바와같이 기판온도가 실온일때에 비교하여, 300℃로 가열되어 있을 때에는, 불순물 농도가 1자리수 이상 크고, 또한 불순물의 확산깊이도 2배 정도 컸다.13 and 14 show the substrate temperature dependency of the impurity diffusion. Here, the laser has an energy density of 300 mJ / cm 2 and a shot number of 50. FIG. 13 shows the diffusion pattern of boron in the depth direction. Data were obtained by secondary ion mass spectrometry. As apparent from the drawing, when the substrate temperature is room temperature, the impurity concentration is higher by one digit or more and the diffusion depth of the impurity is twice as large when the substrate is heated to 300 占 폚.

제14도에는 인의 깊이방향의 확산모양을 나타냈는데, 붕소와 동일한 경향을 나타냈다. 특히 인의 경우에는 붕소이상으로 기판가열의 효과가 현저했다.FIG. 14 shows the diffusion pattern of phosphorus in the depth direction, showing the same tendency as boron. In particular, in the case of phosphorus, the effect of heating the substrate was more remarkable than boron.

제15도에는, 레이저의 에너지밀도, 쇼트수와 피막의 시트저항치의 관계를 나타낸 것이다. 불순물로서 붕소를 이용했다. 도면에서 알 수 있듯이, 에너지밀도가 높아짐에 따라 시트저항은 저하하고 불순물 농도가 높아졌음이 추측된다. 그러나, 시트저항치는 어떤 값으로 수렴되는 것처럼 보인다.FIG. 15 shows the relationship between the energy density of the laser, the shot number and the sheet resistance of the film. Boron was used as an impurity. As can be seen from the figure, it is presumed that the sheet resistance decreases and the impurity concentration increases as the energy density increases. However, the sheet resistance appears to converge to a certain value.

또한, 레이저의 쇼트수가 많아짐에 따라, 시트저하은 저하하지만, 레이저의 에너지밀도가 220mJ/cm2이상에서는, 50쇼트에서도 100쇼트에서도 특별히 시트저항의 현저한 저하는 발견되지 않았다. 그러나, 1쇼트와 5쇼트에서는 큰 차이가 있었기 때문에, 적어도 5쇼트의 레이저조사는 필요함을 확인했다.Further, as the number of shots of the laser increases, the sheet deterioration decreases. However, when the energy density of the laser is 220 mJ / cm 2 or more, no remarkable decrease in sheet resistance is found even at 50 shots or 100 shots. However, since there was a big difference between 1 shot and 5 shot, we confirmed that laser irradiation of at least 5 shots is necessary.

본 발명의 구성인, 시료를 가열한 상태, 혹은 반응성가스에 전자에너지를 부여하는 것에 의해 분해된 일도전형을 부여하는 불순물을 함유한 분위기에 있어서, 반도체에 레이저광을 조사하는 것에 의해, 반도체중에 상기 일도전형을 부여하는 불순물을 효율적으로 도핑할 수 있었다. 특히, 유리기판에 열손상을 주지않고 또한 레이저광의 파장이나 도핑가스의 종류에 좌우되지 않고 도핑을 행할 수 있다는 효과를 얻을 수 있었다.By irradiating the semiconductor with laser light in the state of heating the sample or in the atmosphere containing the impurity which gives decomposition of decomposition by giving the electron energy to the reactive gas, which is the constitution of the present invention, It was possible to effectively dope the impurity imparting the conductivity. Particularly, it is possible to obtain an effect that doping can be performed without giving heat damage to the glass substrate and without depending on the wavelength of the laser beam or the kind of the doping gas.

또한, 앞서 기술한 바와같이, 본 발명은 반도체에 불순물 도프라고 하는 한정된 목적 뿐만 아니라, 금속재료나 세라믹재료 표면의 개질이나, 금속 박막, 세라믹 박막, 절연체 박막에 미량원소의 첨가라고 하는 폭넓은 목적으로 사용할 수 있어, 공업적으로 유익한 발명이다.Further, as described above, the present invention can be applied not only to the limited purpose of impurity doping in semiconductors, but also to the modification of the surfaces of metal materials and ceramic materials, the broadening of the metal thin films, the ceramic thin films, It is an industrially advantageous invention.

Claims (7)

불순물을 도프할 반도체, 금속 절연체의 어느것, 혹은 이들이 조합으로 이루어지는 물체를 그 융점 이하로 가열하고, 불순물을 함유한 반응성 기체분위기 중에서, 레이저광을 조사하는 것에 의해, 상기 물체중에 불순물을 물리적 혹은 화학적으로 확산, 화합, 침입시키는 것을 특징으로 하는 물체의 레이저 처리방법.The impurities may be physically or chemically modified by irradiating laser light in a reactive gas atmosphere containing an impurity by heating an object made of a semiconductor or a metal insulator doped with impurities or a combination thereof to a temperature not higher than the melting point thereof, Wherein the laser light is chemically diffused, recombined, and intruded. 일도전형을 부여하는 불순물을 함유한 반응성 기체분위기 중에서, 반도체 표면에 대하여 레이저광을 조사하는 것에 의해, 상기 일도전형을 부여하는 불순물을 상기 반도체중에 도핑하는 방법으로, 상기 레이저 조사시에 상기 반도체는, 실온보다 높고, 반응성 기체가 분해되지 않고, 또한, 상기 반도체의 결정화 온도를 넘지 않은 온도로 유지되고 있는 점을 특징으로 하는 반도체의 레이저 처리방법.A method for doping an impurity imparting a uniform conductivity to a semiconductor by irradiating laser light onto a semiconductor surface in a reactive gas atmosphere containing an impurity imparting conductivity, , The temperature is higher than the room temperature, the reactive gas is not decomposed, and the temperature is maintained at a temperature not exceeding the crystallization temperature of the semiconductor. 내부에 시료홀더와 시료가열기구로서 기능하는 장치와, 레이저광을 투과하는데 충분히 투명한 재료로 이루어진 창고, 진공배기장치 및 불순물 원소를 함유하는 반응성가스를 도입하기 위한 장치를 구비한 챔버와, 그 챔버에 펄스형 레이저광을 조사하기 위한 레이저장치와, 그 챔버를 레이저광의 조사에 동기하여 이동시키기 위한 수단을 갖고 있는 점을 특징으로 하는 레이저 처리장치.A chamber in which a sample holder and a sample function as a heating element, a chamber which is made of a material transparent enough to transmit laser light, a vacuum exhausting device, and an apparatus for introducing a reactive gas containing an impurity element, And a means for moving the chamber in synchronism with the irradiation of the laser beam. 레이저광을 투과하는데 충분히 투명한 재료로 이루어진 창고, 불순물 원소를 함유하는 반응성가스를 도입하기 위한 장치를 구비한 챔버를 적어도 2개와, 상기 챔버중의 제1의 챔버에 펄스형의 레이저광을 조사하기 위한 레이저장치와, 상기 제1의 챔버를 레이저광의 조사에 동기하여 이동시키기 위한 수단과, 상기 챔버중의 다른 챔버의 적어도 하나의 챔버를 반송하기 위한 수단을 갖는 점을 특징으로 하는 레이저 처리장치.At least two chambers provided with a storage made of a material transparent enough to transmit laser light and a device for introducing a reactive gas containing an impurity element and a second chamber in which a pulsed laser beam is irradiated to the first chamber And means for moving said first chamber in synchronism with irradiation of laser light and means for conveying at least one chamber of another chamber of said chamber. 인을 함유하는 반응성 기체분위기 중에서, 산화규소 표면에 대하여 레이저광을 조사하는 것에 의해, 인을 상기 산화규소중에 도핑하는 방법으로, 상기 레이저 조사시에 있어서, 산화규소는 가열되는 점을 특징으로 하는 산화규소의 레이저 처리방법.Characterized in that phosphorus is doped in the silicon oxide by irradiating the surface of the silicon oxide with a laser beam in a reactive gas atmosphere containing phosphorus so that the silicon oxide is heated during the laser irradiation Method of laser treatment of silicon oxide. 유기실란가스를 재료로 이용하여 600℃ 이하의 기판온도에서 기상반응법에 의해 제작된 산화규소피막에 인을 도핑하는 방법에 있어서, 인을 함유하는 반응성 기체분위기 중에서, 상기 산화규소 표면에 대하여 레이저광을 조사하는 것에 의해, 인을 상기 산화규소중에 도핑하는 점을 특징으로 하는 산화규소의 레이저 처리방법.A method for doping phosphorus in a silicon oxide film produced by a gas phase reaction method at a substrate temperature of 600 DEG C or less using an organosilane gas as a material, characterized in that, in a reactive gas atmosphere containing phosphorus, Wherein the phosphor is doped in the silicon oxide by irradiating the phosphor with light. 제6항에 있어서, 그 산화규소피막의 밑에는 실리콘막이 존재하는 점을 특징으로 하는 산화규소의 레이저 처리방법.The method according to claim 6, wherein a silicon film is present under the silicon oxide coating.
KR1019920018168A 1992-03-26 1992-10-05 Laser treatment method and laser treatment apparatus KR960008499B1 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP92-100479 1992-03-26
JP10047992 1992-03-26
JP92-108489 1992-04-01
JP4108489A JPH05326429A (en) 1992-03-26 1992-04-01 Method and apparatus for laser treatment
JP23776392A JP3375988B2 (en) 1992-03-26 1992-08-12 Laser processing equipment
JP92-237763 1992-08-12

Publications (2)

Publication Number Publication Date
KR930020566A KR930020566A (en) 1993-10-20
KR960008499B1 true KR960008499B1 (en) 1996-06-26

Family

ID=14275060

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019920018168A KR960008499B1 (en) 1992-03-26 1992-10-05 Laser treatment method and laser treatment apparatus

Country Status (3)

Country Link
JP (2) JPH05326429A (en)
KR (1) KR960008499B1 (en)
TW (1) TW221081B (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5424244A (en) 1992-03-26 1995-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for laser processing and apparatus for use in the same
KR100291971B1 (en) 1993-10-26 2001-10-24 야마자끼 순페이 Substrate processing apparatus and method and thin film semiconductor device manufacturing method
US5616935A (en) 1994-02-08 1997-04-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor integrated circuit having N-channel and P-channel transistors
US6884698B1 (en) 1994-02-23 2005-04-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device with crystallization of amorphous silicon
US6562705B1 (en) 1999-10-26 2003-05-13 Kabushiki Kaisha Toshiba Method and apparatus for manufacturing semiconductor element
KR20020085577A (en) * 2001-05-09 2002-11-16 아남반도체 주식회사 Method for manufacturing a gate electrode
JP4387091B2 (en) 2002-11-05 2009-12-16 株式会社半導体エネルギー研究所 Method for manufacturing thin film transistor
JP4737366B2 (en) * 2004-02-25 2011-07-27 セイコーエプソン株式会社 Manufacturing method of semiconductor device
JP2008243975A (en) * 2007-03-26 2008-10-09 Japan Steel Works Ltd:The Method of crystallizing amorphous thin film, and crystallization equipment
CN114465086B (en) * 2022-01-19 2024-03-15 河南仕佳光子科技股份有限公司 Preparation method of DFB laser optical film

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS50117374A (en) * 1974-02-28 1975-09-13
JPS54131866A (en) * 1978-04-05 1979-10-13 Nippon Telegr & Teleph Corp <Ntt> Heat treatment device
JPS5630721A (en) * 1979-08-21 1981-03-27 Nec Corp Diffusing device of selected impurity
JPS57162339A (en) * 1981-03-31 1982-10-06 Fujitsu Ltd Manufacture of semiconductor device
JPS62130562A (en) * 1985-11-30 1987-06-12 Nippon Gakki Seizo Kk Manufacture of field effect transistor
JP2611236B2 (en) * 1987-07-03 1997-05-21 ソニー株式会社 Semiconductor manufacturing equipment
JPH01101625A (en) * 1987-10-15 1989-04-19 Komatsu Ltd Manufacture of semiconductor device
JP2628064B2 (en) * 1988-04-11 1997-07-09 東京エレクトロン株式会社 Object processing equipment
JPH02222545A (en) * 1989-02-23 1990-09-05 Semiconductor Energy Lab Co Ltd Manufacture of thin film transistor
JP2764425B2 (en) * 1989-02-27 1998-06-11 株式会社半導体エネルギー研究所 Method for manufacturing thin film transistor
JP2805321B2 (en) * 1989-02-28 1998-09-30 株式会社半導体エネルギー研究所 Method for manufacturing thin film transistor

Also Published As

Publication number Publication date
JPH05326429A (en) 1993-12-10
KR930020566A (en) 1993-10-20
JPH05326430A (en) 1993-12-10
TW221081B (en) 1994-02-11
JP3375988B2 (en) 2003-02-10

Similar Documents

Publication Publication Date Title
US5424244A (en) Process for laser processing and apparatus for use in the same
JP4026182B2 (en) Semiconductor device manufacturing method and electronic device manufacturing method
US6271066B1 (en) Semiconductor material and method for forming the same and thin film transistor
KR0168693B1 (en) Semiconductor fabricating method and semiconductor device fabricating method
JP3580473B2 (en) Crystallizing method of amorphous film and thin film transistor
KR0143873B1 (en) Fabrication insulation film and semiconductor device
TW515101B (en) Method for fabrication of field-effect transistor
JPH06151344A (en) Laser doping treatment method, insulated-gate semiconductor device and manufacture thereof
US6562672B2 (en) Semiconductor material and method for forming the same and thin film transistor
KR960008499B1 (en) Laser treatment method and laser treatment apparatus
EP0459836A2 (en) Method for fabricating thin-film transistors
JPH06124890A (en) Fabricating method for film-like semiconductor device
JP4036278B2 (en) Ion doping equipment
EP0641018A1 (en) Manufacturing method of semiconductor device and thin film transistor with a recrystallized thin semiconductor film
JP3612017B2 (en) Active matrix display device
JPH08204208A (en) Production of crystalline silicon semiconductor device
JP4199166B2 (en) Method for manufacturing semiconductor device
CN100543929C (en) A kind of method of making thin-film transistor and electronic device
JP3612018B2 (en) Method for manufacturing semiconductor device
JPH09139502A (en) Semiconductor device and its manufacture
JP3612009B2 (en) Method for manufacturing semiconductor device
JPH06124889A (en) Method for fabricating film-like semiconductor device
JP2001230215A (en) Method of manufacturing semiconductor device
JPH05102055A (en) Manufacture of semiconductor chip
KR20030056247A (en) Method of fabricating the same for Poly-Silicone Thin Film Transistor

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110607

Year of fee payment: 16

LAPS Lapse due to unpaid annual fee