JP3612009B2 - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
JP3612009B2
JP3612009B2 JP2000236304A JP2000236304A JP3612009B2 JP 3612009 B2 JP3612009 B2 JP 3612009B2 JP 2000236304 A JP2000236304 A JP 2000236304A JP 2000236304 A JP2000236304 A JP 2000236304A JP 3612009 B2 JP3612009 B2 JP 3612009B2
Authority
JP
Japan
Prior art keywords
chamber
substrate
film
crystalline silicon
silicon film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000236304A
Other languages
Japanese (ja)
Other versions
JP2001110741A (en
Inventor
舜平 山崎
晃 武内
保彦 竹村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to JP2000236304A priority Critical patent/JP3612009B2/en
Publication of JP2001110741A publication Critical patent/JP2001110741A/en
Application granted granted Critical
Publication of JP3612009B2 publication Critical patent/JP3612009B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Abstract

PROBLEM TO BE SOLVED: To provide a manufacturing apparatus (system) for obtaining a highly clean process for manufacturing an semiconductor element, such as a semiconductor integrated circuit, etc. SOLUTION: A multi-chamber system, having a plurality of vacuum devices (film forming apparatus, etching apparatus, heat-treatment apparatus, spare chamber, etc.), used for manufacturing a semiconductor element is characterized in that at least one of the vacuum devices is a laser beam irradiation apparatus.

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置(各種トランジスタや集積回路等)の作製方法とそのための装置に関する。
【0002】
【従来の技術】
近年、レーザーを用いた半導体素子製造方法・製造装置が開発されている。例えば、レーザー光を照射することによって、被膜等のエッチング・パターニングをおこなうレーザー・エッチング(レーザー・スクライビング)法、レーザー光を照射することによって、被膜や表面の結晶状態を変化させるレーザーアニール法、不純物を含んだ雰囲気中でレーザー光を照射することによって、被膜や表面に該不純物を拡散させるレーザードーピング法等である。
【0003】
【発明が解決しようとする課題】
しかしながら、このようなレーザーを用いる半導体製造方法においては、従来は、他の成膜装置やエッチング装置で処理した基板をレーザー処理装置内にセットし、真空排気や基板加熱等をおこなってからレーザー処理をおこなっていたために、著しく生産性が低いという問題点があった。本発明はこのような低い生産性を改善することを目的とする。
【0004】
【課題を解決する手段】
本発明の構成は、以下に示すようなものである。まず、成膜装置(プラズマCVD装置、スパッタリング装置、熱CVD装置、真空蒸着装置等)やエッチング装置、ドーピング装置(プラズマ・ドーピング装置やイオン注入装置等)、熱処理装置(熱拡散装置や熱結晶化装置等)、予備室等の真空装置を、レーザー処理装置(レーザー・エッチング装置、レーザー・アニール装置、レーザー・ドーピング装置等)とともに1つにまとめてマルチ・チャンバー・システムとし、基板を一度も大気にさらすことなく必要な処理をおこなうものである。そのようなシステムにおいては、真空排気の時間が著しく短縮されるばかりではなく、基板の搬送に伴う好ましからざる汚染から基板を守ることができるという特徴がある。
【0005】
また、レーザー光の照射するのではなく、赤外光を照射することによって、各種アニールを行なう方法がある。例えば、ガラス基板上に形成された非晶質珪素膜を加熱によって結晶化させた後、赤外光の照射を行なうことで、結晶性をさらに高めることができる。赤外光はガラス基板よりも珪素薄膜に吸収されやすく、ガラス基板をあまり加熱せずに珪素薄膜のみを選択的に加熱することができ有用である。そして、その効果も1000℃以上の熱アニールよるものに匹敵するものと考えられる。
【0006】
この赤外光の照射によるアニールは、数分以内で完了させることができるのでラピットサーマルアニール(RTA)と呼ばれる。このアニールは、半導体上に絶縁膜を形成した後に行なうことも有効である。この場合、半導体と絶縁膜との界面における準位を減少させることができ、界面特性を高めることができる。例えば、絶縁ゲイト型電界効果半導体装置の活性層(チャネル形成領域が形成される)の形成後に、ゲイト絶縁膜となる酸化珪素膜を形成し、しかる後にRTAを行なうことで、絶縁ゲイト型電界効果型半導体装置における重要な要素であるチャネルとゲイト絶縁膜との界面およびその近傍における界面特性を向上させることができる。以下に実施例を示し、本発明の数々の例を説明する。
【0007】
【実施例】
〔実施例1〕
図1には本発明の例を示す。この例では、プラズマCVD成膜装置とレーザー処理装置(例えば、レーザーアニール装置)を組み合わせたもので、2つの装置の間には予備室を1つ設けてある。
【0008】
図において、1はプラズマCVD装置のチャンバーであり、2はレーザーアニール装置のチャンバーである。これらのチャンバーにはガス導入バルブ7、18と排気バルブ8、19を設け、必要なガスを導入し、排気できるようにし、また、内部の圧力を適切な値に保てるようにされている。
【0009】
チャンバー1には、さらに、電極4、5が設けられ、電極5上には処理されるべき基板(試料)6を置き、電極4にはRF電源(例えば、周波数13.56MHz)3が接続されている。そして、チャンバー内に適切なガス(例えば、モノシランやジシラン)を導入し、電極間に放電を生じさせて、基板6上に被膜を形成する。基板は必要によって加熱されてもよい。ガスとしてモノシランを用い、基板を300℃以下とした場合には基板上にはアモルファス状態のシリコン膜が形成される。
【0010】
このようなアモルファスシリコンは電気特性が優れないので、レーザーアニールによって結晶化することによって特性の向上が図られる。チャンバー2には窓14が設けられ、レーザー装置11からミラー12、レンズ13を経由したレーザー光が窓を通して、サンプルホルダー15上の基板17に照射される。基板はヒーター16によって300〜500℃、好ましくは300〜400℃に加熱される。この加熱は結晶化を再現性良くおこなう際には欠かすことができない。
【0011】
サンプルホルダーは可動式で、基板を乗せたまま、徐々に図の右側に移動することができる。その結果、基板全面にレーザー処理をおこなうことができる。例えば、基板が300mm×400mmであるとすれば、レーザービームの形状を2×350mmの線状とすることによって、基板の全面をレーザー処理できる。また、このときのホルダーの移動速度が20mm/秒であれば、1枚の基板の処理時間は400÷20=20秒である。
【0012】
プラズマCVD装置1でアモルファスシリコンが成膜された基板は以下のような順序でレーザー処理装置2に移送される。まず、成膜終了後、成膜装置1の内部を排気して、十分な真空状態とする。一方、予備室9も十分な真空状態に排気する。そして、成膜装置1と予備室の間のゲートを開けて、基板を予備室に移送する。移送後、ゲートは閉じられ、成膜装置には再び反応ガスが導入されて、成膜が開始される。
【0013】
一方、今度はレーザー処理装置2の内部を十分な真空状態とする。予備室9の内部は既に十分な真空状態である。そして、予備室とレーザー処理装置の間のゲートを開けて、予備室からレーザー処理装置に基板を移送する。移送後、ゲートは閉じられ、サンプルホルダー15はヒーター16によって適切な温度にまで加熱される。温度が安定し、レーザー処理装置にセットされた基板の精密な位置合わせが完了したら、レーザー処理がおこなわれる。
【0014】
このとき、例えば、基板のセッティングから位置合わせ、取り出しまでを含めた基板1枚に対するレーザー装置での処理時間が、基板のセッティング、排気をも含めたプラズマCVD装置での成膜時間とほぼ等しければ、プラズマCVD装置からレーザー処理装置まで待ち時間無しで処理できる。もし、基板1枚のレーザーの処理時間が、プラズマCVDでの成膜時間の半分であれば、プラズマCVDでの成膜を一度に2枚おこなうようにすればよい。この場合には、成膜終了後は、2枚の基板が予備室に取り出され、うち1枚がレーザー処理装置に送られ、処理され、他の1枚は予備室に保存される。そして、最初の1枚が処理された後に予備室に保存されていた1枚が処理される。
【0015】
〔実施例2〕
図2には本発明の例を示す。この例では、プラズマドーピング装置とレーザー処理装置(例えば、レーザーアニール装置)を組み合わせたもので、2つの装置の間には予備室を1つ設けてある。
【0016】
図において、21はプラズマドーピング装置のチャンバーであり、22はレーザーアニール装置のチャンバーである。これらのチャンバーには必要なガスを導入し、排気でき、また、内部の圧力を適切な値に保てるようにされている。
【0017】
チャンバー21には、さらに、アノード電極24、グリッド電極25が設けられ、アノードには高電圧電源23によって、最大で100kVの高電圧が印加される。グリッド電極近傍にRF放電等によって発生したプラズマ中の陽イオン26は、上述の高電圧によってサンプルホルダー28の方向に加速される。その結果、サンプルホルダー28上の基板(試料)27には、加速された陽イオンが打ち込まれる。
【0018】
このようなイオン打ち込みでは、それまで基板上に形成されていた結晶性の材料(例えば、単結晶シリコンや結晶性シリコン)の特性がアモルファス状態やそれに近いものとなり、電気特性も劣化するので、レーザーアニールによって結晶化することによって特性の向上が図られる。チャンバー22には窓34が設けられ、レーザー装置31からミラー32、レンズ33を通したレーザー光が窓を通して、サンプルホルダー36上の基板35に照射される。基板はヒーター37によって加熱されてもよい。
【0019】
サンプルホルダーは可動式で、基板を乗せたまま、徐々に図の右側に移動することができる。その結果、基板全面にレーザー処理をおこなうことができる。プラズマドーピング装置21でドーピングされた基板は、実施例1と同様に、予備室29を経由してレーザー処理装置22に移送される。
【0020】
なお、本実施例では、プラズマソースを利用したイオン打ち込みによるドーピング装置を使用したが、イオンの質量を分離してイオンを打ち込む、イオン注入装置であってもよいことは言うまでもない。
【0021】
〔実施例3〕
図3には本発明の例を示す。この例では、プラズマドーピング装置とドライエッチング装置、およびレーザー処理装置(例えば、レーザーアニール装置)を組み合わせたもので、3つの装置の間にはそれぞれ予備室を1つ設けてある。
【0022】
図において、41はプラズマドーピング装置のチャンバーであり、42はエッチング装置の、また、43はレーザーアニール装置のチャンバーである。これらのチャンバーには必要なガスを導入し、排気でき、また、内部の圧力を適切な値に保てるようにされている。
【0023】
チャンバー41には、さらに、アノード電極45、グリッド電極46が設けられ、アノードには高電圧電源44によって、最大で100kVの高電圧が印加される。グリッド電極近傍にRF放電等によって発生したプラズマ中の陽イオン47は、上述の高電圧によってサンプルホルダー49の方向に加速される。その結果、サンプルホルダー49上の基板(試料)48には、加速された陽イオン(ホウソイオンやリンイオン等)が打ち込まれる。
【0024】
例えば、基板48には絶縁基板上に結晶性シリコンと、その上の酸化珪素層が形成され、さらに、薄膜トラジスタのゲイト電極が形成されているものとする。そして、ドーピングを行なうことによって酸化珪素層およびシリコン層には必要な不純物が注入される。このように、酸化珪素等の材料を通してドーピングすることをスルードープというが、歩留り良く半導体素子を形成するには適した方法である。
【0025】
実施例2において述べたように、このようなイオン打ち込みの結果、結晶性が悪化するので、レーザーアニール等の方法で結晶性を改善することがおこなわれるが、酸化珪素中にも不純物が注入されている。例えば、レーザーアニールに使用するレーザーとして、量産性に優れた紫外光エキシマーレーザー、例えば、KrFレーザー(波長248nm)、XeClレーザー(308nm)やXeFレーザー(350nm)を使用する場合には問題が生じる。すなわち、純粋な酸化珪素は200nm以上の紫外光に対しては透明であるが、不純物が含まれている酸化珪素はかなりの吸収を示すからである。
【0026】
この結果、レーザーのエネルギーの多くの部分が酸化珪素膜によって吸収され、結晶性の改善には効率的に使用できないという問題が生じる。この問題を解決するためには、酸化珪素膜をエッチングすることによって、レーザー光が結晶性を改善されるべき被膜に効率的に吸収されるようにしなければならない。エッチング装置42はこの目的のために設けられたものである。
【0027】
エッチング装置42には電極53、54が設けられ、電極53にはRF電源52が接続され、また、電極54上には基板55が置かれる。例えば、四フッ化炭素雰囲気中でRF電源からの電力によって、電極間に放電を生じさせると、基板上の酸化珪素膜をエッチングすることができる。
【0028】
レーザー処理装置43は実施例1、2に示したものと実質的には同じもので、チャンバー43には窓61が設けられ、レーザー装置58からミラー59、レンズ60を経て、レーザー光が窓61を通して、可動式のサンプルホルダー64上の基板62に照射される。基板はヒーター63によって加熱されてもよい。
【0029】
プラズマドーピング装置41でドーピングされた基板は、実施例1と同様に、予備室50を経由して、エッチング装置42に移送され、エッチング処理終了後、予備室56を経由してレーザー処理装置43に移送される。
【0030】
このようなマルチ・チャンバー・システムを用いて薄膜トランジスタ(TFT)を作製する例を図5に示す。ガラス基板(例えば、コーニング7059)101上に厚さ20〜200nmの下地酸化珪素膜102をスパッタリング法やプラズマCVD法によって形成する。さらに、LPCVD法やプラズマCVD法、スパッタリング法等の方法によってアモルファス・シリコン膜を100〜200nm堆積し、これを550〜650℃、4〜48時間の窒素中、もしくは真空中の加熱によって結晶化させる。
【0031】
そして、この結晶化したシリコン膜をパターニングして活性層領域103と104とを形成する。そして、ゲイト酸化膜として機能する厚さ50〜150nmの酸化珪素膜105を形成し、さらに、アルミニウム、タンタル、クロム、タングステン、モリブテン、シリコンおよびそれらの合金や多層配線等の材料によってゲイト電極106、107を形成する。(図5(A))
【0032】
その後、活性層領域103にのみレジスト等のマスク材108を形成し、図3のプラズマドーピング装置41によって、ホウソのドーピングをおこなう。ホウソの加速電圧は20〜65keV、典型的には65keV、ドーズ量は6×1015cm−2とした。このドーピング工程によって、P型領域109が形成される。(図5(B))
【0033】
ドーピング終了後、基板はエッチング装置42に移送され、酸素雰囲気の放電によって、マスク材108が除去される。通常は、レジスト等のマスク材は剥離液によって剥離する方が効率がよいが、真空装置の出し入れを考慮すると、図3のようなマルチ・チャンバー・システムでは、エッチング装置によってアッシングする方が効率的で、処理能力も高い。
【0034】
次に、再び、基板はドーピング装置41に戻され、今度は燐のドーピングをおこなう。燐の加速電圧は20〜85keV、典型的には80keV、ドーズ量は4×1015cm−2とした。このドーピング工程によって、N型領域110が形成された。(図5(C))
【0035】
次に、基板は再びエッチング装置42に送られ、ここで、酸化珪素膜105のエッチングがおこなわれた。先述の通り、この酸化珪素膜には多くの燐やホウソが混入しており、レーザー光の吸収が大きく、このままではレーザーアニールを効率的におこなうことができないからである。(図5(D))
【0036】
酸化珪素膜105がエッチングされた後、基板はレーザー処理装置43に送られ、ここで、レーザーアニールをおこなう。レーザーとしては、KrFレーザー(パルス幅20nsec、繰り返し周波数200Hz)を用いた。しかし、その他のレーザーであってもよいことは自明であろう。レーザーの1パルス当たりのエネルギー密度は200〜400mJ/cm、好ましくは250〜300mJ/cmとしたが、これは他の条件(例えばドーズ量やシリコン膜の厚さ)によって変更される。(図5(E))
【0037】
レーザーアニール終了後、基板は外部に取り出されて層間絶縁膜111、および金属配線・電極112が形成された。もちろん、さらに成膜チャンバーを図3のマルチ・チャンバー・システムに追加して、層間絶縁膜も連続的に成膜してもよい。以上の工程を経ることによってNチャネル型およびPチャネル型のTFTが完成された。
【0038】
図3では各真空装置が直列に配置されている様子が示されているが、例えば、図4に示すように、並列に配置されていても良い。図4には、基板の出入りのためのチャンバー71、レーザー処理装置73、プラズマドーピング装置75、エッチング装置77が、それぞれのゲート72、74、76、78を介して、共通の予備室79に接続されている様子が示されている。
【0039】
そして、基板81〜84はマジックハンド80によって、予備室や他のチャンバーに移送される。このようなシステムでは、必要に応じてシステムを拡大してゆくことが可能であり、量産時におけるフレキシビリティー(成膜・エッチング工程の追加、成膜時間の延長に伴うタクトの変更等に対する柔軟性)を高めることができる。
【0040】
〔実施例4〕
本実施例は、図6に示すように、プラズマドーピング装置のチャンバー41、エッチング装置のチャンバー42、赤外光によるラピットサーマルアニール(RTA)によるアニールを行なうチャンバー601とを予備室50と56とによって連結した構成を有する。図3と同一符号の箇所は、図3において説明したのと同様な構成を有する。
【0041】
RTAを行なうチャンバー601には、赤外光を照射する光源(ランプ)602、光源室を構成するチャンバー603、赤外光を透過する石英窓606が設けられている。また図示はしないが、不活性気体や必要とするガスを導入するためのガス導入系とガス排気系とを備えている。
【0042】
基板604は、基板ホルダー605に載せられており、マジックハンド(基板搬送用ロボット)によって各チャンバー移送される。この移送方法は、基板のみを移送させる方式でもよいし、基板ホルダーごと移動させる方式でもよい。
【0043】
また、RTAを行なう雰囲気は、窒素等の不活性雰囲気中で行なうのが普通であるが、アンモニア(NH)雰囲気や亜酸化窒素(NO)さらには酸素雰囲気中で行なってもよい。
【0044】
以下に図6に示す装置を用いた例を示す。まず、図5に示されているTFTの作製工程において、右側のTFTのみを作製する場合を考える。この場合、まず活性層104に酸化珪素膜が形成された後に基板をRTAが行なわれるチャンバー601に搬入する。そして、チャンバー601内を不活性気体で満たし、ランプ602より赤外光を照射する。この工程によって、活性層103と酸化珪素膜105との界面特性が改善される。具体的には、チャネル形成領域とゲイト絶縁膜との界面における界面準位を減少させることができる。
【0045】
そしてチャンバー601を減圧状態として、同じく同程度の減圧状態に保持された予備室56に基板を搬送する。さらに同様に減圧状態に保持されたチャンバー42、予備室50を経由してプラズマドーピングを行なうチャンバー41に基板を搬入する。これら基板の搬送工程が、外気に触れさせずに行なわれることは重要である。
【0046】
そして、必要とするイオン注入工程をドーピング装置のチャンバー内において行なう。さらに真空度を保った状態において基板をエッチング装置のチャンバー42に移送し、ドライエッチングを行なうことにより、露呈した酸化膜105を除去する。そしてさらに基板をRTAが行なわれるチャンバー601に搬入しRTAを行なうことで、注入された不純物を活性化させることができる。この際、酸化膜105が存在しないことは、RTAを効果的に行なうために重要である。即ち、酸化珪素膜105中には、イオン注入時において注入された不純物が存在しており、この不純物成分が赤外線を吸収するからである。
【0047】
以上説明した装置の構成以外に、レーザー光を照射するチャンバーとRTAを行なうチャンバーとを組み合わせとしてもよい。さらに必要とする複数のチャンバーを組み合わせることも可能である。
【0048】
【発明の効果】
本発明では、レーザー処理装置さらには強光を照射する装置とこれに関連する真空装置(成膜装置やエッチング装置)を組み合わせてシステムとし、これを効率的に活用することによって量産性を向上せしめることが示された。このように本発明は工業上、有益な発明である。
【図面の簡単な説明】
【図1】本発明のマルチチャンバーの概念図を示す。
【図2】本発明のマルチチャンバーの概念図を示す。
【図3】本発明のマルチチャンバーの概念図を示す。
【図4】本発明のマルチチャンバーの概念図を示す。
【図5】本発明の実施例を示す。
【図6】本発明のマルチチャンバーの概念図を示す。
【符号の説明】
1 ・・・成膜チャンバー
2 ・・・レーザー処理チャンバー
3 ・・・RF電源
4、5・・・電極
6 ・・・(成膜中の)基板
7 ・・・真空バルブ(ガス導入側)
8 ・・・真空バルブ(排気側)
9 ・・・予備室
10 ・・・(成膜後の)基板
11 ・・・レーザー装置
12 ・・・ミラー
13 ・・・レンズ
14 ・・・窓
15 ・・・基板ホルダー(可動式)
16 ・・・ヒーター
17 ・・・(レーザー処理中の)基板
18 ・・・真空バルブ(ガス導入側)
19 ・・・真空バルブ(排気側)
21 ・・・ドーピング装置チャンバー
22 ・・・レーザー処理チャンバー
23 ・・・高圧電源
24 ・・・アノード電極
25 ・・・グリッド電極
26 ・・・イオン流
27 ・・・(ドーピング中)の基板
28 ・・・サンプルホルダー
29 ・・・予備室
30 ・・・(ドーピング後の)基板
31 ・・・レーザー装置
32 ・・・ミラー
33 ・・・レンズ
34 ・・・窓
35 ・・・(レーザー処理中の)基板
36 ・・・基板ホルダー(可動式)
37 ・・・ヒーター
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a method for manufacturing a semiconductor device (such as various transistors and integrated circuits) and an apparatus therefor.
[0002]
[Prior art]
In recent years, semiconductor element manufacturing methods and apparatuses using lasers have been developed. For example, a laser etching (laser scribing) method that etches and patterns a film by irradiating laser light, a laser annealing method that changes the crystalline state of the film or surface by irradiating laser light, impurities A laser doping method or the like in which the impurities are diffused in the coating film or the surface by irradiating with a laser beam in an atmosphere containing.
[0003]
[Problems to be solved by the invention]
However, in such a semiconductor manufacturing method using a laser, conventionally, a substrate processed by another film forming apparatus or an etching apparatus is set in the laser processing apparatus, and after evacuation or substrate heating, the laser processing is performed. As a result, the productivity was remarkably low. The present invention aims to improve such low productivity.
[0004]
[Means for solving the problems]
The configuration of the present invention is as follows. First, film forming equipment (plasma CVD equipment, sputtering equipment, thermal CVD equipment, vacuum deposition equipment, etc.), etching equipment, doping equipment (plasma doping equipment, ion implantation equipment, etc.), heat treatment equipment (thermal diffusion equipment, thermal crystallization) Equipment), vacuum chambers such as spare chambers, and laser processing equipment (laser etching equipment, laser annealing equipment, laser doping equipment, etc.) are combined into a multi-chamber system, and the substrate is once in the atmosphere. It performs the necessary processing without exposing to Such a system is characterized not only in that the time for evacuation is significantly shortened, but also that the substrate can be protected from undesired contamination associated with the transport of the substrate.
[0005]
Further, there is a method of performing various annealings by irradiating infrared light instead of irradiating laser light. For example, after crystallizing an amorphous silicon film formed over a glass substrate by heating, the crystallinity can be further increased by performing irradiation with infrared light. Infrared light is more easily absorbed by the silicon thin film than the glass substrate, and is useful because it can selectively heat only the silicon thin film without heating the glass substrate much. The effect is considered to be comparable to that obtained by thermal annealing at 1000 ° C. or higher.
[0006]
This annealing by irradiation with infrared light can be completed within a few minutes, so it is called rapid thermal annealing (RTA). It is also effective to perform this annealing after forming an insulating film on the semiconductor. In this case, the level at the interface between the semiconductor and the insulating film can be reduced, and the interface characteristics can be improved. For example, after forming an active layer (a channel forming region is formed) of an insulated gate field effect semiconductor device, a silicon oxide film to be a gate insulating film is formed, and then RTA is performed, whereby an insulated gate field effect is obtained. It is possible to improve the interface characteristics at and near the interface between the channel and the gate insulating film, which is an important element in the semiconductor device. The following examples illustrate the various examples of the present invention.
[0007]
【Example】
[Example 1]
FIG. 1 shows an example of the present invention. In this example, a plasma CVD film forming apparatus and a laser processing apparatus (for example, a laser annealing apparatus) are combined, and one spare chamber is provided between the two apparatuses.
[0008]
In the figure, 1 is a chamber of a plasma CVD apparatus, and 2 is a chamber of a laser annealing apparatus. These chambers are provided with gas introduction valves 7 and 18 and exhaust valves 8 and 19 so that necessary gases can be introduced and exhausted, and the internal pressure can be kept at an appropriate value.
[0009]
The chamber 1 is further provided with electrodes 4 and 5, a substrate (sample) 6 to be processed is placed on the electrode 5, and an RF power source (for example, frequency 13.56 MHz) 3 is connected to the electrode 4. ing. Then, an appropriate gas (for example, monosilane or disilane) is introduced into the chamber, and a discharge is generated between the electrodes to form a film on the substrate 6. The substrate may be heated as necessary. When monosilane is used as the gas and the substrate is set to 300 ° C. or lower, an amorphous silicon film is formed on the substrate.
[0010]
Since such amorphous silicon does not have excellent electrical characteristics, the characteristics can be improved by crystallization by laser annealing. A window 14 is provided in the chamber 2, and laser light from the laser device 11 via the mirror 12 and the lens 13 is irradiated to the substrate 17 on the sample holder 15 through the window. The substrate is heated by the heater 16 to 300 to 500 ° C., preferably 300 to 400 ° C. This heating is indispensable when performing crystallization with good reproducibility.
[0011]
The sample holder is movable and can be moved gradually to the right side of the figure with the substrate on it. As a result, laser treatment can be performed on the entire surface of the substrate. For example, if the substrate is 300 mm × 400 mm, the entire surface of the substrate can be laser-treated by making the shape of the laser beam 2 × 350 mm linear. If the moving speed of the holder at this time is 20 mm / second, the processing time for one substrate is 400 ÷ 20 = 20 seconds.
[0012]
The substrate on which the amorphous silicon film is formed by the plasma CVD apparatus 1 is transferred to the laser processing apparatus 2 in the following order. First, after the film formation is completed, the inside of the film formation apparatus 1 is evacuated to a sufficient vacuum state. On the other hand, the preliminary chamber 9 is also evacuated to a sufficient vacuum state. Then, the gate between the film forming apparatus 1 and the spare chamber is opened, and the substrate is transferred to the spare chamber. After the transfer, the gate is closed, the reaction gas is again introduced into the film forming apparatus, and film formation is started.
[0013]
On the other hand, the inside of the laser processing apparatus 2 is now in a sufficiently vacuum state. The preliminary chamber 9 is already in a sufficiently vacuum state. Then, the gate between the preliminary chamber and the laser processing apparatus is opened, and the substrate is transferred from the preliminary chamber to the laser processing apparatus. After the transfer, the gate is closed and the sample holder 15 is heated to an appropriate temperature by the heater 16. When the temperature is stable and precise alignment of the substrate set in the laser processing apparatus is completed, laser processing is performed.
[0014]
At this time, for example, if the processing time in the laser device for one substrate including from the substrate setting to alignment and removal is substantially equal to the film formation time in the plasma CVD device including the substrate setting and exhaust. From the plasma CVD apparatus to the laser processing apparatus, processing can be performed without waiting time. If the processing time of the laser of one substrate is half of the film formation time in plasma CVD, two films may be formed in plasma CVD at a time. In this case, after the film formation is completed, two substrates are taken out to the spare chamber, one of which is sent to the laser processing apparatus and processed, and the other one is stored in the spare chamber. Then, after the first sheet is processed, one sheet stored in the spare room is processed.
[0015]
[Example 2]
FIG. 2 shows an example of the present invention. In this example, a plasma doping apparatus and a laser processing apparatus (for example, a laser annealing apparatus) are combined, and one spare chamber is provided between the two apparatuses.
[0016]
In the figure, 21 is a chamber of a plasma doping apparatus, and 22 is a chamber of a laser annealing apparatus. In these chambers, necessary gas can be introduced and exhausted, and the internal pressure can be maintained at an appropriate value.
[0017]
The chamber 21 is further provided with an anode electrode 24 and a grid electrode 25, and a high voltage of 100 kV at maximum is applied to the anode by a high voltage power source 23. The positive ions 26 in the plasma generated by RF discharge or the like in the vicinity of the grid electrode are accelerated in the direction of the sample holder 28 by the high voltage described above. As a result, accelerated cations are implanted into the substrate (sample) 27 on the sample holder 28.
[0018]
In such ion implantation, the crystalline material (for example, single crystal silicon or crystalline silicon) that has been formed on the substrate until then becomes amorphous or close to the characteristics, and the electrical characteristics are deteriorated. The characteristics are improved by crystallization by annealing. A window 34 is provided in the chamber 22, and laser light passing through the mirror 32 and the lens 33 from the laser device 31 is irradiated to the substrate 35 on the sample holder 36 through the window. The substrate may be heated by the heater 37.
[0019]
The sample holder is movable and can be moved gradually to the right side of the figure with the substrate on it. As a result, laser treatment can be performed on the entire surface of the substrate. The substrate doped with the plasma doping apparatus 21 is transferred to the laser processing apparatus 22 via the preliminary chamber 29 as in the first embodiment.
[0020]
In this embodiment, a doping apparatus using ion implantation using a plasma source is used. However, it goes without saying that an ion implantation apparatus that implants ions by separating the mass of ions may be used.
[0021]
Example 3
FIG. 3 shows an example of the present invention. In this example, a plasma doping apparatus, a dry etching apparatus, and a laser processing apparatus (for example, a laser annealing apparatus) are combined, and one spare chamber is provided between the three apparatuses.
[0022]
In the figure, 41 is a chamber of a plasma doping apparatus, 42 is an etching apparatus, and 43 is a chamber of a laser annealing apparatus. In these chambers, necessary gas can be introduced and exhausted, and the internal pressure can be maintained at an appropriate value.
[0023]
The chamber 41 is further provided with an anode electrode 45 and a grid electrode 46, and a high voltage of 100 kV at maximum is applied to the anode by a high voltage power supply 44. The positive ions 47 in the plasma generated by RF discharge or the like in the vicinity of the grid electrode are accelerated in the direction of the sample holder 49 by the high voltage described above. As a result, accelerated cations (such as boron ions and phosphorus ions) are implanted into the substrate (sample) 48 on the sample holder 49.
[0024]
For example, in the substrate 48, crystalline silicon and a silicon oxide layer thereon are formed on an insulating substrate, and a gate electrode of a thin film transistor is further formed. Then, necessary impurities are implanted into the silicon oxide layer and the silicon layer by doping. In this way, doping through a material such as silicon oxide is referred to as through doping, which is a suitable method for forming a semiconductor element with a high yield.
[0025]
As described in Example 2, the crystallinity deteriorates as a result of such ion implantation, so that crystallinity is improved by a method such as laser annealing, but impurities are also implanted into silicon oxide. ing. For example, a problem arises when an ultraviolet excimer laser excellent in mass productivity, for example, a KrF laser (wavelength 248 nm), a XeCl laser (308 nm), or a XeF laser (350 nm) is used as a laser used for laser annealing. That is, pure silicon oxide is transparent to ultraviolet light of 200 nm or more, but silicon oxide containing impurities exhibits considerable absorption.
[0026]
As a result, a large part of the laser energy is absorbed by the silicon oxide film, which causes a problem that it cannot be used efficiently for improving crystallinity. In order to solve this problem, the silicon oxide film must be etched so that the laser light is efficiently absorbed by the coating whose crystallinity is to be improved. The etching apparatus 42 is provided for this purpose.
[0027]
The etching apparatus 42 is provided with electrodes 53 and 54, an RF power source 52 is connected to the electrode 53, and a substrate 55 is placed on the electrode 54. For example, the silicon oxide film on the substrate can be etched when a discharge is generated between the electrodes by power from an RF power source in a carbon tetrafluoride atmosphere.
[0028]
The laser processing apparatus 43 is substantially the same as that shown in the first and second embodiments, and a window 61 is provided in the chamber 43, and laser light passes through the mirror 59 and the lens 60 from the laser apparatus 58, and laser light passes through the window 61. Then, the substrate 62 on the movable sample holder 64 is irradiated. The substrate may be heated by the heater 63.
[0029]
The substrate doped by the plasma doping apparatus 41 is transferred to the etching apparatus 42 via the preliminary chamber 50 as in the first embodiment. After the etching process is completed, the substrate is transferred to the laser processing apparatus 43 via the preliminary chamber 56. Be transported.
[0030]
An example of manufacturing a thin film transistor (TFT) using such a multi-chamber system is shown in FIG. A base silicon oxide film 102 having a thickness of 20 to 200 nm is formed on a glass substrate (eg, Corning 7059) 101 by a sputtering method or a plasma CVD method. Further, an amorphous silicon film is deposited to a thickness of 100 to 200 nm by a method such as LPCVD, plasma CVD, or sputtering, and crystallized by heating in nitrogen at 550 to 650 ° C. for 4 to 48 hours or in vacuum. .
[0031]
Then, the crystallized silicon film is patterned to form active layer regions 103 and 104. Then, a silicon oxide film 105 having a thickness of 50 to 150 nm functioning as a gate oxide film is formed, and further, a gate electrode 106 is formed of a material such as aluminum, tantalum, chromium, tungsten, molybdenum, silicon, an alloy thereof or a multilayer wiring. 107 is formed. (Fig. 5 (A))
[0032]
Thereafter, a mask material 108 such as a resist is formed only in the active layer region 103, and boron doping is performed by the plasma doping apparatus 41 of FIG. The acceleration voltage of the spine was 20 to 65 keV, typically 65 keV, and the dose amount was 6 × 10 15 cm −2 . A P-type region 109 is formed by this doping process. (Fig. 5 (B))
[0033]
After the doping is completed, the substrate is transferred to the etching apparatus 42, and the mask material 108 is removed by discharge in an oxygen atmosphere. Usually, it is more efficient to remove a mask material such as a resist with a remover. However, in consideration of taking in and out of a vacuum apparatus, it is more efficient to perform ashing with an etching apparatus in a multi-chamber system as shown in FIG. And processing capacity is high.
[0034]
Next, the substrate is returned to the doping apparatus 41 again, and this time, phosphorus is doped. The acceleration voltage of phosphorus was 20 to 85 keV, typically 80 keV, and the dose amount was 4 × 10 15 cm −2 . By this doping process, an N-type region 110 was formed. (Fig. 5 (C))
[0035]
Next, the substrate was sent again to the etching apparatus 42, where the silicon oxide film 105 was etched. As described above, this silicon oxide film contains a large amount of phosphorus and boron, so that the laser light is absorbed so much that laser annealing cannot be performed efficiently. (Fig. 5 (D))
[0036]
After the silicon oxide film 105 is etched, the substrate is sent to the laser processing apparatus 43 where laser annealing is performed. As the laser, a KrF laser (pulse width 20 nsec, repetition frequency 200 Hz) was used. However, it will be apparent that other lasers may be used. The energy density per pulse of the laser is 200 to 400 mJ / cm 2 , preferably 250 to 300 mJ / cm 2 , but this is changed depending on other conditions (for example, the dose amount and the thickness of the silicon film). (Fig. 5 (E))
[0037]
After the laser annealing, the substrate was taken out to form an interlayer insulating film 111 and metal wiring / electrodes 112. Of course, a film forming chamber may be added to the multi-chamber system of FIG. 3 to form an interlayer insulating film continuously. Through the above steps, N-channel and P-channel TFTs were completed.
[0038]
Although FIG. 3 shows a state in which the vacuum devices are arranged in series, for example, as shown in FIG. 4, they may be arranged in parallel. In FIG. 4, a chamber 71 for entering and exiting the substrate, a laser processing device 73, a plasma doping device 75, and an etching device 77 are connected to a common spare chamber 79 through respective gates 72, 74, 76, and 78. The state of being done is shown.
[0039]
Then, the substrates 81 to 84 are transferred to a spare room or another chamber by the magic hand 80. In such a system, it is possible to expand the system as necessary, and flexibility during mass production (addition of film formation / etching process, flexibility to change tact as the film formation time is extended, etc.) Property).
[0040]
Example 4
In this embodiment, as shown in FIG. 6, a chamber 41 of a plasma doping apparatus, a chamber 42 of an etching apparatus, and a chamber 601 for performing annealing by rapid thermal annealing (RTA) using infrared light are constituted by a spare room 50 and 56. It has a connected configuration. 3 have the same configuration as that described in FIG.
[0041]
A chamber 601 that performs RTA is provided with a light source (lamp) 602 that irradiates infrared light, a chamber 603 that constitutes a light source room, and a quartz window 606 that transmits infrared light. Although not shown in the figure, a gas introduction system and a gas exhaust system for introducing an inert gas or a necessary gas are provided.
[0042]
The substrate 604 is placed on the substrate holder 605 and is transferred to each chamber by a magic hand (substrate transport robot). This transfer method may be a method of transferring only the substrate or a method of moving the entire substrate holder.
[0043]
The atmosphere in which RTA is performed is normally performed in an inert atmosphere such as nitrogen, but may be performed in an ammonia (NH 3 ) atmosphere, nitrous oxide (N 2 O), or an oxygen atmosphere.
[0044]
An example using the apparatus shown in FIG. 6 is shown below. First, consider the case of manufacturing only the right TFT in the TFT manufacturing process shown in FIG. In this case, first, after a silicon oxide film is formed on the active layer 104, the substrate is carried into a chamber 601 where RTA is performed. Then, the chamber 601 is filled with an inert gas, and infrared light is irradiated from the lamp 602. By this step, the interface characteristics between the active layer 103 and the silicon oxide film 105 are improved. Specifically, the interface state at the interface between the channel formation region and the gate insulating film can be reduced.
[0045]
Then, the chamber 601 is set in a reduced pressure state, and the substrate is transferred to the preliminary chamber 56 that is also held in the same reduced pressure state. In the same manner, the substrate is carried into the chamber 41 where plasma doping is performed via the chamber 42 and the preliminary chamber 50 held in a reduced pressure state. It is important that these substrate transport steps be performed without exposure to the outside air.
[0046]
Then, the necessary ion implantation process is performed in the chamber of the doping apparatus. Further, in a state where the degree of vacuum is maintained, the substrate is transferred to the chamber 42 of the etching apparatus and dry etching is performed to remove the exposed oxide film 105. Further, the implanted impurities can be activated by carrying the substrate into the chamber 601 where RTA is performed and performing RTA. At this time, the absence of the oxide film 105 is important for effective RTA. That is, the silicon oxide film 105 contains impurities implanted at the time of ion implantation, and this impurity component absorbs infrared rays.
[0047]
In addition to the configuration of the apparatus described above, a chamber for irradiating laser light and a chamber for performing RTA may be combined. It is also possible to combine a plurality of required chambers.
[0048]
【The invention's effect】
In the present invention, a laser processing apparatus or an apparatus that irradiates intense light and a vacuum apparatus (a film forming apparatus or an etching apparatus) related thereto are combined into a system, and mass productivity is improved by efficiently using the system. It was shown that. Thus, the present invention is an industrially useful invention.
[Brief description of the drawings]
FIG. 1 shows a conceptual diagram of a multi-chamber of the present invention.
FIG. 2 shows a conceptual diagram of the multi-chamber of the present invention.
FIG. 3 shows a conceptual diagram of the multi-chamber of the present invention.
FIG. 4 shows a conceptual diagram of the multi-chamber of the present invention.
FIG. 5 shows an embodiment of the present invention.
FIG. 6 shows a conceptual diagram of the multi-chamber of the present invention.
[Explanation of symbols]
DESCRIPTION OF SYMBOLS 1 ... Film-forming chamber 2 ... Laser processing chamber 3 ... RF power supply 4, 5 ... Electrode 6 ... (During film-forming) Substrate 7 ... Vacuum valve (gas introduction side)
8 ... Vacuum valve (exhaust side)
9 ... Preliminary chamber 10 ... Substrate 11 (after film formation) ... Laser apparatus 12 ... Mirror 13 ... Lens 14 ... Window 15 ... Substrate holder (movable)
16 ... Heater 17 ... Substrate 18 (during laser processing) ... Vacuum valve (gas introduction side)
19 ・ ・ ・ Vacuum valve (exhaust side)
21... Doping device chamber 22... Laser processing chamber 23... High voltage power supply 24... Anode electrode 25... Grid electrode 26. ..Sample holder 29... Preliminary chamber 30... (Doping) substrate 31... Laser device 32... Mirror 33. ) Substrate 36 ... Substrate holder (movable)
37 ・ ・ ・ Heater

Claims (3)

ガラス基板上に酸化珪素膜を形成し、
前記酸化珪素膜上に非晶質珪素膜を形成し、
前記非晶質珪素膜を結晶化して結晶性珪素膜を形成し、
前記結晶性珪素膜をパターニングして第1および第2の結晶性珪素膜を形成し、
前記第1の結晶性珪素膜および第2の結晶性珪素膜上にゲイト絶縁膜を形成し、
前記第1の結晶性珪素膜上に前記ゲイト絶縁膜を介して第1のゲイト電極を形成し、
前記第2の結晶性珪素膜上に前記ゲイト絶縁膜を介して第2のゲイト電極を形成し、
イオンを注入するための第1のチャンバーと、アッシングおよびドライエッチングするための第2のチャンバーと、赤外光を照射する第3のチャンバーとを有する半導体処理装置を用い、
第1のチャンバーにおいて、前記第2の結晶性珪素膜をレジストマスクで覆った状態で、前記第1のゲイト電極をマスクにして前記第1の結晶性珪素膜にホウ素のイオンを注入し、
前記基板を外気にさらすことなく、前記第1のチャンバーから第2のチャンバーに移送し、
前記第2のチャンバーにおいて、前記第2の結晶性珪素膜を覆ったレジストマスクをアッシングして除去し、
前記基板を外気にさらすことなく、前記第2のチャンバーから第1のチャンバーに移送し、
第1のチャンバーにおいて、前記第1および第2のゲイト電極をそれぞれマスクにして、前記第1および第2の結晶性珪素膜に燐を含むイオンを注入し、
前記基板を外気にさらすことなく、前記第1のチャンバーから第2のチャンバーに移送し、
前記第2のチャンバーにおいて、前記第1および第2のゲイト電極をマスクとして前記ゲイト絶縁膜の一部をドライエッチングして前記第1および第2の結晶性珪素膜の一部を露呈させ、
前記基板を外気にさらすことなく、前記第2のチャンバーから第3のチャンバーに移送し、
前記第3のチャンバーにおいて、ラピットサーマルアニールにより、前記第1および第2の結晶性珪素膜に注入したイオンを活性化することを特徴とする半導体装置の作製方法。
A silicon oxide film is formed on a glass substrate,
Forming an amorphous silicon film on the silicon oxide film;
Crystallizing the amorphous silicon film to form a crystalline silicon film;
Patterning the crystalline silicon film to form first and second crystalline silicon films ;
Forming a gate insulating film on the first crystalline silicon film and the second crystalline silicon film;
Forming a first gate electrode on the first crystalline silicon film via the gate insulating film;
Forming a second gate electrode on the second crystalline silicon film via the gate insulating film;
Using a semiconductor processing apparatus having a first chamber for implanting ions, a second chamber for ashing and dry etching, and a third chamber for irradiating infrared light,
In the first chamber, with the second crystalline silicon film covered with a resist mask, boron ions are implanted into the first crystalline silicon film using the first gate electrode as a mask,
Transferring the substrate from the first chamber to the second chamber without exposing the substrate to outside air;
In the second chamber, the resist mask covering the second crystalline silicon film is removed by ashing,
Transferring the substrate from the second chamber to the first chamber without exposing the substrate to outside air;
In the first chamber, using the first and second gate electrodes as masks, ions containing phosphorus are implanted into the first and second crystalline silicon films ,
Transferring the substrate from the first chamber to the second chamber without exposing the substrate to outside air;
In the second chamber, the part of the gate insulating film is dry etched to expose a portion of said first and second crystalline silicon film using the first and second gate electrode as a mask,
Transferring the substrate from the second chamber to a third chamber without exposing the substrate to outside air;
A method for manufacturing a semiconductor device, comprising: activating ions implanted in the first and second crystalline silicon films by rapid thermal annealing in the third chamber.
請求項1において、前記非晶質珪素膜は、LPCVD法プラズマCVD法またはスパッタリング法で形成された膜でなることを特徴とする半導体装置の作製方法。 2. The method for manufacturing a semiconductor device according to claim 1, wherein the amorphous silicon film is a film formed by an LPCVD method , a plasma CVD method, or a sputtering method. 請求項1又は2において、前記第1および第2のゲイト電極は、材料としてアルミニウム、タンタル、クロム、タングステン、モリブデン、シリコンのいずれかを含むことを特徴とする半導体装置の作製方法。3. The method for manufacturing a semiconductor device according to claim 1, wherein the first and second gate electrodes include any one of aluminum, tantalum, chromium, tungsten, molybdenum, and silicon as a material.
JP2000236304A 1992-12-04 2000-08-04 Method for manufacturing semiconductor device Expired - Fee Related JP3612009B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000236304A JP3612009B2 (en) 1992-12-04 2000-08-04 Method for manufacturing semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP4-350546 1992-12-04
JP35054692 1992-12-04
JP2000236304A JP3612009B2 (en) 1992-12-04 2000-08-04 Method for manufacturing semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP30117293A Division JP3165304B2 (en) 1992-12-04 1993-11-05 Semiconductor device manufacturing method and semiconductor processing apparatus

Publications (2)

Publication Number Publication Date
JP2001110741A JP2001110741A (en) 2001-04-20
JP3612009B2 true JP3612009B2 (en) 2005-01-19

Family

ID=26579230

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000236304A Expired - Fee Related JP3612009B2 (en) 1992-12-04 2000-08-04 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP3612009B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5668270B2 (en) 2008-12-11 2015-02-12 富士電機株式会社 Manufacturing method of semiconductor device
US20140191618A1 (en) * 2011-06-07 2014-07-10 Youtec Co., Ltd. Poling treatment method, plasma poling device, piezoelectric body and manufacturing method thereof, film forming device and etching device, and lamp annealing device
CN112735998B (en) * 2020-12-24 2023-03-24 大族激光科技产业集团股份有限公司 Laser doping apparatus

Also Published As

Publication number Publication date
JP2001110741A (en) 2001-04-20

Similar Documents

Publication Publication Date Title
JP3165304B2 (en) Semiconductor device manufacturing method and semiconductor processing apparatus
KR100230485B1 (en) Semiconductor processing system
US6897100B2 (en) Method for processing semiconductor device apparatus for processing a semiconductor and apparatus for processing semiconductor device
US7097712B1 (en) Apparatus for processing a semiconductor
JPH09320961A (en) Semiconductor manufacturing apparatus and manufacture of thin film transistor
JP3165324B2 (en) Method for manufacturing semiconductor device
JP3927634B2 (en) Laser annealing method and thin film transistor manufacturing method
JP3375988B2 (en) Laser processing equipment
JP3612009B2 (en) Method for manufacturing semiconductor device
JP3612018B2 (en) Method for manufacturing semiconductor device
JPH06295859A (en) Laser treating method
JP4036278B2 (en) Ion doping equipment
JP2840802B2 (en) Method and apparatus for manufacturing semiconductor material
JP2001044132A (en) Manufacture of semiconductor device
JPH08204208A (en) Production of crystalline silicon semiconductor device
JP3859946B2 (en) Method for manufacturing semiconductor device
JP4001645B2 (en) Crystalline silicon film manufacturing method and laser irradiation apparatus
JPH11163356A (en) Thin-film transistor and its manufacture
JP3957777B2 (en) Laser irradiation method
JP2860894B2 (en) Semiconductor device manufacturing method
JP3605326B2 (en) Multi-chamber equipment
JP3612017B2 (en) Active matrix display device
JP4199166B2 (en) Method for manufacturing semiconductor device
JPH1041513A (en) Method and device for manufacture of semiconductor element
JP4208196B2 (en) Multi-chamber apparatus and thin film device manufacturing method.

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040427

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040604

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040727

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040825

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20040916

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20041012

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20041021

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081029

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081029

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091029

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091029

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091029

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101029

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101029

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111029

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111029

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121029

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121029

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121029

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131029

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees