JP3375988B2 - Laser processing equipment - Google Patents

Laser processing equipment

Info

Publication number
JP3375988B2
JP3375988B2 JP23776392A JP23776392A JP3375988B2 JP 3375988 B2 JP3375988 B2 JP 3375988B2 JP 23776392 A JP23776392 A JP 23776392A JP 23776392 A JP23776392 A JP 23776392A JP 3375988 B2 JP3375988 B2 JP 3375988B2
Authority
JP
Japan
Prior art keywords
laser
chambers
chamber
stage
doping
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP23776392A
Other languages
Japanese (ja)
Other versions
JPH05326430A (en
Inventor
宏勇 張
舜平 山崎
保彦 竹村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to JP23776392A priority Critical patent/JP3375988B2/en
Priority to KR1019920018168A priority patent/KR960008499B1/en
Priority to US07/971,237 priority patent/US5424244A/en
Publication of JPH05326430A publication Critical patent/JPH05326430A/en
Priority to US08/411,973 priority patent/US5849043A/en
Priority to US09/145,543 priority patent/US6358784B1/en
Priority to US09/356,376 priority patent/US6655767B2/en
Application granted granted Critical
Publication of JP3375988B2 publication Critical patent/JP3375988B2/en
Priority to US10/724,126 priority patent/US7169657B2/en
Priority to US11/699,023 priority patent/US7781271B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60RVEHICLES, VEHICLE FITTINGS, OR VEHICLE PARTS, NOT OTHERWISE PROVIDED FOR
    • B60R25/00Fittings or systems for preventing or indicating unauthorised use or theft of vehicles
    • B60R25/01Fittings or systems for preventing or indicating unauthorised use or theft of vehicles operating on vehicle systems or fittings, e.g. on doors, seats or windscreens
    • B60R25/04Fittings or systems for preventing or indicating unauthorised use or theft of vehicles operating on vehicle systems or fittings, e.g. on doors, seats or windscreens operating on the propulsion system, e.g. engine or drive motor
    • B60R25/06Fittings or systems for preventing or indicating unauthorised use or theft of vehicles operating on vehicle systems or fittings, e.g. on doors, seats or windscreens operating on the propulsion system, e.g. engine or drive motor operating on the vehicle transmission
    • B60R25/066Locking of hand actuated control actuating means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14692Thin film technologies, e.g. amorphous, poly, micro- or nanocrystalline silicon

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、低温工程で効率良くド
ーピングその他の化学的、物理的処理を行う技術に関す
るものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a technique for efficiently performing doping or other chemical or physical treatment in a low temperature process.

【0002】[0002]

【従来の技術】従来、ドーピングを行う技術として、熱
拡散法やイオン打ち込み法が知られている。熱拡散法は
1000度〜1200度という高温雰囲気中で不純物を
半導体中に拡散させる方法であり、イオン打ち込み法は
イオン化した不純物を電界で加速し所定の場所に打ち込
む方法である。
2. Description of the Related Art Conventionally, a thermal diffusion method or an ion implantation method has been known as a doping technique. The thermal diffusion method is a method in which impurities are diffused into a semiconductor in a high temperature atmosphere of 1000 to 1200 degrees, and the ion implantation method is a method in which ionized impurities are accelerated by an electric field and implanted into a predetermined place.

【0003】しかしながら、不純物の拡散係数DはD=
0exp[-Ea / kT] で示されるように絶対温度Tに対
し指数関数的に依存する。ここでD0 はT=∞における
拡散係数であり、Ea は活性エネルギーであり、kはボ
ルツマン係数である。従って、不純物を半導体中へ効率
良く拡散させるためには出来るだけ高温で行うのが望ま
しく熱拡散法では1000度以上の高温工程で行うのが
一般的であった。またイオン打ち込み法では、不純物の
活性化と欠陥の回復のために600度〜950度の温度
での後熱処理工程が必要であった。
However, the diffusion coefficient D of impurities is D =
It depends exponentially on the absolute temperature T as shown by D 0 exp [−E a / kT]. Where D 0 is the diffusion coefficient at T = ∞, E a is the activation energy, and k is the Boltzmann coefficient. Therefore, in order to efficiently diffuse the impurities into the semiconductor, it is desirable to carry out at a temperature as high as possible, and in the thermal diffusion method, it is general to carry out at a high temperature step of 1000 ° C. or more. Further, in the ion implantation method, a post heat treatment step at a temperature of 600 to 950 degrees is required for activation of impurities and recovery of defects.

【0004】近年ガラス基板上に設けられたTFT(薄
膜トランジスタ)を画素のスイッチング素子として用い
たアクティブマトリックス型の液晶表示装置が一部実用
化されているが、これらはTFTのソース,ドレイン領
域をオーミックコンタクトに一導電型の非晶質珪素で形
成しているのが一般的である。また、TFTの構造とし
て逆スタガー型の形式をとっており構造的な問題から寄
生容量を発生しやすかった。そこで、ソース,ドレイン
領域を自己整合的(セルフアライン)に形成するTFT
を用いることが検討されているが、ソース,ドレイン領
域を自己整合的に形成するためには、イオン打ち込み法
やイオンシャワー法を用いなければならなかった。しか
しこれらの方法は前述のように不純物の活性化と欠陥の
回復のために600度〜950度の温度での後熱処理工
程が必要であり、一般の安価なガラス基板の耐熱温度
が、600度〜700度であることを考えると、工業的
に用いることが困難であった。
In recent years, some active matrix type liquid crystal display devices using a TFT (thin film transistor) provided on a glass substrate as a switching element of a pixel have been put into practical use. However, these are ohmic contacts for the source and drain regions of the TFT. Generally, the contact is made of one conductivity type amorphous silicon. In addition, the structure of the TFT is of the inverted stagger type, and it is easy to generate parasitic capacitance due to structural problems. Therefore, the TFT in which the source and drain regions are formed in a self-aligned manner (self-aligned)
However, in order to form the source and drain regions in a self-aligned manner, an ion implantation method or an ion shower method must be used. However, these methods require a post-heat treatment step at a temperature of 600 to 950 degrees for activation of impurities and recovery of defects as described above, and the heat resistant temperature of a general inexpensive glass substrate is 600 degrees. It was difficult to industrially use it, considering that it is up to 700 degrees.

【0005】このようなガラス基板に与える熱ダメージ
の問題を解決する方法として、レーザー光の照射による
ドーピング技術が知られている。この方法の一つとして
は、ドーピングを行おうとする半導体表面に不純物の薄
膜を形成し、レーザー光の照射によってこの不純物の薄
膜と半導体表面を溶融させ、不純物を溶かし込む方法が
ある。
As a method for solving the problem of heat damage given to such a glass substrate, a doping technique by irradiation with laser light is known. As one of the methods, there is a method in which a thin film of impurities is formed on the surface of a semiconductor to be doped, and the thin film of the impurities and the semiconductor surface are melted by irradiation with laser light to dissolve the impurities.

【0006】上記のエキシマレーザー光の照射によって
ドーピングを行う方法は、ガラス基板に熱ダメージを与
えないので、熱ダメージによる欠陥の発生を抑えること
ができるという利点を有するが、不純物の被膜を形成す
る工程を経る必要があった。従来、この被膜形成にはス
ピンコート法等の塗布法が利用されていた。しかしなが
ら、この工程において、被膜の厚さの均一性が良くない
と、不純物のドーピング濃度が異なるので、理想的な方
法ではなかった。さらに、この被膜は通常、有機溶剤を
溶媒として形成されたが、その場合には半導体中に炭素
や酸素、窒素等の望ましくない元素が入り、特性を劣化
させることがあった。
The above method of doping by excimer laser light irradiation has the advantage of suppressing the generation of defects due to heat damage because it does not cause heat damage to the glass substrate, but forms a film of impurities. It was necessary to go through the process. Conventionally, a coating method such as a spin coating method has been used for forming this film. However, in this step, if the thickness uniformity of the film is not good, the doping concentration of impurities will be different, so this is not an ideal method. Further, this coating is usually formed by using an organic solvent as a solvent, but in that case, undesirable elements such as carbon, oxygen, and nitrogen may enter the semiconductor to deteriorate the characteristics.

【0007】[0007]

【発明が解決しようとする課題】本発明は、上記のレー
ザー光、特にエキシマレーザー光を用いたドーピング技
術において、問題となった、工程の複雑化、および異元
素の侵入という課題を鑑みてなされたものである。本発
明は、したがって、液相あるいは固相のドーピング材を
用いずに、気相の純度の高いドーピングガスを用いてド
ーピングを行おうとするものであり、よって、工程の簡
略化と異元素の侵入の防止を目的とするものである。さ
らに、ドーピング効率を高めることも発明の課題とす
る。さらに、本発明は、半導体材料に対するドーピング
以外にも、多種多様な材料(絶縁体、導電体)およびそ
れらの表面に対するドーピングならびにそれに付随する
材料およびその表面の改良をおこなうことを課題とす
る。例えば、酸化珪素被膜中へのリンのドーピング等で
ある。
DISCLOSURE OF THE INVENTION The present invention has been made in view of the problems such as complication of the process and invasion of foreign elements, which are problems in the doping technique using the above laser light, especially excimer laser light. It is a thing. Therefore, the present invention is intended to perform doping by using a vapor-phase high-purity doping gas without using a liquid-phase or solid-phase doping material. The purpose is to prevent. Further, it is an object of the invention to increase the doping efficiency. Further, the present invention has an object of performing doping of various materials (insulators and conductors) and their surfaces, and materials accompanying them and improvement of the surfaces thereof, in addition to the doping of semiconductor materials. For example, the doping of phosphorus into the silicon oxide film or the like.

【0008】[0008]

【課題を解決するための手段】本発明のレーザー処理装
置は、上記の課題を解決するために、レーザー装置と、
該レーザー装置から放射されたレーザー光を内部に入射
させるための窓、および内部に被処理物を置くためのホ
ルダーを備えた複数のチャンバーと、前記チャンバーを
複数搬送可能な搬送手段と、1つの前記チャンバーが置
かれる移動可能なステージとを有し、前記搬送手段によ
って、複数の前記チャンバーは前記ステージへ順次に搬
送され、前記ステージ上に置かれているチャンバーを移
動しながら、当該チャンバー内に当該窓を介して入射し
たレーザー光を前記ホルダーに置かれた被処理物に照射
することを特徴とする。本発明のレーザー処理装置は、
レーザー装置と、該レーザー装置から放射されたレーザ
ー光を内部に入射させるための窓、および内部に被処理
物を置くためのホルダーを備えた複数のチャンバーと、
1つの前記チャンバーが置かれる移動可能なステージ
と、前記チャンバーを複数搬送可能であり、前記チャン
バーをステージ上へと搬送する搬送手段と、前記チャン
バーを複数搬送可能であり、前記ステージ上に置かれて
いるチャンバーを前記ステージ上から移動させるための
搬送手段と、前記ステージ上に置かれているチャンバー
を移動しながら、当該チャンバー内に当該窓を介して入
射したレーザー光を前記ホルダーに置かれた被処理物に
照射することを特徴とする。本発明のレーザー処理装置
は、レーザー装置と、該レーザー装置から放射されたレ
ーザー光の断面を細長い長方形状にするための光学装置
と、該光学装置を通ったレーザー光を内部に入射させる
ための窓、および内部に被処理物を置くためのホルダー
を備えた複数のチャンバーと、複数の前記チャンバーが
搬送可能な搬送手段と、1つの前記チャンバーが置かれ
る移動可能なステージとを有し、前記搬送手段によっ
て、前記チャンバーそれぞれは前記ステージへ順次に搬
送され、前記ステージ上に置かれているチャンバーを移
動しながら、当該チャンバー内に当該窓を介して入射し
たレーザー光を前記ホルダーに置かれた被処理物に照射
することを特徴とする。本発明のレーザー処理装置は、
レーザー装置と、該レーザー装置から放射されたレーザ
ー光の断面を細長い長方形状にするための光学装置と、
該光学装置を通ったレーザー光を内部に入射させるため
の窓、および内部に被処理物を置くためのホルダーを備
えた複数のチャンバーと、1つの前記チャンバーが置か
れる移動可能なステージと、前記チャンバーを複数搬送
可能であり、前記チャンバーをステージ上へと搬送する
搬送手段と、前記チャンバーを複数搬送可能であり、前
記ステージ上のチャンバーを前記ステージ上から移動さ
せるための搬送手段と、前記ステージ上に置かれている
チャンバーを移動しながら、当該チャンバー内に当該窓
を介して入射したレーザー光を前記ホルダーに置かれた
被処理物に照射することを特徴とする。本発明のレーザ
ー処理装置における複数の前記チャンバーそれぞれに
は、被処理物を加熱するための加熱手段が設けられてい
ることを特徴とする。本発明のレーザー処理装置におけ
る複数の前記チャンバーは、独立して排気が可能なこと
を特徴とする。本発明のレーザー処理装置における複数
の前記チャンバーは、独立してガスを導入することが可
能なことを特徴とする。本発明のレーザー処理装置にお
けるレーザー装置は、パルス発振レーザー光を放射する
ことを特徴とする。本発明のレーザー処理装置における
レーザー装置は、エキシマレーザー装置であることを特
徴とする。本発明のレーザー処理装置は、一導電型を付
与する不純物を含む高純度の反応性気体雰囲気中で、試
料半導体表面に対してレーザー光を照射することによっ
て、前記一導電型を付与する不純物を前記試料半導体中
にドーピングに用いることができる。しかしながら、本
発明人の知見によると、試料半導体が室温のごとき低温
であれば、元素の拡散が十分でなかった。本発明のレー
ザー処理装置における複数の前記チャンバーには、電磁
エネルギーを雰囲気に与えるための電極が設けられてい
ることを特徴とする。
In order to solve the above-mentioned problems, a laser processing apparatus of the present invention comprises a laser apparatus,
A plurality of chambers each having a window for allowing a laser beam emitted from the laser device to enter the interior thereof, and a holder for placing an object to be processed therein; a transfer means capable of transferring a plurality of the chambers; A movable stage in which the chamber is placed, and the plurality of chambers are sequentially transported to the stage by the transport means, and while moving the chamber placed on the stage, the chamber is moved into the chamber. The object to be processed placed on the holder is irradiated with the laser light incident through the window. The laser processing apparatus of the present invention is
A laser device, a plurality of chambers provided with a window for allowing a laser beam emitted from the laser device to enter the interior, and a holder for placing an object to be processed therein;
A movable stage in which one of the chambers is placed, a plurality of the chambers can be transported, a transport unit that transports the chambers onto the stage, and a plurality of the chambers can be transported, and the chamber is placed on the stage. While moving the conveying means for moving the chamber on the stage from the stage and the chamber placed on the stage, the laser light incident through the window into the chamber was placed on the holder. It is characterized in that the object to be processed is irradiated. The laser processing apparatus of the present invention includes a laser device, an optical device for making the cross section of the laser light emitted from the laser device into an elongated rectangular shape, and an optical device for injecting the laser light passing through the optical device into the inside. A plurality of chambers each having a window and a holder for placing an object to be processed therein; a transport means capable of transporting the plurality of chambers; and a movable stage on which one chamber is placed, Each of the chambers is sequentially transported to the stage by the transporting means, and while moving the chamber placed on the stage, the laser beam incident through the window into the chamber was placed on the holder. It is characterized in that the object to be processed is irradiated. The laser processing apparatus of the present invention is
A laser device and an optical device for making a cross section of laser light emitted from the laser device into an elongated rectangular shape,
A plurality of chambers each having a window for allowing a laser beam that has passed through the optical device to enter therein, and a holder for placing an object to be processed therein; a movable stage in which one of the chambers is placed; A plurality of chambers that can be transferred, a transfer unit that transfers the chambers to the stage, a transfer unit that can transfer the chambers to the chamber, and a transfer unit that moves the chambers on the stage from the stage; It is characterized in that the object placed on the holder is irradiated with a laser beam incident through the window into the chamber while moving the chamber placed above. Each of the plurality of chambers in the laser processing apparatus of the present invention is characterized by being provided with heating means for heating an object to be processed. The plurality of chambers in the laser processing apparatus of the present invention are characterized in that they can be independently evacuated. The plurality of chambers in the laser processing apparatus of the present invention are characterized by being capable of independently introducing gas. The laser device in the laser processing device of the present invention is characterized by emitting pulsed laser light. The laser device in the laser processing device of the present invention is an excimer laser device. The laser processing apparatus of the present invention irradiates the sample semiconductor surface with laser light in a high-purity reactive gas atmosphere containing impurities imparting one conductivity type to remove impurities imparting one conductivity type. It can be used for doping in the sample semiconductor. However, according to the knowledge of the present inventor, diffusion of elements was not sufficient when the sample semiconductor was at a low temperature such as room temperature. The ray of the present invention
The chambers in the
Electrodes are provided to give energy to the atmosphere
It is characterized by

【0009】そこで、試料半導体へ不純物の拡散を行う
ために、本発明知見の1つは、前記レーザー照射時
に、試料を加熱し、少なくとも200℃以上の温度に保
つことによって、不純物元素の拡散を促進せしめ、ま
た、高濃度の不純物ドープをおこなおうとするものであ
る。基板の加熱温度は半導体の種類によって異なるが、
ポリシリコン(多結晶シリコン)、セミアモルファスシ
リコンにおいては、250〜500℃、好ましくは30
0〜400℃が好適である。
Therefore, impurities are diffused into the sample semiconductor.
For, one of the inventors knowledge, at the time of the laser irradiation, the sample is heated, by keeping at least 200 ° C. or higher, allowed promotes diffusion of an impurity element, also the high concentration impurity doped It is something to try. The substrate heating temperature varies depending on the type of semiconductor,
In the case of polysilicon (polycrystalline silicon) and semi-amorphous silicon, 250 to 500 ° C., preferably 30
0-400 degreeC is suitable.

【0010】このように試料を加熱してレーザーを照射
すると、不純物が拡散しやすくなるだけでなく、レーザ
ーの照射によって一時的に結晶性が低下した半導体が、
熱的に十分な緩和時間を与えられるので、結晶性を回復
しやすい。レーザー照射は、特にパルスレーザーの照射
においては、試料が適当な温度に加熱されていない場合
には、典型的な急加熱、急冷であるので、半導体はアモ
ルファス状態を呈しやすい。すなわち、瞬間的に100
0℃以上にまで加熱されるが、数100nsec後には
室温にまで低下する。もし、試料がシリコンとして上述
の範囲で加熱されていた場合には、シリコンの結晶化温
度の下限である500℃付近にまで温度が降下するのに
要する時間が、室温の場合の10倍以上と算出される。
この段階でレーザーの照射時間がある一定の時間以上継
続した場合にはシリコンが溶融し、不純物は融液の対流
によって内部に浸透する。また、パルスが一定の時間以
上継続しない場合には、シリコンは固相的に結晶化し、
いわゆるセミアモルファスとなるが、そのときには不純
物は固相的に内部に拡散する。
When the sample is heated and irradiated with a laser as described above, not only the impurities are easily diffused but also the semiconductor whose crystallinity is temporarily lowered by the irradiation of the laser is generated.
Since a sufficiently long relaxation time can be thermally provided, the crystallinity is easily recovered. The laser irradiation is typically rapid heating and rapid cooling when the sample is not heated to an appropriate temperature, particularly in the case of pulsed laser irradiation, so that the semiconductor is likely to show an amorphous state. That is, 100
Although it is heated to 0 ° C. or higher, it drops to room temperature after several 100 nsec. If the sample was heated as silicon in the above range, the time required for the temperature to drop to around 500 ° C. which is the lower limit of the crystallization temperature of silicon is 10 times or more that at room temperature. It is calculated.
At this stage, if the laser irradiation time continues for a certain period of time or longer, the silicon melts and the impurities permeate inside due to convection of the melt. Also, if the pulse does not continue for a certain period of time, the silicon crystallizes in a solid phase,
It becomes so-called semi-amorphous, but at that time, the impurities diffuse inside in a solid phase.

【0011】温度が余りに高いことは望ましくない。な
ぜならば、高温では反応性ガス自体が分解し、試料だけ
でなく、そのホルダーなどにも付着し、ガスの利用効率
が低下するからである。
Too high a temperature is undesirable. This is because the reactive gas itself decomposes at high temperatures and adheres not only to the sample but also to its holder and the like, and the gas utilization efficiency decreases.

【0012】また、半導体の結晶化温度以上の高温に保
つことも望ましくない。特にこれは多結晶半導体やアモ
ルファス半導体、セミアモルファス半導体のような欠陥
の多い半導体においては望ましくない。結晶性の半導体
に対し結晶化温度以上の温度で加熱を行いながらドーピ
ングを行なうと、準位の発生に起因する価電子制御の困
難性の問題が生じるからである。アモルファスシリコン
が、熱的にポリシリコンに変化するのは500〜550
℃と言われているので、この温度以下、好ましくはその
100℃以下(すなわち400〜450℃、あるいはそ
れ以下)でおこなうことが望まれる。また、アモルファ
スシリコンを用いたTFT(a−Si:TFTといわれ
る)場合、a−Si:TFTを350度以上の温度に
加熱すると、素子が破壊してしまうので、この場合は3
50度以下の温度で加熱を行うのが適当である。これら
のことは他の半導体についても同様である。
Further, it is not desirable to keep the temperature higher than the crystallization temperature of the semiconductor. In particular, this is not desirable in semiconductors with many defects such as polycrystalline semiconductors, amorphous semiconductors, and semi-amorphous semiconductors. This is because if a crystalline semiconductor is doped while being heated at a temperature equal to or higher than the crystallization temperature, it is difficult to control valence electrons due to the generation of levels. It is 500-550 that amorphous silicon changes into polysilicon thermally
Since the temperature is said to be 0 ° C, it is desirable that the temperature be lower than this temperature, preferably 100 ° C or lower (that is, 400 to 450 ° C or lower). Further, in the case of a TFT using amorphous silicon (referred to as a-Si: TFT) , if the a-Si: TFT is heated to a temperature of 350 ° C. or higher, the element is destroyed.
It is suitable to carry out the heating at a temperature of 50 degrees or less. The same applies to other semiconductors.

【0013】本発明知見の他の1つは、上記のレー
ザー光、特にエキシマレーザー光を用いた気相からのド
ーピング技術において、異なるドーピングガスを用いて
複数のドーピングを行おうとする場合、単一のレーザー
光では、ドーピングガスの吸光特性が異なり、ガスの種
類によって分解特性が異なることによるドーピング効率
の低下を解決するために、一導電型を付与する不純物を
含む反応性気体雰囲気中で、レーザー照射時に、前記反
応性気体を分解するために電磁エネルギーを加えるとい
うものである。この際に、さらに、レーザー光を照射す
る際、同時に試料であるドーピングを行おうとする半導
体を上述したように、適当な温度で加熱すると一層、ド
ーピング効率を高めることができるというものである。
[0013] One other inventors' knowledge, the above laser light, particularly in doping technique from the vapor phase using an excimer laser beam, if an attempt is made a plurality of doping with different doping gas, In a single laser beam, the absorption characteristics of the doping gas are different, and in order to solve the deterioration of the doping efficiency due to the different decomposition characteristics depending on the type of gas, in a reactive gas atmosphere containing impurities imparting one conductivity type. During laser irradiation, electromagnetic energy is added to decompose the reactive gas. At this time, when the laser light is irradiated, at the same time, as described above , the semiconductor to be doped, which is a sample, is heated at an appropriate temperature to further improve the doping efficiency .

【0014】上記において、一導電型を付与する不純物
とは、半導体として珪素半導体(シリコン)を用いた場
合において、P型を付与するのであれば、3価の不純
物、代表的にはであるB(ボロン)等を用いることがで
き、N型を付与するのであれば、5価の不純物、代表的
にはP(リン)やAs(砒素)等を用いることができ
る。そしてこれらの不純物を含む反応性気体としてAs
3 ,PH3 ,BF3 ,BCl3 ,B(CH3 3 等を
用いることができる。
In the above description, the impurity imparting one conductivity type is a trivalent impurity, typically B, in the case of using a silicon semiconductor (silicon) as a semiconductor, if P-type is imparted. (Boron) or the like can be used, and a pentavalent impurity, typically P (phosphorus) or As (arsenic), can be used if N-type is imparted. As a reactive gas containing these impurities, As
H 3, PH 3, BF 3 , BCl 3, B (CH 3) can be used 3 or the like.

【0015】半導体としては、TFTを作製するのであ
れば、気相成長法やスパッタ法等によって成膜した非晶
質シリコン半導体薄膜が一般的には用いられる。また、
液相成長によって作製した多結晶または単結晶のシリコ
ン半導体適用できる。さらに、シリコン半導体に限定
されず、他の半導体であってもよいことはいうまでもな
い。
As a semiconductor, if a TFT is to be manufactured, an amorphous silicon semiconductor thin film formed by a vapor phase growth method, a sputtering method or the like is generally used. Also,
It can be applied polycrystalline or monocrystalline silicon semiconductor fabricated by liquid phase growth. Further, it is needless to say that the semiconductor is not limited to the silicon semiconductor and may be another semiconductor.

【0016】レーザー光としては、パルス発振型のエキ
シマレーザー装置を用いることが有用である。これは、
パルス発振レーザーでは、試料の加熱が瞬間的で、しか
も表面だけに限定され、基板に影響を与えないからであ
る。レーザーによる加熱は、局所的であるがゆえ、連続
発振レーザー(アルゴンイオンレーザー等)において
は、加熱部分と基板との熱膨張の著しい違いなどによっ
て、加熱部分が剥離してしまうことがある。この点、パ
ルスレーザーでは、熱緩和時間は、熱膨張のような機械
的応力の反応時間に比べて圧倒的に小さく、機械的なダ
メージを与えない。もちろん、基板の不純物が熱拡散す
ることもほとんどない。
As the laser light, it is useful to use a pulse oscillation type excimer laser device. this is,
This is because in the pulsed laser, the heating of the sample is instantaneous and is limited only to the surface and does not affect the substrate. Since the heating by the laser is local, in the continuous wave laser (argon ion laser or the like), the heated portion may be peeled off due to a significant difference in thermal expansion between the heated portion and the substrate. In this respect, in the pulse laser, the thermal relaxation time is overwhelmingly shorter than the reaction time of mechanical stress such as thermal expansion, and no mechanical damage is caused. Of course, the impurities in the substrate are hardly diffused by heat.

【0017】特に、エキシマーレーザー光は、紫外光で
あり、シリコンを初めとする多くの半導体に効率良く吸
収される上、パルスの持続時間は10nsecと短い。
また、エキシマーレーザーは既に、アモルファスシリコ
ン薄膜をレーザー照射によって結晶化させて、結晶性の
高い多結晶シリコン薄膜を得るという実験に使用された
実績がある。具体的なレーザーの種類としては、ArF
エキシマレーザー(波長193nm)、XeClエキシ
マレーザー(波長308nm)、XeFエキシマレーザ
ー(波長351nm)、KrFエキシマレーザー(24
8nm)等を用いることが適当である。
In particular, excimer laser light is ultraviolet light, is efficiently absorbed by many semiconductors including silicon, and has a short pulse duration of 10 nsec.
Further, the excimer laser has already been used in an experiment of crystallizing an amorphous silicon thin film by laser irradiation to obtain a polycrystalline silicon thin film having high crystallinity. ArF is a specific type of laser.
Excimer laser (wavelength 193 nm), XeCl excimer laser (wavelength 308 nm), XeF excimer laser (wavelength 351 nm), KrF excimer laser (24
It is suitable to use 8 nm) or the like.

【0018】本発明のレーザー処理装置において、基板
を加熱する手段としては、ホルダーにじかにニクロム線
やカンタル線、その他の発熱体を組み込んだ伝導型のも
のを使用してもよいが、赤外線ランプその他の放射型の
ものを利用してもよい。しかしながら、基板温度は不純
物ドーピング濃度や深さに大きな影響を与えるので、そ
の制御は精密におこなうことが望まれる。したがって、
試料には熱電対等の温度センサーが不可欠である。
In the laser processing apparatus of the present invention, as the means for heating the substrate, a conductive type in which a nichrome wire, a kanthal wire, or another heating element is directly incorporated in the holder may be used, but an infrared lamp or the like. The radiation type may be used. However, since the substrate temperature has a great influence on the impurity doping concentration and the depth, it is desired that the control be performed precisely. Therefore,
A temperature sensor such as a thermocouple is indispensable for the sample.

【0019】本発明のレーザー処理装置において、ドー
ピング用の反応性気体(ドーピングガスという)を分解
するために加えられる電磁エネルギーとしては、13.
56MHzの高周波エネルギーが一般的である。この電
磁エネルギーによるドーピングガスの分解によって、ド
ーピングガスを直接分解できないレーザー光を用いた場
合でも効率よくドーピングを行うことができる。電磁エ
ネルギーの種類としては、13.56MHzの周波数に
限定されるものではなく、例えば2.45GHzのマイ
クロ波を用いるとさらに高い活性化率を得ることができ
る。さらに2.45GHzのマイクロ波と875ガウス
の磁場との相互作用で生じるECR条件を用いてもよ
い。また、ドーピングガスを直接分解できる光エネルギ
ーを用いることも有効である。
In the laser processing apparatus of the present invention, the electromagnetic energy applied to decompose the reactive gas for doping (referred to as doping gas) is 13.
High frequency energy of 56 MHz is common. By the decomposition of the doping gas by this electromagnetic energy, the doping can be efficiently performed even when the laser light that cannot directly decompose the doping gas is used. The type of electromagnetic energy is not limited to the frequency of 13.56 MHz, and if a microwave of 2.45 GHz is used, for example, a higher activation rate can be obtained. Furthermore, the ECR condition generated by the interaction between the microwave of 2.45 GHz and the magnetic field of 875 Gauss may be used. It is also effective to use light energy that can directly decompose the doping gas.

【0020】以上の記述では、本発明人の知見を半導体
中のドーピング技術に関して述べたが、本発明はそれに
限らず、幅広い応用が可能である。例えば、金属中に、
その表面の特定の厚さの部分に、表面材質を向上させる
ような微量元素を数%添加する場合にも、上述した本発
知見に基づくドーピング技術を適用することが出
来る。例えば、鉄の表面に、アンモニア中でレーザー光
を照射し、窒素をドーピングし、表面の数〜数100n
mを窒化鉄としてもよい。
In the above description, the knowledge of the inventor of the present invention has been described with respect to the doping technique in semiconductors, but the present invention is not limited to this and can be applied to a wide range of applications. For example, in metal,
In particular the thickness of the portion of the surface, even in the case of addition of a few% of trace elements, such as improving the surface material, it is possible to apply the doping technique based on the inventors findings described above. For example, laser light in ammonia on the surface of iron
Irradiation , nitrogen doping, surface number to several 100n
m may be iron nitride.

【0021】あるいは、酸化物においても本発明
見に基づくドーピング技術を適用し、効果を得ることが
できる。例えば、ビスマス系酸化物高温超伝導体薄膜に
塩化鉛蒸気中でレーザー光を照射し、鉛を含有せしめる
ことによって超伝導臨界温度をあげることも可能であ
る。従来、ビスマス系酸化物高温超伝導体には、いくつ
かの種類が存在することが知られ、最高の臨界温度は1
10K程度であった。しかし、臨界温度が100Kを越
える相は得ることが困難であった。鉛を添加すると10
0Kを越える相が容易に得られることが知られていた
が、薄膜作製過程においては、基板加熱の影響で鉛は外
部に蒸散してしまう傾向があった。しかしながら、本発
が適用されるようなレーザー光の照射によるドーピン
は、非熱平衡反応であるので、鉛を有効に薄膜形成材
料に取り込むことが出来る。同様に、近年、半導体集積
回路、特に半導体メモリーの機能性材料として注目さ
れ、鉛を含有する強誘電体であるPZT(鉛ジルコニア
チタン酸化物)に適用することもできる。
[0021] Alternatively, knowledge also of the present invention people in the oxide
The effect can be obtained by applying the doping technique based on the sight . For example, it is possible to raise the superconducting critical temperature by irradiating a bismuth oxide high temperature superconductor thin film with laser light in lead chloride vapor to contain lead. Conventionally, it is known that there are several types of bismuth oxide high temperature superconductors, and the maximum critical temperature is 1
It was about 10K. However, it was difficult to obtain a phase having a critical temperature exceeding 100K. 10 when lead is added
Although it has been known that a phase exceeding 0K can be easily obtained, in the process of forming a thin film, lead tends to evaporate to the outside due to the influence of substrate heating. However, the doping due to the irradiation of laser light to which the present invention is applied
Koo, because it is non-thermal equilibrium reaction, can be incorporated into effective film materials lead. Similarly, in recent years, it has been attracting attention as a functional material for semiconductor integrated circuits, particularly semiconductor memories, and can be applied to PZT (lead zirconia titanium oxide) which is a lead-containing ferroelectric.

【0022】また、酸化珪素のごとき絶縁物において
も、微量不純物を添加する際に使用することが出来る。
酸化珪素には、既に半導体プロセスで使用されているよ
うに、燐を数%程度含有させてリンガラスとすることが
よくおこなわれる。もちろん、上述したような本発明
知見に基づくドーピング技術を適用して酸化珪素に燐
を含有させることも可能である。例えば、1×1020
3×1020cm-3の濃度でを拡散してやればよい。
Also, an insulator such as silicon oxide can be used when adding a trace amount of impurities.
As has been already used in semiconductor processes, silicon oxide is often made to contain phosphorus in an amount of about several percent to form phosphorus glass. Of course, it is also possible to incorporate phosphorus in the silicon oxide to apply the doping technique based on the present inventor <br/> findings as described above. For example, 1 × 10 20 ~
Phosphorus may be diffused at a concentration of 3 × 10 20 cm −3 .

【0023】このリンガラスは半導体内部に外部からナ
トリウム等の可動イオンが侵入することを防止すること
で知られている。従来は、リンガラス(PSG)専用の
CVDチャンバーによって成膜していたが、専用の装置
を用意しなければならないのでコストがかかる。本発明
を利用した場合には、レーザードーピング装置を半導体
の不純物ドープ用とリンガラス形成用に共用できるう
え、酸化珪素の成膜装置は、他の用途にも広く使用でき
るので、全体的なコストを上げることとはならず、経済
的である。
This phosphorus glass is known to prevent mobile ions such as sodium from entering the inside of the semiconductor from the outside. In the past, the film was formed in a CVD chamber dedicated to phosphorous glass (PSG), but this requires a dedicated device, which is costly. When the present invention is used, the laser doping apparatus can be commonly used for doping impurities in semiconductors and for forming phosphorus glass, and the film forming apparatus for silicon oxide can be widely used for other purposes. It does not raise the price and is economical.

【0024】特に、本発明人の知見に基づくドーピング
技術を実施することは各種有機シラン(テトラ・エトキ
シ・シラン(TEOS)等)を材料として比較的低温
(600℃以下)で形成された酸化珪素膜の特性を向上
せしめるうえで有効であった。すなわち、このような被
膜においては、原料中の炭素が多く含まれており、絶縁
特性が悪く、また、これをMOS構造等の絶縁膜として
使用する場合には、トラップ準位があまりにも多く、良
好な材料ではなかった。
In particular, doping based on the knowledge of the present inventor
The implementation of the technique was effective in improving the characteristics of the silicon oxide film formed by using various organic silanes (tetra-ethoxy-silane (TEOS) or the like) at a relatively low temperature (600 ° C. or lower). That is, in such a film, a large amount of carbon in the raw material is contained and the insulating property is poor, and when this film is used as an insulating film of a MOS structure or the like, the trap level is too large, It was not a good material.

【0025】しかしながら、本発明によって燐のレーザ
ードーピングをおこなうとレーザー照射の加熱によっ
て、これら炭素が膜から除去され、トラップ準位が著し
く減少し、絶縁特性も向上する。既に説明したように、
レーザードーピングの際に基板温度を変えることによっ
て不純物の深さ方向の分布を制御することが出来る。し
たがって、酸化珪素膜中に深く燐を分布させるには基板
温度を200℃以上、好ましくは350〜450℃に保
ち、また、深さ100nm以下にのみ分布させるには基
板を室温あるいはそれ以下に保てばよい。
However, when the laser doping of phosphorus is carried out according to the present invention, the carbon is removed from the film by the heating of the laser irradiation, the trap level is remarkably reduced, and the insulating property is also improved. As already mentioned,
The distribution of impurities in the depth direction can be controlled by changing the substrate temperature during laser doping. Therefore, to deeply distribute phosphorus in the silicon oxide film, the substrate temperature is kept at 200 ° C. or higher, preferably 350 to 450 ° C., and to distribute only at a depth of 100 nm or less, the substrate is kept at room temperature or lower. I'm good.

【0026】また、レーザードーピングの際に、下地に
アモルファスのシリコン等の半導体材料が存在する場合
には、同時にこれらの半導体材料もアニールされて結晶
性が向上する。すなわち、酸化珪素膜は、紫外線に対し
て吸収率が小さく、レーザー光の多くの部分がその下の
半導体材料に吸収されるからである。したがって、2つ
の工程を同時に進めることができ、量産性の向上に有効
である。
Further, when a semiconductor material such as amorphous silicon is present in the base during laser doping, these semiconductor materials are simultaneously annealed to improve the crystallinity. That is, the silicon oxide film has a low absorptance with respect to ultraviolet rays, and most of the laser light is absorbed by the semiconductor material thereunder. Therefore, the two steps can be performed at the same time, which is effective for improving mass productivity.

【0027】本発明人の知見に基づくドーピング技術を
実施するためのレーザー処理装置の概念図を図5および
図6に示す。図5は基板加熱装置を具備しただけのも
の、図6は、それに加えてプラズマを発生させる為の電
磁装置をも具備したものを示している。これらの図面は
概念的なものであるので、当然のことながら、実際の装
置においては、必要に応じてその他の部品を具備するこ
とがある。以下、その使用方法について概説する。
A doping technique based on the knowledge of the present inventor
The conceptual diagram of the laser processing apparatus for implementing is shown in FIG.5 and FIG.6. FIG. 5 shows a device having only a substrate heating device, and FIG. 6 shows a device having an electromagnetic device for generating plasma in addition thereto. Since these drawings are conceptual, it is needless to say that the actual device may include other components as necessary. The usage method is outlined below.

【0028】図5において、試料24は試料ホルダー2
5上に設置される。最初に、チャンバー21は排気装置
に接続した排気系27によって真空排気される。この場
合には、できるだけ高真空に排気することが望まれる。
すなわち、大気成分である炭素や窒素、酸素は半導体に
とっては一般に好ましくないからである。このような元
素は、半導体中に取り込まれるが、同時に添加された不
純物の活性度を低下させることがある。また、半導体の
結晶性を損ない、粒界における不対結合手の原因とな
る。したがって、10-6torr以下、好ましくは10
-8torr以下にまでチャンバー内を真空引きすること
が望まれる。
In FIG. 5, the sample 24 is the sample holder 2
It is installed on the 5th. First, the chamber 21 is evacuated by an exhaust system 27 connected to an exhaust device. In this case, it is desirable to evacuate to the highest vacuum possible.
That is, atmospheric components such as carbon, nitrogen, and oxygen are generally not preferable for semiconductors. Although such an element is incorporated into the semiconductor, it may reduce the activity of impurities added at the same time. In addition, the crystallinity of the semiconductor is impaired, which causes a dangling bond at the grain boundary. Therefore, it is not more than 10 -6 torr, preferably 10
It is desirable to evacuate the chamber to -8 torr or less.

【0029】また、排気と前後してヒーター26を作動
させ、チャンバー内部に吸着した大気成分を追い出すこ
とも望ましい。現在の真空装置において使用されている
ように、チャンバー以外に予備室を設け、チャンバーが
直接、大気に触れないような構造とすることも望まし
い。当然のことながら、ロータリーポンプや油拡散ポン
プに比べて、炭素等の汚染の少ないターボ分子ポンプや
クライオポンプを用いることが望ましい。
It is also desirable to operate the heater 26 before and after the exhaust to expel the atmospheric components adsorbed inside the chamber. It is also desirable to provide a spare chamber in addition to the chamber so that the chamber does not come into direct contact with the atmosphere, as is used in current vacuum equipment. As a matter of course, it is desirable to use a turbo molecular pump or a cryopump with less pollution of carbon and the like than a rotary pump or an oil diffusion pump.

【0030】十分に排気されたら、反応性ガスをガス系
28によって、チャンバー内に導入する。反応性ガス
は、単独のガスからなっていても、あるいは水素やアル
ゴン、ヘリウム、ネオン等で希釈されていてもよい。ま
た、その圧力は大気圧でも、それ以下でもよい。これら
は、目的とする半導体の種類と、不純物濃度、不純物領
域の深さ、基板温度等を考慮して選択される。
When exhausted sufficiently, the reactive gas is introduced into the chamber by the gas system 28. The reactive gas may consist of a single gas or may be diluted with hydrogen, argon, helium, neon or the like. The pressure may be atmospheric pressure or lower. These are selected in consideration of the type of target semiconductor, the impurity concentration, the depth of the impurity region, the substrate temperature, and the like.

【0031】次に窓22を通して、レーザー光23が試
料に照射される。このとき、試料はヒーターによって、
一定の温度に加熱されている。レーザー光は、1か所に
付き通常5〜50パルス程度照射される。レーザーパル
スのエネルギーのばらつきは十分に大きく、したがっ
て、あまりパルス数がすくない場合には不良発生の確率
が大きい。一方、あまりにも多くのパルスを1か所に照
射することは量産性(スループット)の面から望ましく
ない。本発明人の知見では、上記のパルス数が量産性か
らも、歩留りの点からも妥当であった。
Next, the sample is irradiated with laser light 23 through the window 22. At this time, the sample is
It is heated to a constant temperature. The laser light is usually applied to one place for about 5 to 50 pulses. The variation in the energy of the laser pulse is sufficiently large, and therefore, when the number of pulses is too small, the probability of occurrence of defects is high. On the other hand, irradiating too many pulses at one location is not desirable from the viewpoint of mass productivity (throughput). According to the knowledge of the present inventor, the above-mentioned number of pulses was appropriate in terms of mass productivity and yield.

【0032】この場合、例えばレーザーのパルスが10
mm(x方向)×30mm(y方向)の特定の長方形の
形状をしていた場合に、同じ領域にレーザーパルスを1
0パルスを照射し、終了後は、次の部分に移動するとい
う方法でもよいが、レーザーを1パルスにつき、x方向
に1mmづつ移動させていってもよい。
In this case, for example, the pulse of laser is 10
When a specific rectangular shape of mm (x direction) x 30 mm (y direction) is used, 1 laser pulse is applied to the same region.
A method of irradiating 0 pulse and moving to the next portion after completion may be used, but the laser may be moved by 1 mm in the x direction per pulse.

【0033】レーザー照射が終了したら、チャンバー内
を真空排気し、試料を室温まで冷却して、試料を取り出
す。このように、本発明人の知見に基づくドーピングの
工程は極めて簡単であり、かつ、高速である。すなわ
ち、従来のイオン注入プロセスであれば、 (1)ドーピングパターンの形成(レジスト塗布、露
光、現像) (2)イオン注入(あるいはイオンドーピング) (3)再結晶化 という3工程が必要であり、また、従来のレーザー照射
による固相拡散でも、 (1)ドーピングパターンの形成(レジスト塗布、露
光、現像) (2)不純物被膜形成(スピンコーティング他) (3)レーザー照射 という、やはり3工程が必要であった。しかしながら、
本発明人の知見に基づくドーピング技術では、 (1)ドーピングパターンの形成(レジスト塗布、露
光、現像) (2)レーザー照射 という2工程で完了する。
After the laser irradiation is completed, the chamber is evacuated, the sample is cooled to room temperature, and the sample is taken out. As described above, the doping process based on the knowledge of the present inventor is extremely simple and fast. That is, in the conventional ion implantation process, three steps of (1) formation of a doping pattern (resist coating, exposure, development) (2) ion implantation (or ion doping) (3) recrystallization are required, In addition, even with solid phase diffusion by conventional laser irradiation, (1) doping pattern formation (resist coating, exposure, development) (2) impurity film formation (spin coating, etc.) (3) laser irradiation, which also requires three steps Met. However,
The doping technique based on the knowledge of the present inventors is completed in two steps of (1) formation of a doping pattern (resist coating, exposure, and development) (2) laser irradiation.

【0034】図6の装置においても、図5の場合とほぼ
同じである。最初にチャンバー31内を排気系37によ
って真空排気し、ガス系38より反応性ガスを導入す
る。そして、試料ホルダー35上の試料34に対して、
窓32を通して、レーザー光33を照射する。そのとき
には高周波もしくは交流(あるいは直流)電源40か
ら、電極39に電力を投入し、チャンバー内部にプラズ
マ等を発生させて、反応性ガスを活性な状態とする。図
では電極は容量結合型に示されているが、誘導(インダ
クタンス)結合型であってもよい。さらに、容量結合型
であっても、試料ホルダーを一方の電極として用いても
よい。また、レーザー照射時には、ヒーター36によっ
て試料を加熱してもよい。以下に実施例を示し、より詳
細に本発明を説明する。
The apparatus of FIG. 6 is almost the same as the case of FIG. First, the inside of the chamber 31 is evacuated by the exhaust system 37, and the reactive gas is introduced from the gas system 38. Then, with respect to the sample 34 on the sample holder 35,
Laser light 33 is emitted through the window 32. At that time, electric power is applied to the electrode 39 from a high frequency or alternating current (or direct current) power source 40 to generate plasma or the like inside the chamber to activate the reactive gas. Although the electrodes are shown as capacitively coupled in the figure, they may be inductive (inductance) coupled. Furthermore, even if it is a capacitive coupling type, the sample holder may be used as one electrode. The sample may be heated by the heater 36 during laser irradiation. Hereinafter, the present invention will be described in more detail with reference to examples.

【0035】[0035]

【実施例】〔実施例1〕 本実施例は、ガラス基板上に
設けられたNチャネル薄膜型絶縁ゲイト電界効果トラン
ジスタ(以下NTFTと記す)の作製にレーザー光を照
射するドーピング法を適用した例である。本実施例にお
いては、基板としてガラス基板また石英基板を用いた。
これは、本実施例において作製するTFTがアクィブマ
トリックス型の液晶表示装置またはイージセンサのスイ
ッチング素子や駆動素子として用いることを意図してい
るからである。もちろん、他の半導体装置、例えば、光
電変換装置のP型半導体層やN型半導体層の形成、さら
には単結晶半導体集積回路を作製する際のドーピング技
術として本実施例を適用してもよい。よって基板として
は、珪素または他の半導体の単結晶または多結晶のもの
を用いてもよいし、他の絶縁体をもちいてもよい。
Example 1 In this example, a laser beam is irradiated to manufacture an N-channel thin film type insulated gate field effect transistor (hereinafter referred to as NTFT) provided on a glass substrate.
This is an example of applying a doping method of irradiation . In this example, a glass substrate or a quartz substrate was used as the substrate.
This is because the TFT manufactured in this example is intended to be used as a switching element or a driving element of an active matrix type liquid crystal display device or an easy sensor. Of course, this embodiment may be applied as another semiconductor device, for example, a P-type semiconductor layer or an N-type semiconductor layer of a photoelectric conversion device, or a doping technique for manufacturing a single crystal semiconductor integrated circuit. Therefore, as the substrate, a single crystal or polycrystal of silicon or another semiconductor may be used, or another insulator may be used.

【0036】まず、図1において、基板であるガラス基
板11上にSiO2 膜または窒化珪素膜を下地保護膜1
2として形成する。本実施例においては、酸素100%
雰囲気中におけるRFスパッタリングによってSiO2
膜12を200nm成膜した。成膜条件は、以下の通り。 O2 流量 50sccm 圧力 0.5pa RF電力 500W 基板温度 150度
First, in FIG. 1, a SiO 2 film or a silicon nitride film is formed on a glass substrate 11 which is a substrate as a base protective film 1.
Form as 2. In this example, oxygen 100%
SiO 2 by RF sputtering in an atmosphere
The film 12 was formed to a thickness of 200 nm. The film forming conditions are as follows. O 2 flow rate 50sccm pressure 0.5pa RF power 500W substrate temperature 150 degrees

【0037】つぎに、プラズマCVD法によって真性ま
たは実質的に真性(人為的に不純物を添加していないと
いう意味)の水素化非晶質珪素半導体層13を100n
mの厚さに形成する。この水素化非晶質珪素半導体層1
3は、チャネル形成領域並びにソース,ドレイン領域を
構成する半導体層となる。成膜条件は、以下の通り。 雰囲気 シラン(SiH4 )10
0% 成膜温度 160度(基板温度) 成膜圧力 0.05Torr 投入パワー 20W(13.56MH
z)
Next, 100 n of an intrinsic or substantially intrinsic (meaning that no impurities are artificially added) hydrogenated amorphous silicon semiconductor layer 13 is formed by plasma CVD.
It is formed to a thickness of m. This hydrogenated amorphous silicon semiconductor layer 1
A semiconductor layer 3 constitutes a channel forming region and source / drain regions. The film forming conditions are as follows. Atmosphere Silane (SiH 4 ) 10
0% Film formation temperature 160 degrees (Substrate temperature) Film formation pressure 0.05 Torr Input power 20W (13.56MH)
z)

【0038】なお、本実施例においては、非晶質珪素の
成膜原料ガスとしてシランを用いているが、熱結晶化に
よって非晶質珪素を多結晶化させる場合には、結晶化温
度を下げるためにジシラン、またはトリシランを用いて
もよい。
Although silane is used as a raw material gas for forming amorphous silicon in this embodiment, the crystallization temperature is lowered when the amorphous silicon is polycrystallized by thermal crystallization. For this purpose, disilane or trisilane may be used.

【0039】成膜雰囲気をシラン100%で行うのは、
一般に行われる水素で希釈されたシラン雰囲気中で成膜
した非晶質珪素膜に比較して、シラン100%雰囲気中
で成膜した非晶質珪素膜は、結晶化し易いという実験結
果に基づくものである。また、成膜温度が低いのは、成
膜された非晶質珪素膜中に水素を多量に含ませ、できう
る限り珪素の結合手を水素で中和するためである。
When the film forming atmosphere is 100% silane,
This is based on the experimental result that an amorphous silicon film formed in a 100% silane atmosphere is more easily crystallized than an amorphous silicon film formed in a silane atmosphere diluted with hydrogen, which is generally performed. Is. Further, the film formation temperature is low because a large amount of hydrogen is contained in the formed amorphous silicon film and the bond of silicon is neutralized by hydrogen as much as possible.

【0040】また、高周波エネルギー(13.56MH
z)の投入パワーが20Wと低いのは、成膜時において
珪素のクラスタすなわち結晶性を有する部分が生じるこ
とを極力防ぐためである。これも、非晶質珪素膜中にお
いて少しでも結晶性を有していると、後のレーザー照射
時における結晶化に悪影響を与えるという実験事実に基
づくものである。
High frequency energy (13.56 MH
The input power of z) is as low as 20 W in order to prevent the formation of silicon clusters, that is, portions having crystallinity during film formation as much as possible. This is also based on the experimental fact that if the amorphous silicon film has a little crystallinity, it will adversely affect the crystallization during the subsequent laser irradiation.

【0041】つぎに、デバイス分離パターニングを行い
図1の形状を得た。そして、試料を真空中(10-6Torr
以下)で、450度、1時間加熱し、水素出しを徹底的
に行い、膜中のダングリングボンドを高密度で生成させ
た。
Next, device isolation patterning was performed to obtain the shape shown in FIG. Then, the sample is placed in a vacuum (10 −6 Torr
In the following), heating was performed at 450 ° C. for 1 hour, hydrogen was thoroughly degassed, and dangling bonds in the film were formed at high density.

【0042】さらに、試料を図5に示すレーザー照射装
置に移し、エキシマレーザーを照射し、試料の多結晶化
を行った。この工程は、KrFエキシマレーザー(波長
248nm)を用いた。条件は以下の通り。 レーザー照射エネルギー密度 350 mJ
/cm2 パルス数 1〜10ショ
ット 基板温度 400度 レーザー照射後、水素減圧雰囲気中(約1Torr)におい
て、100度まて降温させた。
Further, the sample was transferred to the laser irradiation apparatus shown in FIG. 5 and irradiated with an excimer laser to polycrystallize the sample. In this step, a KrF excimer laser (wavelength 248 nm) was used. The conditions are as follows. Laser irradiation energy density 350 mJ
/ Cm 2 pulse number 1-10 shots Substrate temperature 400 degrees After laser irradiation, the temperature was lowered to 100 degrees in a hydrogen depressurized atmosphere (about 1 Torr).

【0043】なお、本実施例においてはレーザー光の照
射による非晶質珪素膜の結晶化を示したが、これを加熱
による工程に置き換えてもよいことはいうまでもない。
この加熱工程とは、ガラスの耐熱温度以下の温度である
450度〜700度程度(一般には600度)の温度で
6時間〜96時間加熱を行い、ガラス基板上に設けられ
た非晶質珪素半導体膜を結晶化させる工程をいう。
Although the amorphous silicon film is crystallized by laser light irradiation in this embodiment, it goes without saying that this may be replaced with a heating process.
This heating step is performed by heating the glass at a temperature of about 450 to 700 degrees (generally 600 degrees), which is lower than the heat-resistant temperature of glass, for about 6 to 96 hours, and the amorphous silicon provided on the glass substrate. The process of crystallizing a semiconductor film.

【0044】図5において、21は真空チャンバー、2
2は真空チャンバー21の外部からレーザーを照射すた
めの石英(特にエキシマーレーザーの場合には、無水石
英が好ましい)窓、23はレーザーが照射された場合に
おけるレーザー光、24は試料(サンプル)、25はサ
ンプルホルダー、26は試料加熱用のヒーター、27は
排気系、28は原料ガスや不活性ガスさらにはキャリア
ガスの導入系であり、図には一つしか示されていないが
実際には複数設けられているものである。また、排気系
には、低真空用にロータリーポンプを高真空用にターボ
分子ポンプを用い、チャンバー内の不純物(特に酸素)
の残留濃度を極力少なくするように努めた。排気能力に
関しては10-6torr以下、好ましくは10-8tor
r以下とする。
In FIG. 5, 21 is a vacuum chamber, 2
Reference numeral 2 is a quartz window for irradiating a laser from the outside of the vacuum chamber 21 (particularly, anhydrous silica is preferable in the case of an excimer laser), 23 is a laser beam when the laser is irradiated, 24 is a sample (sample), Reference numeral 25 is a sample holder, 26 is a heater for heating a sample, 27 is an exhaust system, 28 is a system for introducing a raw material gas, an inert gas, and a carrier gas. A plurality of them are provided. In addition, the exhaust system uses a rotary pump for low vacuum and a turbo molecular pump for high vacuum to check impurities (especially oxygen) in the chamber.
We made an effort to minimize the residual concentration of. Regarding the exhaust capacity, 10 -6 torr or less, preferably 10 -8 torr
r or less.

【0045】図5の真空チャンバーを用いてエキシマレ
ーザーによる結晶化を行った後、RFスパッタ法を用い
てゲイト絶縁膜となるSiO2 膜14を100nm成膜
し、図2の形状を得た。そしてゲイト電極15となる非
晶質珪素半導体層または多結晶珪素半導体層(厚さ15
0nm)をN型の導電型とするためにP(リン)を添加
して設けた。この後ゲイト領域をパターニングによって
形成し、図3の形状を得た。ゲイト電極としては、これ
以外にも、アルミニウムやクロム、タンタル等の金属材
料を用いてもよい。さらに、アルミニウムやタンタルを
用いる場合には、その表面を陽極酸化しておくと、後の
レーザー照射の際にもゲイト電極にダメージが及ばな
い。ゲイト電極に陽極酸化をおこなったプレーナー型T
FTについては、特願平3−237100あるいは同3
−238713に記述されているので、ここでは詳述し
ない。
After crystallization by an excimer laser using the vacuum chamber shown in FIG. 5, a SiO 2 film 14 serving as a gate insulating film is formed to a thickness of 100 nm by using the RF sputtering method, and the shape shown in FIG. 2 is obtained. Then, an amorphous silicon semiconductor layer or a polycrystalline silicon semiconductor layer (thickness 15
(0 nm) was provided by adding P (phosphorus) to make it an N-type conductivity type. After that, a gate region was formed by patterning to obtain the shape shown in FIG. Other than this, a metal material such as aluminum, chromium, or tantalum may be used as the gate electrode. Further, when aluminum or tantalum is used, if the surface thereof is anodized, the gate electrode will not be damaged during subsequent laser irradiation. Planar type T with anodization on the gate electrode
Regarding FT, Japanese Patent Application No. 3-237100 or 3
-238713, it will not be described in detail here.

【0046】ここで、再び図5に示す装置を用いてレー
ザー光による不純物のドーピングを行う。図5に示す装
置において、PH3 雰囲気下で、試料(図3の形状を有
している)を加熱し、レーザー光を照射してP(リン)
のドーピングを行った。この時、ソース,ドレイン領域
(図4に示す131,133)にはPがドーピングされ
るのでN型化する。これに対してチャネル形成領域(図
4に示す132)にはゲイト絶縁膜14とゲイト電極1
5がマスクとなりレーザーが照射されず、その部分の温
度が上昇しないので、ドーピングが行われない。ドーピ
ング条件は以下の通り。 雰囲気 PH3 5%濃度(H2 希釈) 試料温度 350度 圧力 0.02〜1.00Torr レーザー KrFエキシマレーザー(波長248nm) エネルギー密度 150〜350mJ/cm2 パルス数 10ショット
Here, doping of impurities by laser light is performed again using the apparatus shown in FIG. In the apparatus shown in FIG. 5, a sample (having the shape shown in FIG. 3) is heated in a PH 3 atmosphere and irradiated with laser light to emit P (phosphorus).
Was doped. At this time, the source and drain regions (131 and 133 shown in FIG. 4) are doped with P, so that they become N-type. On the other hand, in the channel formation region (132 shown in FIG. 4), the gate insulating film 14 and the gate electrode 1 are formed.
Since 5 serves as a mask and the laser is not irradiated and the temperature of that portion does not rise, doping is not performed. The doping conditions are as follows. Atmosphere PH 3 5% concentration (H 2 dilution) Sample temperature 350 degrees Pressure 0.02 to 1.00 Torr Laser KrF excimer laser (wavelength 248 nm) Energy density 150 to 350 mJ / cm 2 Number of pulses 10 shots

【0047】上記ソース,ドレイン領域形成の後、図4
に示すようにRFスパッタ法によって絶縁膜としてSi
2 膜16を100nmの厚さに成膜した。成膜条件
は、ゲイト酸化膜の作製方法と同一である。
After the formation of the source and drain regions described above, FIG.
As shown in FIG.
The O 2 film 16 was formed to a thickness of 100 nm. The film forming conditions are the same as the method for forming the gate oxide film.

【0048】その後、コンタクト用の穴開けパターニン
グを行い、さらに電極となるアルミを蒸着してソース電
極17とドレイン電極18を形成し、さらに水素雰囲気
中において350度の温度で水素熱アニールを行うこと
によって、NTFTを完成した。同様に、雰囲気をB2
6 とすることによってPチャネル型TFT(PTF
T)も形成することができた。
After that, patterning is performed by forming holes for contacts, aluminum is further vapor-deposited to form a source electrode 17 and a drain electrode 18, and hydrogen thermal annealing is performed at a temperature of 350 ° C. in a hydrogen atmosphere. Then, the NTFT was completed. Similarly, change the atmosphere to B 2
By setting to H 6 , a P-channel TFT (PTF
T) could also be formed.

【0049】特に、本実施例の効果を比較する為に、レ
ーザー照射時に試料を加熱しないで、全く同じ強度のレ
ーザーを照射したが、図9(b)に示すように、試料加
熱がない場合には、不純物濃度も1桁以上少なく、ま
た、不純物の分布も表面近傍に限られていた。一方、本
実施例において、試料を350℃に加熱してレーザー照
射したものは、図9(a)に示すように、不純物のドー
ピング濃度が大きく、また、その拡散は深部にまで及ん
でいた。
In particular, in order to compare the effects of this example , the sample was not heated during laser irradiation, but was irradiated with a laser having exactly the same intensity. However, as shown in FIG. 9B, when the sample was not heated. In addition, the impurity concentration was lower by one digit or more, and the distribution of impurities was limited to the vicinity of the surface. On the other hand, in the present example, in the case where the sample was heated to 350 ° C. and laser-irradiated, as shown in FIG. 9A, the doping concentration of the impurity was high, and the diffusion thereof was deep.

【0050】〔実施例2〕 本実施例は、ガラス基板上
に設けられたNTFTの作製にレーザー光によるドーピ
ングを適用した例である。本実施例においては、基板
として実施例1同様、ガラス基板また石英基板を用い
た。まず、実施例1と同様、図1の基板であるガラス基
板11上にSiO2 膜または窒化珪素膜を下地保護膜1
2として形成する。
Example 2 This example is an example in which a doping method using a laser beam is applied to the production of an NTFT provided on a glass substrate. In this example, as in Example 1, a glass substrate or a quartz substrate was used as the substrate. First, as in Example 1, a SiO 2 film or a silicon nitride film was formed on the glass substrate 11 which is the substrate of FIG.
Form as 2.

【0051】つぎに、プラズマCVD法によって真性ま
たは実質的に真性の水素化非晶質珪素半導体層13を1
00nmの厚さに形成する。つぎに、デバイス分離パタ
ーニングを行い図1の形状を得た。そして、試料を真空
中(10-6Torr以下)で、450度、1時間加熱し、水
素出しを徹底的に行い、膜中のダングリングボンドを高
密度で生成させた。
Next, the intrinsic or substantially intrinsic hydrogenated amorphous silicon semiconductor layer 13 is formed by plasma CVD method.
It is formed to a thickness of 00 nm. Next, device isolation patterning was performed to obtain the shape shown in FIG. Then, the sample was heated in vacuum (10 −6 Torr or less) at 450 ° C. for 1 hour to thoroughly perform dehydrogenation to generate dangling bonds in the film at high density.

【0052】さらに前記水素出しを行ったチャンバー中
で、真空状態を維持したままエキシマレーザーを照射
し、試料の多結晶化を実施例1と同じ条件で行った。レ
ーザー照射後、水素減圧雰囲気中(約1Torr)におい
て、100度まて降温させた。
Further, excimer laser irradiation was carried out while maintaining a vacuum state in the chamber where hydrogen was discharged, and polycrystallization of the sample was carried out under the same conditions as in Example 1. After the laser irradiation, the temperature was lowered to 100 degrees in a hydrogen depressurized atmosphere (about 1 Torr).

【0053】本実施例においては、図6に示すような装
置を用いて上記試料の水素出しのための加熱工程とエキ
シマレーザー光の照射による結晶化さらには不純物のド
ーピング工程をも同一真空チャンバーによって行った。
このような真空チャンバーを用いることによって、加熱
工程からレーザー照射による結晶化工程にわたって真空
状態を保つことが容易になり、膜中に不純物(特に酸
素)が混入しない膜を得ることができる。この真空チャ
ンバーには、電磁エネルギーを雰囲気に与えるための電
極を備えておりPCVD装置をも兼ねるものである。し
かしながら、それぞれ連続する工程をマルチチャンバー
型式に構成された装置を用いて、それぞれの工程を別々
の反応炉で行ってもよいことはいうまでもない。図6に
示す反応炉は陽光柱方式の構成であるが、他の形式でも
よく、電磁エネルギーの加え方も特に限定されるもので
はない。また、特に高い活性化率を得たいのであれば、
ECR形式の装置を用いることが有用である。
In this embodiment, the same vacuum chamber is used for the heating step for hydrogen desorption of the sample, the crystallization by irradiation of excimer laser light, and the impurity doping step using the apparatus shown in FIG. went.
By using such a vacuum chamber, a vacuum state can be easily maintained from the heating step to the crystallization step by laser irradiation, and a film in which impurities (especially oxygen) are not mixed can be obtained. This vacuum chamber is equipped with electrodes for applying electromagnetic energy to the atmosphere and also serves as a PCVD device. However, it goes without saying that each successive step may be carried out in a separate reaction furnace by using an apparatus configured as a multi-chamber type. The reactor shown in FIG. 6 has a positive column type configuration, but other types may be used and the way of applying electromagnetic energy is not particularly limited. Also, if you want to obtain a particularly high activation rate,
It is useful to use an ECR type device.

【0054】図6において、31は真空チャンバー、3
2は真空チャンバー31の外部からレーザーを照射すた
めの石英窓、33はレーザーが照射された場合における
レーザー光、34は試料(サンプル)、35はサンプル
ホルダー、36は試料加熱用のヒーター、37は排気
系、38は原料ガスや不活性ガスさらにはキャリアガス
の導入系であり、図には一つしか示されていないが実際
には複数設けられているものである。また、排気系に
は、低真空用にロータリーポンプを高真空用にターボ分
子ポンプを用い、チャンバー内の不純物(特に酸素)の
残留濃度を極力少なくするように努めた。そして、39
は平行平板電極であり、高周波発振装置40より供給さ
れる13.56MHzの電磁エネルギーをチャンバー内
に供給するものである。
In FIG. 6, 31 is a vacuum chamber, 3
2 is a quartz window for irradiating a laser from the outside of the vacuum chamber 31, 33 is a laser beam when the laser is irradiated, 34 is a sample (sample), 35 is a sample holder, 36 is a heater for heating the sample, 37 Is an exhaust system, and 38 is a system for introducing a raw material gas, an inert gas, and a carrier gas. Although only one is shown in the drawing, a plurality of systems are actually provided. As the exhaust system, a rotary pump for low vacuum and a turbo molecular pump for high vacuum were used, and efforts were made to minimize the residual concentration of impurities (particularly oxygen) in the chamber. And 39
Is a parallel plate electrode and supplies the electromagnetic energy of 13.56 MHz supplied from the high frequency oscillator 40 into the chamber.

【0055】図6の真空チャンバーを用いてエキシマレ
ーザーによる結晶化を行った後、RFスパッタ法を用い
てゲイト絶縁膜となるSiO2 膜14を100nm成膜
し、図2の形状を得た。そしてゲイト電極15となる非
晶質珪素半導体層または多結晶珪素半導体層(厚さ15
0nm)をN型の導電型とするためにP(リン)を添加
して設けた。この後ゲイト領域をパターニングによって
形成し、図3の形状を得た。
After performing crystallization by an excimer laser using the vacuum chamber of FIG. 6, a SiO 2 film 14 serving as a gate insulating film was formed to a thickness of 100 nm by RF sputtering to obtain the shape shown in FIG. Then, an amorphous silicon semiconductor layer or a polycrystalline silicon semiconductor layer (thickness 15
(0 nm) was provided by adding P (phosphorus) to make it an N-type conductivity type. After that, a gate region was formed by patterning to obtain the shape shown in FIG.

【0056】ここで、再び図6に示す装置を用いてレ
ザー光による不純物のドーピングを行う。図6に示す装
置において、電磁エネルギーを与えられ分解されたPH
3 雰囲気下で、試料(図3の形状を有している)を加熱
し、レーザー光を照射してP(リン)のドーピングを行
った。この時、ソース,ドレイン領域(図4に示す13
1,133)にはPがドーピングされるのでN型化す
る。これに対してチャネル形成領域(図4に示す13
2)にはゲイト絶縁膜14とゲイト電極15がマスクと
なりレーザーが照射されず、その部分の温度が上昇しな
いので、ドーピングが行われない。ドーピング条件は以
下の通り。 雰囲気 PH3 5%濃度(H2 希釈) 試料温度 350度 圧力 0.02〜1.00Torr 投入パワー 50〜200W レーザー KrFエキシマレーザー(波長248nm) エネルギー密度 150〜350mJ/cm2 パルス数 10ショット
[0056] Here, doping is performed impurities by Les chromatography <br/> Heather light using the apparatus shown in FIG. 6 again. In the device shown in FIG. 6, PH which has been decomposed by applying electromagnetic energy
A sample (having the shape shown in FIG. 3) was heated under 3 atmospheres and irradiated with laser light to dope P (phosphorus). At this time, the source and drain regions (13 shown in FIG.
1, 133) is doped with P and thus becomes N-type. On the other hand, the channel formation region (13 shown in FIG.
In 2), since the gate insulating film 14 and the gate electrode 15 serve as a mask and are not irradiated with laser and the temperature of the portion does not rise, doping is not performed. The doping conditions are as follows. Atmosphere PH 3 5% concentration (H 2 dilution) Sample temperature 350 degrees Pressure 0.02 to 1.00 Torr Input power 50 to 200 W Laser KrF excimer laser (wavelength 248 nm) Energy density 150 to 350 mJ / cm 2 Number of pulses 10 shots

【0057】上記ソース,ドレイン領域形成の後、実施
例1と同じように、図4に示すようにRFスパッタ法に
よって絶縁膜としてSiO2 膜16を100nmの厚さ
に成膜し、コンタクト用の穴開けパターニングを行い、
さらに電極となるアルミを蒸着してソース電極17とド
レイン電極18を形成し、さらに水素雰囲気中において
350度の温度で水素熱アニールを行うことによって、
NTFTを完成した。
After forming the source and drain regions, as in the first embodiment, as shown in FIG. 4, a SiO 2 film 16 having a thickness of 100 nm is formed as an insulating film by an RF sputtering method to form a contact film. Do hole patterning,
Further, aluminum serving as an electrode is vapor-deposited to form the source electrode 17 and the drain electrode 18, and further hydrogen thermal annealing is performed at a temperature of 350 ° C. in a hydrogen atmosphere.
Completed NTFT.

【0058】このドーピング工程において、雰囲気をB
2 6 とすることによってPチャネル型TFT(PTF
T)を形成することができた。従来であったらレーザー
光の波長によってドーピングガスの分解の度合いが異な
り、このことによるドーピングの不均一性が問題であっ
たが、本実施例の構成をとった場合、レーザー光によっ
てではなく、電磁エネルギーによってドーピングガスが
分解されるのでPTFTであってもNTFTであっても
レーザー光の波長に制限されることなくドーピングを行
うことができた。
In this doping process, the atmosphere is set to B.
By using 2 H 6 , P-channel TFT (PTF
T) could be formed. In the past, the degree of decomposition of the doping gas was different depending on the wavelength of the laser light, and the non-uniformity of doping due to this was a problem.However, in the case of the configuration of the present embodiment , it is not the laser light but the electromagnetic wave. Since the doping gas is decomposed by the energy, the doping can be carried out regardless of the wavelength of the laser beam in both PTFT and NTFT.

【0059】〔実施例3〕 図7には、本実施例のドー
ピング処置装置の様子を示す。すなわち、チャンバー7
1には、無水石英ガラス製のスリット状の窓72が設け
られている。レーザー光は、この窓に合わせて細長い形
状に成形される。レーザーのビーム73は、例えば10
mm×300mmの長方形とした。なおレーザー光の位
置は固定されている。チャンバーには、排気系77、お
よび反応性ガスを導入するためのガス系78が接続され
ている。また、チャンバー内には試料ホルダー75が設
けられ、その上には試料74が乗せられ、試料ホルダー
の下には赤外線ランプ(ヒーターとして機能する)76
が設けられている。試料ホルダーは可動であり、試料を
レーザーのショットに合わせて移動することができる。
[Embodiment 3] FIG. 7 shows a state of a doping treatment apparatus of this embodiment . That is, the chamber 7
1 is provided with a slit-shaped window 72 made of anhydrous silica glass. The laser light is shaped into an elongated shape according to this window. The beam 73 of the laser is, for example, 10
The rectangle was mm × 300 mm. The position of the laser light is fixed. An exhaust system 77 and a gas system 78 for introducing a reactive gas are connected to the chamber. A sample holder 75 is provided in the chamber, a sample 74 is placed on the sample holder 75, and an infrared lamp (functions as a heater) 76 is provided under the sample holder.
Is provided. The sample holder is movable, and the sample can be moved according to the shot of the laser.

【0060】このように、試料の移動のための機構がチ
ャンバー内に組み込まれている際には、ヒーターによる
試料ホルダーの熱膨張によって狂いが生じるので、温度
制御には細心の注意が必要である。また、試料移送機構
によってホコリが生じるので、チャンバー内のメンテナ
ンスは面倒である。
As described above, when the mechanism for moving the sample is incorporated in the chamber, the thermal expansion of the sample holder by the heater causes an error, so that the temperature control requires extreme caution. . Further, since the sample transfer mechanism causes dust, maintenance inside the chamber is troublesome.

【0061】〔実施例4〕 図8(A)には本実施例
ドーピング処置装置の様子を示す。すなわち、チャンバ
ー81には、無水石英ガラス製の窓82が設けられてい
る。この窓は実施例3の場合と異なり、試料84全面を
覆うだけの広いものである。チャンバーには、排気系8
7、および反応性ガスを導入するためのガス系88が接
続されている。また、チャンバー内には試料ホルダー8
5が設けられ、その上には試料84が乗せられ、試料ホ
ルダーはヒーターが内蔵されている。試料ホルダーはチ
ャンバーに固定されている。チャンバーの下部にはチャ
ンバーの台81aが設けられており、レーザーのパルス
に合わせて、チャンバーを移動させることによって、逐
次、レーザー照射をおこなう。レーザーのビーム83
は、実施例3の場合と同じく、細長い形状である。例え
ば、5mm×100mmの長方形とした。実施例3と同
様、レーザー光の位置は固定されている。本実施例で
は、実施例3と異なり、チャンバーが移動する機構を採
用する。したがって、チャンバー内には機械部分が存在
せず、ホコリ等が生じないのでメンテナンスが容易であ
る。また、移送機構が、ヒーターの熱の影響を受けるこ
とは少ない。
Example 4 A book is shown in FIG.Exampleof
The state of the doping treatment apparatus is shown. Ie the chamber
-81 is provided with a window 82 made of anhydrous silica glass.
It Unlike the case of the third embodiment, this window covers the entire surface of the sample 84.
It is wide enough to cover. Exhaust system 8 in the chamber
7 and a gas system 88 for introducing a reactive gas.
Has been continued. In addition, the sample holder 8 is installed in the chamber.
5 is provided, on which the sample 84 is placed,
Ruder has a built-in heater. The sample holder is
It is fixed to the chamber. At the bottom of the chamber
Pulse table of the laser
By moving the chamber according to
Next, laser irradiation is performed. Laser beam83
Is an elongated shape as in the case of the third embodiment. example
For example, the rectangle is 5 mm × 100 mm. Same as Example 3
Like, the position of the laser light is fixed. In this example
Differs from the third embodiment by adopting a mechanism for moving the chamber.
To use. Therefore, there is a mechanical part in the chamber.
Maintenance is easy because no dust is generated.
It Also, the transfer mechanism may be affected by the heat of the heater.
Is not.

【0062】本実施例では、実施例3に比べて上記のよ
うな点で優れているだけでなく、以下のような点でも優
れている。すなわち、実施例3の方式では、試料をチャ
ンバーに入れてから、十分な真空度まで真空排気できる
までレーザー放射をおこなえなかった。すなわちデッド
タイムが多かった。しかし、本実施例では、図8(A)
のようなチャンバーを多数用意し、それぞれ、順次、試
料装填、真空排気、レーザー照射、試料取り出し、とい
うように回転させてゆけば、上記のようなデッドタイム
は生じない。そのようなシステムを図8(B)に示し
た。
The present embodiment is superior to the third embodiment not only in the above points but also in the following points. That is, in the method of Example 3, laser radiation could not be performed after the sample was placed in the chamber until it was evacuated to a sufficient degree of vacuum. That is, there was a lot of dead time. However, in this embodiment, FIG.
If a large number of such chambers are prepared and rotated in sequence, such as sample loading, vacuum evacuation, laser irradiation, and sample removal, the above dead time does not occur. Such a system is shown in FIG. 8 (B).

【0063】すなわち、未処理の試料を内蔵したチャン
バー97、96は、排気工程の間に連続的な搬送機構9
8によって、精密な移動がおこなえるステージを有する
架台99に向かう。ステージ上のチャンバー95には、
レーザー装置91から放射され、適当な光学装置92、
93で加工されたレーザー光が窓を通して中の試料に照
射される。ステージを動かすことによって、必要なレー
ザー照射がおこなわれたチャンバー94は、再び、連続
的な搬送機構100によって次の段階に送られ、その間
にチャンバー内のヒーターは消灯し、排気され、十分温
度が下がってから、試料が取り出される。
That is, the chambers 97 and 96 containing the unprocessed sample are continuously transferred during the exhaust process.
8 heads to a mount 99 having a stage capable of precise movement. In the chamber 95 on the stage,
A suitable optical device 92 emitted from a laser device 91,
The laser light processed in 93 is applied to the sample inside through the window. By moving the stage, the chamber 94 to which the necessary laser irradiation has been performed is again sent to the next stage by the continuous transfer mechanism 100, during which the heater in the chamber is turned off, exhausted, and kept at a sufficient temperature. After lowering, the sample is taken out.

【0064】このように、本実施例では連続的な処理が
おこなえることによって、排気待ちの時間を削減するこ
とができ、スループットを向上させられる。もちろん、
本実施例の場合には、スループットは向上するけれど
も、その分、実施例3の場合よりチャンバーを多く必要
とするので、量産規模や投資規模を考慮して実施すべき
である。
As described above, in the present embodiment, the continuous processing can be performed, so that the exhaust waiting time can be reduced and the throughput can be improved. of course,
Although the throughput is improved in the case of the present embodiment, a larger number of chambers is required than that in the case of the third embodiment, so that the mass production scale and the investment scale should be taken into consideration.

【0065】〔実施例5〕 本実施例は、ガラス基板上
に設けられたNTFTの作製に本発明の構成であるドー
ピングを適用した例である。本実施例においては、基
板として実施例1同様、ガラス基板また石英基板を用い
た。まず、実施例1と同様、図1の基板であるガラス
基板101上にSiO2 膜を下地保護膜102として形
成し、つぎに、プラズマCVD法によって実質的に真性
の水素化非晶質珪素半導体層103を100nmの厚さ
に形成する。つぎに、デバイス分離パターニングを行っ
た。そして、試料を真空中(10-6Torr以下)で、45
0度、1時間加熱し、水素出しを徹底的に行い、膜中の
ダングリングボンドを高密度で生成させた。その後、R
Fスパッタ法を用いてSiO2 膜104を100nm成
膜し、図10(A)の形状を得た。そして、チャネルの
部分にのみ、酸化珪素マスク105を残置せしめた。
[Embodiment 5] This embodiment is an example in which the doping method having the constitution of the present invention is applied to the production of an NTFT provided on a glass substrate. In this example, as in Example 1, a glass substrate or a quartz substrate was used as the substrate. First, similarly to Example 1, a SiO 2 film was formed as a base protective film 102 on a glass substrate 101 is a substrate of FIG. 1 0, then substantially intrinsic hydrogenated amorphous silicon by plasma CVD The semiconductor layer 103 is formed to have a thickness of 100 nm. Next, device isolation patterning was performed. Then, the sample is placed in a vacuum (10 −6 Torr or less) for 45 minutes.
Hydrogen was thoroughly discharged by heating at 0 ° C. for 1 hour to form dangling bonds in the film at high density. Then R
A SiO 2 film 104 having a thickness of 100 nm was formed by using the F sputtering method to obtain the shape shown in FIG. Then, the silicon oxide mask 105 was left only on the channel portion.

【0066】ここで、図6に示す本実施例のレーザー処
装置を用いてレーザー光による不純物のドーピングを
行う。図6に示すレーザー処理装置において、電磁エネ
ルギーを与えられ分解されたPH3 雰囲気下で、試料
(図10(B)の形状を有している)を加熱し、レーザ
ー光を照射してP(リン)のドーピングを行った。この
時、ソース,ドレイン領域(図に示す106、108)
にはPがドーピングされるのでN型化する。これに対し
てチャネル形成領域(図に示す107)には酸化珪素マ
スク105がマスクとなりレーザーは照射され、結晶化
するが、マスク材が存在するため、ドーピングは行われ
ない。すなわち、本工程では、レーザーによる結晶化
と、ドーピングが同時におこなわれる。このときの条件
は実施例2と同じとした。
Here, the laser treatment of the present embodiment shown in FIG.
Performing doping of impurities by laser light using a physical device. In the laser processing apparatus shown in FIG. 6, a sample (having the shape of FIG. 10B) is heated in a PH 3 atmosphere to which electromagnetic energy is applied and decomposed, and a laser beam is irradiated to P ( Phosphorus) was doped. At this time, the source and drain regions (106 and 108 shown in the figure)
Since it is doped with P, it becomes N-type. On the other hand, in the channel formation region (107 shown in the figure), the silicon oxide mask 105 serves as a mask and is irradiated with laser to be crystallized, but doping is not performed because a mask material exists. That is, in this step, crystallization by laser and doping are simultaneously performed. The conditions at this time were the same as in Example 2.

【0067】上記ソース,ドレイン領域形成の後、ゲイ
ト酸化膜110とゲイト電極109を形成し、さらに、
層間絶縁膜としてSiO2 膜111を100nmの厚さ
に成膜し、コンタクト用の穴開けパターニングを行い、
さらに電極となるアルミを蒸着してソース電極112と
ドレイン電極113を形成し、さらに水素雰囲気中にお
いて350度の温度で水素熱アニールを行うことによっ
て、図10(C)に示すように、NTFTを完成した。
After forming the source and drain regions, a gate oxide film 110 and a gate electrode 109 are formed, and further,
A SiO 2 film 111 having a thickness of 100 nm is formed as an interlayer insulating film, and a contact hole is patterned.
Further, aluminum serving as an electrode is vapor-deposited to form a source electrode 112 and a drain electrode 113, and further, thermal annealing of hydrogen is performed at a temperature of 350 ° C. in a hydrogen atmosphere to form an NTFT as shown in FIG. completed.

【0068】本実施例では、セルフアライン的なソー
ス、ドレインの形成はできないが、例えば、実施例1と
同様にゲイト絶縁膜上にゲイト電極を形成しておいて、
裏面からレーザー照射をおこなえば、本実施例のよう
に、チャネル領域の結晶化とソース、ドレインのドーピ
ングを同時におこなうことができる。
In this embodiment, the source and drain cannot be formed in a self-aligned manner, but for example, as in the first embodiment, a gate electrode is formed on the gate insulating film,
If laser irradiation is performed from the back surface, crystallization of the channel region and doping of the source and drain can be performed at the same time, as in this embodiment.

【0069】〔実施例6〕 コーニング7059ガラス
基板上にアクティブマトリクスを形成した例を図11に
示す。図11(A)に示すように、基板201としては
コーニング7059ガラス基板(厚さ1.1mm、30
0×400mm)を使用した。コーニング7059ガラ
スに含まれるナトリウム等の不純物がTFT中に拡散し
ないようにプラズマCVD法で全面に厚さ5〜50n
m、好ましくは5〜20nmの窒化珪素膜202を形成
した。このように、基板を窒化珪素または酸化アルミニ
ウムの皮膜でコーティングしてこれをブロッキング層と
する技術は、本発明人等の出願である特願平3−238
710、同3−238714に記述されている。
Example 6 FIG. 11 shows an example in which an active matrix is formed on a Corning 7059 glass substrate. As shown in FIG. 11A, a Corning 7059 glass substrate (thickness: 1.1 mm, 30
0 × 400 mm) was used. A thickness of 5 to 50 n is formed on the entire surface by plasma CVD so that impurities such as sodium contained in Corning 7059 glass do not diffuse into the TFT.
A silicon nitride film 202 having a thickness of m, preferably 5 to 20 nm was formed. As described above, a technique of coating a substrate with a film of silicon nitride or aluminum oxide and using this as a blocking layer is a patent application by the present inventors, which is Japanese Patent Application No. 3-238.
710 and 3-238714.

【0070】ついで下地酸化膜203(酸化珪素)を形
成した後、LPCVD法もしくはプラズマCVD法でシ
リコン膜204(厚さ30〜150nm、好ましくは3
0〜50nm)を形成し、さらにテトラ・エトキシ・シ
ラン(TEOS)を原料として、酸素雰囲気中のプラズ
マCVD法によって、酸化珪素のゲイト絶縁膜(厚さ7
0〜120nm、典型的には100nm)205を形成
した。基板温度はガラスの縮みやソリを防止するために
400℃以下、好ましくは200〜350℃とした。し
かしながら、この程度の基板温度では、酸化膜中には多
量の再結合中心が存在し、例えば、界面準位密度は10
12cm-2以上でゲイト絶縁膜としては使用できないレベ
ルのものであった。
Then, after forming the base oxide film 203 (silicon oxide), the silicon film 204 (thickness 30 to 150 nm, preferably 3 nm) is formed by the LPCVD method or the plasma CVD method.
0 to 50 nm), and a silicon oxide gate insulating film (thickness: 7) is formed by a plasma CVD method in an oxygen atmosphere using tetra-ethoxy-silane (TEOS) as a raw material.
205 from 0 to 120 nm, typically 100 nm). The substrate temperature was set to 400 ° C. or lower, preferably 200 to 350 ° C. in order to prevent the glass from shrinking or warping. However, at such a substrate temperature, a large amount of recombination centers exist in the oxide film, and for example, the interface state density is 10
It was at a level of 12 cm -2 or more and could not be used as a gate insulating film.

【0071】そして、図11(A)に示すように、水素
希釈フォスフィンPH3 (5%)中で、KrFレーザー
光を照射して、このシリコン膜204の結晶性を改善せ
しめるとともに、ゲイト酸化膜205の再結合中心(ト
ラップセンター)を減少させた。このときにはレーザー
光のエネルギー密度は200〜300mJ/cm2 とし
た。また、ショット数も10回とした。このましくは、
温度を200〜400℃、代表的には300℃に保つと
良い。その結果、シリコン膜204は結晶性が改善さ
れ、また、ゲイト酸化膜205中には、1×1020〜3
×1020cm-3の燐がドーピングされ、界面準位密度も
1011cm-2以下に減少した。
Then, as shown in FIG. 11 (A), KrF laser light is irradiated in hydrogen-diluted phosphine PH 3 (5%) to improve the crystallinity of the silicon film 204 and, at the same time, to form the gate oxide film. 205 recombination centers (trap centers) were reduced. At this time, the energy density of the laser light was 200 to 300 mJ / cm 2 . Also, the number of shots was 10 times. This is,
The temperature may be maintained at 200 to 400 ° C, typically 300 ° C. As a result, the crystallinity of the silicon film 204 is improved, and 1 × 10 20 to 3 is contained in the gate oxide film 205.
The phosphorus was doped at × 10 20 cm -3 , and the interface state density was also reduced to 10 11 cm -2 or less.

【0072】次に、図11(B)に示すようにアルミニ
ウムのゲイト電極206を形成して、その周囲を陽極酸
化物207で被覆した。
Next, as shown in FIG. 11B, an aluminum gate electrode 206 was formed, and the periphery thereof was covered with an anodic oxide 207.

【0073】その後、P型の不純物として、硼素をイオ
ンドーピング法でシリコン層に自己整合的に注入し、T
FTのソース/ドレイン208、209を形成し、さら
に、図11(C)に示すように、これにKrFレーザー
光を照射して、このイオンドーピングのために結晶性の
劣化したシリコン膜の結晶性を改善せしめた。しかし、
このときにはレーザー光のエネルギー密度は250〜3
00mJ/cm2 と高めに設定した。このため、このT
FTのソース/ドレインのシート抵抗は300〜800
Ω/□となった。
Thereafter, as a P-type impurity, boron is implanted in the silicon layer in a self-aligned manner by an ion doping method, and T
Source / drain 208 and 209 of FT are formed, and further, as shown in FIG. 11C, a KrF laser beam is irradiated onto the source / drain 208, and the crystallinity of the silicon film whose crystallinity is deteriorated due to this ion doping is formed. Was improved. But,
At this time, the energy density of the laser light is 250 to 3
It was set to a high value of 00 mJ / cm 2 . Therefore, this T
FT source / drain sheet resistance is 300-800
Became Ω / □.

【0074】その後、図11(D)に示すように、ポリ
イミドによって層間絶縁物210を形成し、さらに、画
素電極211をITOによって形成した。そして、図1
1(E)に示すように、コンタクトホールを形成して、
TFTのソース/ドレイン領域にクロムで電極212、
213を形成し、このうち一方の電極213はITOに
も接続するようにした。最後に、水素中で300℃で2
時間アニールして、シリコンの水素化を完了し、液晶表
示装置の画素を作製した。
Thereafter, as shown in FIG. 11D, an interlayer insulator 210 was formed of polyimide, and a pixel electrode 211 was formed of ITO. And FIG.
As shown in FIG. 1 (E), a contact hole is formed,
Chromium electrode 212 on the source / drain region of the TFT,
213 was formed, and one of the electrodes 213 was also connected to ITO. Finally, 2 at 300 ° C in hydrogen
It was annealed for a period of time to complete the hydrogenation of silicon, and a pixel of a liquid crystal display device was manufactured.

【0075】〔実施例7〕 実施例6と同じく酸化珪素
膜に燐をドープし、これをゲイト絶縁膜としてTFTを
形成した例を図11に示す。実施例6と同様に、図11
(A)に示すような、基板201の全面にプラズマCV
D法で厚さ5〜50nm、好ましくは5〜20nmの窒
化珪素膜202を形成した。ついで下地酸化膜203
(酸化珪素)を形成した後、LPCVD法もしくはプラ
ズマCVD法でシリコン膜204(厚さ30〜150n
m、好ましくは30〜50nm)を形成し、さらにスパ
ッタ法によって酸化珪素膜(厚さ70〜120nm、典
型的には100nm)205を形成した。この工程は実
施例6のように、テトラ・エトキシ・シラン(TEO
S)を原料として、酸素雰囲気中のプラズマCVD法に
よっておこなってもよい。基板温度はガラスの縮みやソ
リを防止するために400℃以下、好ましくは200〜
350℃とした。
[Seventh Embodiment] FIG. 11 shows an example in which a silicon oxide film is doped with phosphorus and a TFT is formed by using this as a gate insulating film as in the sixth embodiment. Similar to Example 6, FIG.
Plasma CV is formed on the entire surface of the substrate 201 as shown in FIG.
A silicon nitride film 202 having a thickness of 5 to 50 nm, preferably 5 to 20 nm was formed by the D method. Next, the underlying oxide film 203
After (silicon oxide) is formed, the silicon film 204 (thickness: 30 to 150 n) is formed by the LPCVD method or the plasma CVD method.
m, preferably 30 to 50 nm) and a silicon oxide film (thickness 70 to 120 nm, typically 100 nm) 205 was formed by a sputtering method. This step is the same as in Example 6 except that tetra ethoxy silane (TEO) is used.
S) may be used as a raw material and may be performed by a plasma CVD method in an oxygen atmosphere. The substrate temperature is 400 ° C. or lower, preferably 200 to 400 ° C. in order to prevent the glass from shrinking or warping.
It was set to 350 ° C.

【0076】そして、図11(A)に示すように、水素
希釈フォスフィンPH3 (5%)中で、KrFレーザー
光を照射して、このシリコン膜204の結晶性を改善せ
しめるとともに、ゲイト酸化膜205の再結合中心(ト
ラップセンター)を減少させた。このときにはレーザー
光のエネルギー密度は200〜300mJ/cm2 とし
た。また、ショット数も10回とした。基板温度は室温
とした。このため、燐のドープは酸化珪素膜の表面から
70%以下の部分に限られた。
Then, as shown in FIG. 11A, KrF laser light is irradiated in hydrogen-diluted phosphine PH 3 (5%) to improve the crystallinity of the silicon film 204, and at the same time, to form the gate oxide film. 205 recombination centers (trap centers) were reduced. At this time, the energy density of the laser light was 200 to 300 mJ / cm 2 . Also, the number of shots was 10 times. The substrate temperature was room temperature. Therefore, the doping of phosphorus was limited to a portion of 70% or less from the surface of the silicon oxide film.

【0077】次に、図11(B)に示すようにアルミニ
ウムのゲイト電極206を形成して、その周囲を陽極酸
化物20で被覆した。陽極酸化工程が終了した後に、
逆に負の電圧を印加した。例えば−100〜−200V
の電圧を0.1〜5時間印加した。好ましくは基板温度
は100〜250℃、代表的には150℃とした。この
工程によって、酸化珪素中あるいは酸化珪素とシリコン
界面にあった可動イオンがゲイト電極(Al)に引き寄
せられ、その途中に存在する燐の濃度の大きな領域(リ
ンガラス化していると推定される)にトラップされた。
このように、陽極酸化後もしくは陽極酸化中にゲイト電
極に負の電圧を印加する技術は、本出願人の出願の特願
平4−115503(H4年4月7日出願)に記述され
ている。
Next, to form the gate electrode 206 of aluminum, as shown in FIG. 11 (B), was coated around with an anodic oxide 20 9. After the anodization process is completed,
On the contrary, a negative voltage was applied. For example, -100 to -200V
Was applied for 0.1 to 5 hours. The substrate temperature is preferably 100 to 250 ° C, typically 150 ° C. By this step, mobile ions in the silicon oxide or at the interface between the silicon oxide and the silicon are attracted to the gate electrode (Al), and a region having a high phosphorus concentration in the middle (presumed to be phosphorus vitrified) is present. Was trapped in.
As described above, the technique of applying a negative voltage to the gate electrode after or during the anodic oxidation is described in Japanese Patent Application No. 4-115503 (filed on Apr. 7, 2014) filed by the present applicant . .

【0078】その後、N型の不純物として、燐を公知の
イオンドーピング法でシリコン層に自己整合的に注入
し、TFTのソース/ドレイン208、209を形成
し、さらに、図11(C)に示すように、これにKrF
レーザー光を照射して、このイオンドーピングのために
結晶性の劣化したシリコン膜の結晶性を改善せしめた。
その後、図11(D)に示すように、ポリイミドによっ
て層間絶縁物210を形成し、さらに、画素電極211
をITOによって形成した。そして、図11(E)に示
すように、コンタクトホールを形成して、TFTのソー
ス/ドレイン領域にクロムで電極212、213を形成
し、このうち一方の電極213はITOにも接続するよ
うにした。最後に、水素中で300℃で2時間アニール
して、シリコンの水素化を完了し、TFTを作製した。
After that, phosphorus as N-type impurities is self-alignedly injected into the silicon layer by a known ion doping method to form the source / drain 208 and 209 of the TFT, and further shown in FIG. Like this, KrF
Laser light was irradiated to improve the crystallinity of the silicon film whose crystallinity was deteriorated due to this ion doping.
After that, as illustrated in FIG. 11D, an interlayer insulator 210 is formed using polyimide, and the pixel electrode 211 is further formed.
Was formed of ITO. Then, as shown in FIG. 11E, contact holes are formed, and electrodes 212 and 213 are formed of chromium in the source / drain regions of the TFT, and one of these electrodes 213 is also connected to ITO. did. Finally, annealing was carried out in hydrogen at 300 ° C. for 2 hours to complete hydrogenation of silicon, and a TFT was manufactured.

【0079】〔実施例8〕 本実施例では、単結晶基板
上に酸化珪素膜を形成し、これに燐のレーザードーピン
グをおこない、これをゲイト酸化膜としたMOSキャパ
シターを作製し、その特性(CV特性)を測定した。
[Embodiment 8] In the present embodiment, a silicon oxide film is formed on a single crystal substrate, laser doping of phosphorus is performed on the silicon oxide film, and a MOS capacitor using this as a gate oxide film is manufactured. CV characteristics) were measured.

【0080】単結晶シリコン(100)面上にテトラ・
エトキシ・シラン(TEOS)を原料として、酸素雰囲
気中のプラズマCVD法によって、酸化珪素のゲイト絶
縁膜(厚さ70〜120nm、典型的には100nm)
を形成した。基板温度は400℃以下、好ましくは20
0〜350℃とした。しかしながら、この程度の基板温
度では、酸化膜中には炭素を含んだクラスターが多数存
在し、また、多量の再結合中心が存在し、例えば、界面
準位密度は1012cm-2以上でゲイト絶縁膜としては使
用できないレベルのものであった。
Tetra.
A gate insulating film of silicon oxide (thickness 70 to 120 nm, typically 100 nm) by plasma CVD method in an oxygen atmosphere using ethoxy silane (TEOS) as a raw material.
Was formed. Substrate temperature is 400 ° C or lower, preferably 20
It was set to 0 to 350 ° C. However, at such a substrate temperature, a large number of carbon-containing clusters are present in the oxide film and a large amount of recombination centers are present. For example, the interface state density is 10 12 cm −2 or more, It was a level that could not be used as an insulating film.

【0081】そこで、実施例1で使用した装置を用い
て、水素希釈フォスフィンPH3 (5%)中で、KrF
レーザー光を照射して、この酸化珪素膜の再結合中心
(トラップセンター)を減少させた。このときにはレー
ザー光のエネルギー密度は200〜300mJ/cm2
とした。また、ショット数も10回とした。このましく
は、温度を200〜400℃、代表的には300℃に保
つと良い。その結果、酸化膜中には、1×1020〜3×
1020cm-3の燐がドーピングされ、界面準位密度も1
11cm-2以下に減少した。次に、アルミニウムのゲイ
ト電極を形成した。
Therefore, using the apparatus used in Example 1, KrF was diluted in hydrogen diluted phosphine PH 3 (5%).
Irradiation with laser light reduced the recombination centers (trap centers) of the silicon oxide film. At this time, the energy density of the laser light is 200 to 300 mJ / cm 2.
And Also, the number of shots was 10 times. The temperature is preferably 200 to 400 ° C., typically 300 ° C. As a result, in the oxide film, 1 × 10 20 to 3 ×
It is doped with 10 20 cm -3 of phosphorus and has an interface state density of 1
It was reduced to less than 0 11 cm -2 . Next, an aluminum gate electrode was formed.

【0082】例えば、レーザードーピング処理を行わな
ければ、得られるMOSキャパシターのCV特性は図1
2(A)に示すようなヒステリシスの大きなものとな
る。ここで、横軸は電圧、縦軸は静電容量である。しか
しながら、本実施例のようなレーザードーピング処理に
よって、図12(B)のような良好なCV特性がられる
に至った。
For example, if the laser doping process is not performed, the CV characteristic of the obtained MOS capacitor is shown in FIG.
2 (A) has a large hysteresis. Here, the horizontal axis represents voltage and the vertical axis represents capacitance. However, the laser doping treatment as in this embodiment has resulted in good CV characteristics as shown in FIG.

【0083】このときの膜中の各元素の分布は図12
(C)に示すようになった。すなわち、本実施例のレー
ザードーピングによって酸化珪素膜の途中まで燐がドー
プされた。そして、これによって、ナトリウム元素はこ
の燐によってゲッタリングされていることがわかる。ま
た、炭素は酸化膜の全ての領域で非常に僅かしか存在し
なかったが、これはレーザー照射によって、膜の外に放
出されたためである。なお、本実施例においても、実施
例7のように、ゲイト電極(Al)に負の電圧を印加し
て、膜中に存在するナトリウム等の可動イオンを積極的
に燐の多い領域に引き寄せると一層の効果が得られる。
The distribution of each element in the film at this time is shown in FIG.
As shown in (C). That is, phosphorus was doped to the middle of the silicon oxide film by the laser doping of this example. From this, it is understood that the sodium element is gettered by this phosphorus. Also, carbon was present in a very small amount in all regions of the oxide film because it was released outside the film by laser irradiation. Also in this embodiment, when a negative voltage is applied to the gate electrode (Al) as in the case of the seventh embodiment, mobile ions such as sodium existing in the film are positively attracted to the region containing a large amount of phosphorus. Further effects can be obtained.

【0084】上記実施例において説明したように、試料
を加熱した状態、あるいは反応性ガスに電磁エネルギー
を与えることによって分解された一導電型を付与する不
純物を含む雰囲気において、半導体にレーザー光を照射
することによって、半導体中に前記一導電型を付与する
不純物を効率よくドーピングすることができた。特に、
ガラス基板に熱ダメージを与えずにしかもレーザー光の
波長やドーピングガスの種類に左右されずにドーピング
を行うことができるという効果を得ることができた。
As described in the above embodiment , the semiconductor is irradiated with laser light in a state where the sample is heated or in an atmosphere containing impurities imparting one conductivity type decomposed by applying electromagnetic energy to the reactive gas. By doing so, it was possible to efficiently dope the semiconductor with the impurity imparting the one conductivity type. In particular,
It was possible to obtain the effect that doping can be performed without causing thermal damage to the glass substrate and without being affected by the wavelength of laser light or the type of doping gas.

【0085】[0085]

【発明の効果】先に記述したように、本発明のレーザー
処理装置は、半導体への不純物ドープという限られた目
的だけでなく、金属材料やセラミックス材料の表面の改
質や、金属薄膜、セラミックス薄膜、絶縁体薄膜への微
量元素の添加といような幅広い目的に使用でき、工業
的に有益な発明である。さらに、本発明によれば、チャ
ンバーを移動させているため、チャンバー内に、機械部
分が存在せず、ホコリ等が生じないので、メンテナンス
が容易である。また、移送機構は、チャンバーの外部に
あるため、直接ヒーターの熱が当たらないので、熱の影
響を受けることは少ない。 本発明によれば、複数のチャ
ンバーを多数用意し、それぞれを順次、試料装填、真空
排気、レーザー照射、試料取り出し、というように連続
して搬送することによって、チャンバー内におけるヒー
ターの消灯、排気、温度の低下、試料の取り出し等のよ
うなデッドタイムは生じない。 本発明によれば、連続的
な処理がおこなえることによって、排気待ちの時間を削
減することができ、スループットを向上させられる。
As described above, the laser of the present invention is used.
Processor, not only objective their limited doped into the semiconductor, modification or the surface of the metal material or a ceramic material, a metal thin film, a ceramic film, a wide range such as would leave the addition of trace elements to the insulator thin film It is an industrially useful invention that can be used for the purpose. Furthermore, according to the present invention,
The machine part inside the chamber
Since there is no minute portion and dust etc. does not occur, maintenance
Is easy. In addition, the transfer mechanism is located outside the chamber.
Therefore, the heat of the heater does not hit directly, so the shadow of the heat
It is rarely affected. According to the present invention, a plurality of
A number of chambers are prepared, and each of them is sequentially loaded with sample and vacuumed.
Exhaust, laser irradiation, sample removal, etc.
And transport it in the chamber.
Turn off the light, exhaust the gas, lower the temperature, remove the sample, etc.
There is no such dead time. According to the invention, continuous
By performing various types of processing, you can reduce the waiting time for exhaust.
The throughput can be improved.

【図面の簡単な説明】[Brief description of drawings]

【図1】 実施例の作製工程を示す。FIG. 1 shows a manufacturing process of an example.

【図2】 実施例の作製工程を示す。FIG. 2 shows a manufacturing process of an example.

【図3】 実施例の作製工程を示す。FIG. 3 shows a manufacturing process of an example.

【図4】 実施例の作製工程を示す。FIG. 4 shows a manufacturing process of an example.

【図5】 実施例レーザー処理(不純物ドーピング)
装置の概念図を示す。
[5] Laser processing examples (impurity doping)
The conceptual diagram of an apparatus is shown.

【図6】 実施例レーザー処理(不純物ドーピング)
装置の概念図を示す。
FIG. 6 is a laser process of the embodiment (impurity doping).
The conceptual diagram of an apparatus is shown.

【図7】 実施例レーザー処理(不純物ドーピング)
装置の例を示す。
FIG. 7: Laser processing of example (impurity doping)
An example of the device is shown.

【図8】 実施例レーザー処理装置の例を示す。FIG. 8 shows an example of a laser processing apparatus according to an embodiment.

【図9】 実施例および従来の方法によって作製された
半導体不純物領域の不純物濃度の深さ分布を示す。
FIG. 9 shows a depth distribution of impurity concentration in a semiconductor impurity region manufactured by an example and a conventional method .

【図10】 実施例の作製工程を示す。FIG. 10 shows a manufacturing process of an example.

【図11】 実施例の作製工程を示す。FIG. 11 shows a manufacturing process of an example.

【図12】 実施例のCV特性および元素分布を示す。FIG. 12 shows CV characteristics and element distribution of an example.

【符号の説明】[Explanation of symbols]

21 真空チャンバー 22 石英窓 23 レーザー光 24 試料 25 サンプルホルダー 26 ヒーター 27 排気系 28 ガス導入系 21 vacuum chamber 22 Quartz window 23 Laser light 24 samples 25 sample holder 26 heater 27 Exhaust system 28 Gas introduction system

フロントページの続き (56)参考文献 特開 平1−101625(JP,A) 特開 昭54−131866(JP,A) 特開 昭56−30721(JP,A) 特開 昭57−162339(JP,A) 特開 平2−226732(JP,A) 特開 平2−222545(JP,A) 特開 平2−224339(JP,A) 特開 昭64−11323(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/22 H01L 21/268 Front Page Continuation (56) References JP-A-1-101625 (JP, A) JP-A-54-131866 (JP, A) JP-A-56-30721 (JP, A) JP-A-57-162339 (JP , A) JP 2-226732 (JP, A) JP 2-222545 (JP, A) JP 2-224339 (JP, A) JP 64-11323 (JP, A) (58) Fields investigated (Int.Cl. 7 , DB name) H01L 21/22 H01L 21/268

Claims (10)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】レーザー装置と、 該レーザー装置から放射されたレーザー光を内部に入射
させるための窓、および内部に被処理物を置くためのホ
ルダーを備えた複数のチャンバーと、 前記チャンバーを複数搬送可能な搬送手段と、 1つの前記チャンバーが置かれる移動可能なステージ
と、 を有するレーザー処理装置であって、 前記搬送手段によって、複数の前記チャンバーは前記ス
テージへ順次に搬送され、 前記ステージ上に置かれているチャンバーを移動しなが
ら、当該チャンバー内に当該窓を介して入射したレーザ
ー光を前記ホルダーに置かれた被処理物に照射すること
を特徴とするレーザー処理装置。
1. A plurality of chambers, each of which has a laser device, a window for allowing a laser beam emitted from the laser device to enter the interior, and a holder for placing an object to be processed therein; A laser processing apparatus comprising: a transfer means capable of transferring, and a movable stage on which one of the chambers is placed, wherein the plurality of chambers are sequentially transferred to the stage by the transfer means. A laser processing apparatus which irradiates a processing object placed on the holder with a laser beam incident through the window into the chamber while moving the chamber.
【請求項2】レーザー装置と、 該レーザー装置から放射されたレーザー光を内部に入射
させるための窓、および内部に被処理物を置くためのホ
ルダーを備えた複数のチャンバーと、 1つの前記チャンバーが置かれる移動可能なステージ
と、 前記チャンバーを複数搬送可能であり、前記チャンバー
をステージ上へと搬送する搬送手段と、 前記チャンバーを複数搬送可能であり、前記ステージ上
に置かれているチャンバーを前記ステージ上から移動さ
せるための搬送手段と、 前記ステージ上に置かれているチャンバーを移動しなが
ら、当該チャンバー内に当該窓を介して入射したレーザ
ー光を前記ホルダーに置かれた被処理物に照射すること
を特徴とするレーザー処理装置。
2. A plurality of chambers provided with a laser device, a window for allowing a laser beam emitted from the laser device to enter the interior, and a holder for placing an object to be processed therein, one of the chambers A movable stage on which the chamber is placed, a plurality of the chambers that can be transported, a transport unit that transports the chambers onto the stage, a plurality of the chambers that are transportable, and a chamber placed on the stage. A transfer means for moving from the stage, and a laser beam incident through the window into the chamber while moving a chamber placed on the stage to an object placed on the holder. Laser processing device characterized by irradiation.
【請求項3】レーザー装置と、 該レーザー装置から放射されたレーザー光の断面を細長
い長方形状にするための光学装置と、 該光学装置を通ったレーザー光を内部に入射させるため
の窓、および内部に被処理物を置くためのホルダーを備
えた複数のチャンバーと、 複数の前記チャンバーが搬送可能な搬送手段と、 1つの前記チャンバーが置かれる移動可能なステージ
と、 を有するレーザー処理装置であって、 前記搬送手段によって、前記チャンバーそれぞれは前記
ステージへ順次に搬送され、 前記ステージ上に置かれているチャンバーを移動しなが
ら、当該チャンバー内に当該窓を介して入射したレーザ
ー光を前記ホルダーに置かれた被処理物に照射すること
を特徴とするレーザー処理装置。
3. A laser device, an optical device for making the cross section of the laser light emitted from the laser device into an elongated rectangular shape, a window for making the laser light passing through the optical device incident inside, A laser processing apparatus comprising: a plurality of chambers each provided with a holder for placing an object to be processed; a transfer means capable of transferring the plurality of chambers; and a movable stage on which one chamber is placed. Then, each of the chambers is sequentially transported to the stage by the transport means, and while moving the chamber placed on the stage, the laser light incident through the window into the chamber is delivered to the holder. A laser processing device, which irradiates a placed object.
【請求項4】レーザー装置と、 該レーザー装置から放射されたレーザー光の断面を細長
い長方形状にするための光学装置と、 該光学装置を通ったレーザー光を内部に入射させるため
の窓、および内部に被処理物を置くためのホルダーを備
えた複数のチャンバーと、 1つの前記チャンバーが置かれる移動可能なステージ
と、 前記チャンバーを複数搬送可能であり、前記チャンバー
をステージ上へと搬送する搬送手段と、 前記チャンバーを複数搬送可能であり、前記ステージ上
のチャンバーを前記ステージ上から移動させるための搬
送手段と、 前記ステージ上に置かれているチャンバーを移動しなが
ら、当該チャンバー内に当該窓を介して入射したレーザ
ー光を前記ホルダーに置かれた被処理物に照射すること
を特徴とするレーザー処理装置。
4. A laser device, an optical device for making a cross section of laser light emitted from the laser device into an elongated rectangular shape, a window for making the laser light passing through the optical device incident inside, A plurality of chambers provided with a holder for placing an object to be processed, a movable stage in which one of the chambers is placed, a plurality of the chambers can be transported, and a transport for transporting the chambers to the stage A means for transporting a plurality of the chambers, a transport means for moving the chamber on the stage from the stage, and a window for moving the chamber placed on the stage while moving the chamber on the stage. A laser processing apparatus, which irradiates an object to be processed placed on the holder with laser light incident through the laser.
【請求項5】複数の前記チャンバーそれぞれには、被処
理物を加熱するための加熱手段が設けられていることを
特徴とする請求項ないし請求項のいずれか一に記載
のレーザー処理装置。
5. A plurality of the chambers, the laser processing apparatus according to any one of claims 1 to 4, characterized in that a heating means for heating the object to be processed is provided .
【請求項6】複数の前記チャンバーは、独立して排気が
可能なことを特徴とする請求項ないし請求項のいず
れか一に記載のレーザー処理装置。
Wherein a plurality of said chambers, laser treatment apparatus according to any one of claims 1 to 5, characterized in that capable of independently evacuated.
【請求項7】複数の前記チャンバーは、独立してガスを
導入することが可能なことを特徴とする請求項ないし
請求項のいずれか一に記載のレーザー処理装置。
7. plurality of said chambers independently of the laser processing apparatus according to any one of claims 1 to 6, characterized that it is possible to introduce a gas.
【請求項8】前記レーザー装置は、パルス発振レーザー
光を放射するレーザー装置であることを特徴とする請求
項1ないし請求項のいずれか一に記載のレーザー処理
装置。
Wherein said laser device, a laser processing apparatus according to any one of claims 1 to 7, characterized in that a laser device that emits a pulsed laser beam.
【請求項9】前記レーザー装置は、エキシマレーザー装
置であることを特徴とする請求項1ないし請求項のい
ずれか一に記載のレーザー処理装置。
Wherein said laser device, a laser processing apparatus according to any one of claims 1 to 8, characterized in that an excimer laser device.
【請求項10】複数の前記チャンバーには、電磁エネル
ギーを雰囲気に与えるための電極が設けられていること
を特徴とする請求項1ないし請求項9のいずれか一に記
載のレーザー処理装置。
10. An electromagnetic energy source is provided in the plurality of chambers.
An electrode is provided to give the atmosphere to the atmosphere
The method according to any one of claims 1 to 9, characterized by
On-board laser processing equipment.
JP23776392A 1992-03-26 1992-08-12 Laser processing equipment Expired - Fee Related JP3375988B2 (en)

Priority Applications (8)

Application Number Priority Date Filing Date Title
JP23776392A JP3375988B2 (en) 1992-03-26 1992-08-12 Laser processing equipment
KR1019920018168A KR960008499B1 (en) 1992-03-26 1992-10-05 Laser treatment method and laser treatment apparatus
US07/971,237 US5424244A (en) 1992-03-26 1992-11-04 Process for laser processing and apparatus for use in the same
US08/411,973 US5849043A (en) 1992-03-26 1995-03-28 Apparatus for laser ion doping
US09/145,543 US6358784B1 (en) 1992-03-26 1998-09-02 Process for laser processing and apparatus for use in the same
US09/356,376 US6655767B2 (en) 1992-03-26 1999-07-19 Active matrix display device
US10/724,126 US7169657B2 (en) 1992-03-26 2003-12-01 Process for laser processing and apparatus for use in the same
US11/699,023 US7781271B2 (en) 1992-03-26 2007-01-29 Process for laser processing and apparatus for use in the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP10047992 1992-03-26
JP4-100479 1992-03-26
JP23776392A JP3375988B2 (en) 1992-03-26 1992-08-12 Laser processing equipment

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2000381305A Division JP4036278B2 (en) 1992-03-26 2000-12-15 Ion doping equipment
JP2000383319A Division JP3612017B2 (en) 1992-03-26 2000-12-18 Active matrix display device

Publications (2)

Publication Number Publication Date
JPH05326430A JPH05326430A (en) 1993-12-10
JP3375988B2 true JP3375988B2 (en) 2003-02-10

Family

ID=14275060

Family Applications (2)

Application Number Title Priority Date Filing Date
JP4108489A Pending JPH05326429A (en) 1992-03-26 1992-04-01 Method and apparatus for laser treatment
JP23776392A Expired - Fee Related JP3375988B2 (en) 1992-03-26 1992-08-12 Laser processing equipment

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP4108489A Pending JPH05326429A (en) 1992-03-26 1992-04-01 Method and apparatus for laser treatment

Country Status (3)

Country Link
JP (2) JPH05326429A (en)
KR (1) KR960008499B1 (en)
TW (1) TW221081B (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5424244A (en) 1992-03-26 1995-06-13 Semiconductor Energy Laboratory Co., Ltd. Process for laser processing and apparatus for use in the same
KR100291971B1 (en) 1993-10-26 2001-10-24 야마자끼 순페이 Substrate processing apparatus and method and thin film semiconductor device manufacturing method
US5616935A (en) 1994-02-08 1997-04-01 Semiconductor Energy Laboratory Co., Ltd. Semiconductor integrated circuit having N-channel and P-channel transistors
US6884698B1 (en) 1994-02-23 2005-04-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device with crystallization of amorphous silicon
US6562705B1 (en) 1999-10-26 2003-05-13 Kabushiki Kaisha Toshiba Method and apparatus for manufacturing semiconductor element
KR20020085577A (en) * 2001-05-09 2002-11-16 아남반도체 주식회사 Method for manufacturing a gate electrode
JP4387091B2 (en) 2002-11-05 2009-12-16 株式会社半導体エネルギー研究所 Method for manufacturing thin film transistor
JP4737366B2 (en) * 2004-02-25 2011-07-27 セイコーエプソン株式会社 Manufacturing method of semiconductor device
JP2008243975A (en) * 2007-03-26 2008-10-09 Japan Steel Works Ltd:The Method of crystallizing amorphous thin film, and crystallization equipment
CN114465086B (en) * 2022-01-19 2024-03-15 河南仕佳光子科技股份有限公司 Preparation method of DFB laser optical film

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS50117374A (en) * 1974-02-28 1975-09-13
JPS54131866A (en) * 1978-04-05 1979-10-13 Nippon Telegr & Teleph Corp <Ntt> Heat treatment device
JPS5630721A (en) * 1979-08-21 1981-03-27 Nec Corp Diffusing device of selected impurity
JPS57162339A (en) * 1981-03-31 1982-10-06 Fujitsu Ltd Manufacture of semiconductor device
JPS62130562A (en) * 1985-11-30 1987-06-12 Nippon Gakki Seizo Kk Manufacture of field effect transistor
JP2611236B2 (en) * 1987-07-03 1997-05-21 ソニー株式会社 Semiconductor manufacturing equipment
JPH01101625A (en) * 1987-10-15 1989-04-19 Komatsu Ltd Manufacture of semiconductor device
JP2628064B2 (en) * 1988-04-11 1997-07-09 東京エレクトロン株式会社 Object processing equipment
JPH02222545A (en) * 1989-02-23 1990-09-05 Semiconductor Energy Lab Co Ltd Manufacture of thin film transistor
JP2764425B2 (en) * 1989-02-27 1998-06-11 株式会社半導体エネルギー研究所 Method for manufacturing thin film transistor
JP2805321B2 (en) * 1989-02-28 1998-09-30 株式会社半導体エネルギー研究所 Method for manufacturing thin film transistor

Also Published As

Publication number Publication date
KR930020566A (en) 1993-10-20
TW221081B (en) 1994-02-11
JPH05326429A (en) 1993-12-10
JPH05326430A (en) 1993-12-10
KR960008499B1 (en) 1996-06-26

Similar Documents

Publication Publication Date Title
US7169657B2 (en) Process for laser processing and apparatus for use in the same
US6271066B1 (en) Semiconductor material and method for forming the same and thin film transistor
JP3165304B2 (en) Semiconductor device manufacturing method and semiconductor processing apparatus
JPH06151344A (en) Laser doping treatment method, insulated-gate semiconductor device and manufacture thereof
US6562672B2 (en) Semiconductor material and method for forming the same and thin film transistor
JP3375988B2 (en) Laser processing equipment
JP3927634B2 (en) Laser annealing method and thin film transistor manufacturing method
EP0459836B1 (en) Method for fabricating thin-film transistors
KR100313386B1 (en) Etching Equipment
JP3249606B2 (en) Laser processing method, semiconductor device and method for manufacturing the same
JP4036278B2 (en) Ion doping equipment
JP3612017B2 (en) Active matrix display device
JP4199166B2 (en) Method for manufacturing semiconductor device
JP2001044132A (en) Manufacture of semiconductor device
JP2000150389A (en) Plasma cvd device and manufacture of semiconductor device using the same
JP2840802B2 (en) Method and apparatus for manufacturing semiconductor material
JP2001230215A (en) Method of manufacturing semiconductor device
CN100543929C (en) A kind of method of making thin-film transistor and electronic device
JP3612009B2 (en) Method for manufacturing semiconductor device
JP3612018B2 (en) Method for manufacturing semiconductor device
JP2000243721A (en) Semiconductor device manufacturing apparatus
JPH05102055A (en) Manufacture of semiconductor chip
JPH10116795A (en) Equipment for manufacturing semiconductor material
JP2000188404A (en) Manufacture of thin film transistor
JP2001028347A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081129

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091129

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091129

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091129

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101129

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101129

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111129

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111129

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees