KR20240011631A - 기판 처리 방법 - Google Patents

기판 처리 방법 Download PDF

Info

Publication number
KR20240011631A
KR20240011631A KR1020230091398A KR20230091398A KR20240011631A KR 20240011631 A KR20240011631 A KR 20240011631A KR 1020230091398 A KR1020230091398 A KR 1020230091398A KR 20230091398 A KR20230091398 A KR 20230091398A KR 20240011631 A KR20240011631 A KR 20240011631A
Authority
KR
South Korea
Prior art keywords
layer
sih
tio
forming
sio
Prior art date
Application number
KR1020230091398A
Other languages
English (en)
Inventor
구예현
고광만
김현철
이학주
타카히로 아라이
히로시 코우
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20240011631A publication Critical patent/KR20240011631A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

TiO2층의 결정화를 억제하기 위한 TiO2-SiO2 적층층을 형성하는 방법이 제공된다. 일 구현예에서, TiO2-SiO2 적층층은 플라즈마 원자층 증착에 의해 TiO2층 및 SiO2층을 교대로 형성하고 스태킹함으로써 형성될 수 있다. TiO2-SiO2 적층층은 종래의 SiO2층과 비교하여 높은 막 강도를 가지며, TiO2층의 결정화는 적층층을 형성하고 TiO2층을 형성하는 단계 대 SiO2층을 형성하는 단계의 사이클 비를 제어함으로써 억제된다.

Description

기판 처리 방법{SUBSTRATE PROCESSING METHOD}
본 개시는 기판 처리 방법에 관한 것으로, 특히 기판 상에 형성된 층의 결정화를 억제하는 방법에 관한 것이다.
반도체 소자의 집적 정도가 증가하고 반도체 회로의 라인 폭이 좁아짐에 따라, 반도체 소자의 구조 상에 형성된 층의 두께가 더 얇아진다. SiO2층은 막 형성 공정에서 널리 사용된다. 그러나, 소자 회로의 라인 폭이 좁아짐에 따라, SiO2층의 두께가 점점 더 얇아지고 있다. 따라서, 얇은 SiO2층은 금속 배선 공정에 대한 제한된 용도를 갖거나, 패터닝 공정에서 스페이서층 및 하드 마스크층에 대한 제한된 용도를 갖는다. 이는, 하드 마스크 공정에서 불량한 기계적 강도와 언더레이어 손상으로 인해 스페이서층의 배선 공정에서 낮은 절연 특성, 기울어짐, 붕괴, 또는 과식각을 초래할 수 있기 때문이다.
도 1(A) 내지 1(D)는 SiO2 스페이서를 형성하는 패터닝 공정 및 공정 중에 발생하는 결함을 도시한다.
도 1(A)에서, 하드 마스크 산화물층(3)이 폴리실리콘층(4) 상에 형성될 수 있고, SiO2 스페이서층(1)은 하드 마스크층(3) 상에 형성될 수 있는 패터닝된 탄소층(2) 상에 형성될 수 있다. 그 후, SiO2 스페이서층(1)의 상부 부분 및 탄소층(2)이 제거될 수 있다(도 1(B) 및 도 1(C)). 그러나, 얇은 두께로 인해, SiO2층의 막 강도가 약해지거나, 기울어지거나, 또는 과도하게 식각될 수 있다(도 1(C)). 이는 도 1(D)에 기술된 바와 같이 폴리실리콘층(4)을 패터닝하기 위한 후속 패터닝 공정에서 패턴간 불균일한 간격 및 폭과 같은 결함을 유발할 수 있다.
따라서, TiO2층은 SiO2층보다 더 양호한 절연 특성(예, 높은 유전 상수) 및 기계적 강도를 갖기 때문에, TiO2층은 SiO2층에 대한 대안으로서 고려된다. 그러나, TiO2층이 50 nm보다 두꺼운 경우 TiO2층은 표면 상에서 부분적으로 결정화될 수 있고, 전체 층은 후속 어닐링 공정에서 결정화될 수 있다. 따라서, TiO2층의 절연 특성이 저하될 수 있다.
TiO2층의 특성은 TiO2층의 결정의 상, 형상 및 크기에 따라 달라질 수 있다. 따라서, 이러한 파라미터는 후속 공정에 영향을 미칠 수 있다. 예를 들어, 패터닝 공정에서 TiO2 스페이서층 상에 형성된 결정 범프는 후속 식각 공정에서 결함을 초래할 수 있고, 이후 소자 고장을 초래할 수 있다.
도 2(A) 내지 2(D)는 종래의 TiO2층 형성 공정에서 TiO2층의 두께에 따라 TiO2층 상에 형성된 결정 범프의 SEM(주사 전자 현미경) 이미지이다.
도 2(A) 내지 2(D)는 TiO2층의 다양한 두께, 예를 들어 37 nm(도 2(A)), 55 nm(도 2(B)), 74 nm(도 2(C)) 및 92 nm(도 2(D))를 도시한다. 도 2에 도시된 바와 같이, 결정 범프(5, 6)는 50 nm보다 더 두꺼운 TiO2층 상에 형성될 수 있다.
도 3(A) 내지 3(D)는 TEM(투과 전자 현미경) 이미지로서, Si 기판 상에 증착되는 비결정질 TiO2층 상에 형성된 범프(C)가 결정질이며, TiO2층은 150 nm일 수 있음을 보여준다.
도 3(A) 내지 3(D)에서, TiO2층은 비결정질 부분 A 및 결정질 부분(범프) C를 포함한다. 비결정질 부분 및 결정질 부분은 상이한 습식 식각 속도 특성을 가질 수 있고 후속 식각 공정에서 결함 있는 패터닝을 야기할 수 있다.
TiO2층은 CIS(CMOS 이미지 센서) 광학 장치의 유전체층에 사용될 수 있지만, 결정화된 TiO2층은 광 산란을 야기할 수 있고, CIS 장치의 광학 특성은 열화될 수 있다.
하나 이상의 구현예에서, TiO2층의 결정화를 억제하는 방법이 제공될 수 있다. 보다 상세하게, TiO2층을 함유하는 적층층은 플라즈마 강화 원자층 증착 방법에 의해 형성될 수 있다.
하나 이상의 구현예에서, 적층층은, 플라즈마 원자층 증착 방법에 의해 TiO2층을 포함하는 제1층을 형성하고 제1층 상에 SiO2층을 포함하는 제2층을 형성함으로써 형성될 수 있다.
하나 이상의 구현예에서, 제1층은 제1 공급원 가스 및 제1 반응물을 교대 순차적으로 공급함으로써 형성될 수 있고, 사이클은 복수의 횟수로 반복될 수 있다. 제2층은 제2 공급원 가스 및 제2 반응물을 교대 순차적으로 공급함으로써 형성될 수 있고, 사이클은 복수의 횟수로 반복될 수 있다.
하나 이상의 구현예에서, 제1층을 형성하는 단계 대 제2층을 형성하는 단계의 사이클 비는 20:1 이하, 보다 바람직하게는 10:1 이하일 수 있다.
하나 이상의 구현예에서, 후처리는 제1층 및 제2층을 포함하는 적층층에 대해 수행될 수 있다.
하나 이상의 구현예에서, 적층층은 TiO2-SiO2층, TiO2-TiN층, TiO2-SiN 및 TiO2-TaN 및 이의 혼합물 중 적어도 하나로 구성될 수 있다.
하나 이상의 구현예에서, 어닐링 온도는 850°C 이하, 보다 바람직하게는 400℃ 이하일 수 있다.
하나 이상의 구현예에서, 제1층 및 제2층을 포함하는 적층층은 비결정질일 수 있다.
하나 이상의 구현예에서, 제1층 및 제2층을 포함하는 적층층은 스페이서층, 하드 마스크층 및 갭 충진층 중 적어도 하나일 수 있다.
본 개시의 특정 구현예의 이들 및/또는 다른 양태, 특징부, 및 장점은 첨부된 도면과 관련하여 취해진 다음의 설명으로부터 명백해질 것이다.
도 1(A) 내지 도 1(D)는 패터닝 공정을 위해 형성된 SiO2 스페이서층 및 패터닝 실패를 도시한다.
도 2(A) 내지 도 2(D)는 TiO2층의 두께에 따라 TiO2층 상에 형성된 범프의 SEM 이미지이다.
도 3(A) 내지 도 3(D)는 TiO2층의 비결정질 부분 및 TiO2층의 결정질 부분의 TEM 이미지이다.
도 4는 본 개시에 따른 기판 처리 방법의 도면이다.
도 5는 본 개시에 따른 기판 처리 방법의 시간 그래프의 도면이다.
도 6은 TiO2층 대 SiO2층의 사이클 비에 따른 TiO2-SiO2층의 결정도를 보여주는 XRD 데이터이다.
도 7은 TiO2-TiN 적층층의 개념도를 도시한다.
도 8은 어닐링 온도에 따른 TiO2층의 결정도를 보여주는 XRD 데이터이다.
도 9는 TiO2층 형성 대 TiN층 형성의 사이클 비 및 어닐링 온도에 따른 TiO2-TiN 적층층의 결정도를 보여주는 XRD 데이터이다.
도 10(A) 내지 도 10(D)는 패터닝 공정에서 기판 상에 형성된 스페이서층으로서 TiO2-SiO2 적층층의 적용을 도시한다.
도 11(A) 내지 도 11(C)는 패터닝 공정에서 기판 상에 형성된 하드 마스크층으로서 TiO2-SiO2 적층층의 또 다른 적용을 도시한다.
도 12는 배선 공정에서 배리어층을 위한 TiO2-SiO2 적층층의 또 다른 적용을 도시한다.
본 개시는 전술한 문제를 해결하기 위한 방법에 관한 것이다. 보다 구체적으로, 본 개시는 기판 상에 TiO2층을 형성한 후 어닐링 공정을 수행할 때 비결정질 구조의 결정화를 억제하고 비결정질 구조를 유지하는 방법에 관한 것이다.
도 4는 본 개시의 구현예의 기판 처리 방법의 도면이다. 도 4의 상세한 설명은 다음과 같이 제시된다.
제1 단계(101): 기판은 반응기의 서셉터 상에 로딩될 수 있다. 기판은 Si, GaAs, 사파이어 또는 이의 균등물 중 하나일 수 있다. 기판은 기판 상에 3D 구조를 포함할 수 있다. 예를 들어, 이는 갭 구조, STI(얕은 트렌치 격리), 3D VNAND의 스택형 게이트 구조, 메모리 소자의 게이트 구조, 또는 패터닝 공정을 위한 패터닝된 구조를 포함할 수 있다.
기판이 로딩될 수 있는 서셉터는 가열 블록 상에 장착될 수 있다. 기판은, 예를 들어, 샤워헤드일 수 있는 가스 공급 유닛에 대향하여 배치될 수 있다. 가스 공급 유닛 및 가열 블록 중 적어도 하나는 RF 전력 발생기 및 매칭 네트워크에 연결될 수 있다. 가스 공급 유닛이 RF 전력 발생기에 연결되는 경우, 가스 공급 유닛은 반응기에 RF 전력을 공급하는 전극으로서 작용하여 가스 공급 유닛과 가열 블록 사이의 반응 공간에서 플라즈마를 생성할 수 있다. 또 다른 구현예에서, 플라즈마는 원격식으로 생성되어 반응기에 공급될 수 있다.
제2 단계(103): 제1층이 기판의 구조 상에 형성될 수 있다. 일 구현예에서, 제1층은 원자층 증착 방법에 의해 형성될 수 있다. 예를 들어, 제1 공급원 가스 및 제1 반응물은 기판에 순차적으로 그리고 교대로 공급될 수 있다. 이는 기판 상에 형성된 구조 상에 등각성 제1층을 형성할 수 있다.
제1 공급원 가스는 금속성 원소를 함유할 수 있다. 예를 들어, 제1 공급원 가스는 티타늄(Ti)을 함유할 수 있다. 제1 공급원 가스는 테트리키스-디메틸아미노 티타늄(TDMAT), Ti[N(CH3)2]4; 테트라-에틸메틸아미노 티타늄(TEMATi), [(CH3C2H5)N]4Ti; 티타늄 알콕사이드(예, 티타늄 테트라이소프로폭시드(TTIP), Ti(OC3H7)4; 티타늄 테트라부톡시드, Ti[OC(CH3)3]4); 티타늄 테트라클로라이드, TiCl4; 또는 이들의 혼합물을 포함할 수 있다.
제1 반응물은 산소를 함유할 수 있다. 예를 들어, 제1 반응물은 O2, CO2, O3, N2O 및 NO2, 또는 이들의 혼합물 중 적어도 하나일 수 있다. 또 다른 구현예에서, 제1 반응물은 RF 전력에 의해 활성화되고 산소 플라즈마를 생성할 수 있다. 활성화된 제1 반응물은 기판 상에 흡착된 제1 공급원 가스와 반응하여 산화물층을 형성할 수 있다. 제2 단계(103)는 복수의 횟수(X회)로 반복될 수 있다.
또 다른 구현예에서, 제1층은 펄스식 플라즈마 화학 기상 증착 방법에 의해 형성될 수 있으며, 여기서 제1 공급원 가스가 연속적으로 공급될 수 있고 활성화된 제1 반응물(예, 산소 플라즈마)이 간헐적으로 공급될 수 있다. 대안적으로, 제1 공급원 가스가 간헐적으로 공급될 수 있고, 활성화된 제1 반응물(예, 산소 플라즈마)이 연속적으로 공급될 수 있다. 본 개시의 일 구현예에서, 제1층은 TiO2를 포함할 수 있다.
제3 단계(105): 제2층이 제1층 상에 형성될 수 있다. 일 구현예에서, 제2층은 원자층 증착 방법에 의해 형성될 수 있다. 예를 들어, 제2 공급원 가스 및 제2 반응물은 기판에 순차적으로 그리고 교대로 공급될 수 있고 기판 상에 형성된 제1층 상에 등각성 제2층을 형성할 수 있다.
제2 공급원 가스는 반금속성 원소 또는 금속성 원소를 함유할 수 있다. 예를 들어, 제2 공급원 가스는 실리콘(Si), 티타늄(Ti) 및 탄탈륨(Ta) 중 적어도 하나를 함유할 수 있다. 제2 공급원 가스는 TSA, (SiH3)3N; DSO, (SiH3)2; DSMA, (SiH3)2NMe; DSEA, (SiH3)2NEt; DSIPA, (SiH3)2N(iPr); DSTBA, (SiH3)2N(tBu); DEAS, SiH3NEt2; DTBAS, SiH3N(tBu)2; BDEAS, SiH2(NEt2)2; BDMAS, SiH2(NMe2)2; BTBAS, SiH2(NHtBu)2; BITS, SiH2(NHSiMe3)2; DIPAS, SiH3N(iPr)2; TEOS, Si(OEt)4; SiCl4; HCD, Si2Cl6; 3DMAS, SiH(N(Me)2)3; BEMAS, SiH2[N(Et)(Me)]2; AHEAD, Si2(NHEt)6; TEAS, Si(NHEt)4; Si3H8 ; DCS, SiH2Cl2; SiHI3; SiH2I2; __ 테트리키스-디메틸아미노 티타늄(TDMAT), Ti[N(CH3)2]4 ; 테트라-에틸메틸아미노 티타늄(TEMATi), [(CH3C2H5)N]4Ti); 티타늄 알콕사이드(예, 티타늄 테트라이소프로폭시드(TTIP), Ti(OC3H7)4; 티타늄 테트라부톡시드, Ti[OC(CH3)3]4), ; 티타늄 테트라클로라이드, TiCl4; 삼차-부틸 이미도 트리스-디에틸 탄탈륨(TBTDET), [(tBuN)Ta(N(C2H5)2)3]; 삼차-부틸 이미도 트리스-에틸메틸아미노 탄탈륨((TBITEMATa), [(tBuN)Ta(N(CH3)(C2H5))3]_또는 이들의 혼합물을 포함할 수 있다.
제2 반응물은 산소 또는 질소를 함유할 수 있다. 예를 들어, 제2 반응물은 O2, CO2, O3, N2O, NO2, 또는 이들의 혼합물 중 적어도 하나일 수 있다. 예를 들어, 제2 반응물은 O2, CO2, O3, N2O, NO2, N2, NH3, N2H2,N2H4, 또는 이들의 혼합물 중 적어도 하나일 수 있다. 또 다른 구현예에서, 제2 반응물은 RF 전력에 의해 활성화될 수 있고 산소 플라즈마 또는 질소 플라즈마 중 적어도 하나를 생성할 수 있다. 활성화된 제2 반응물은 기판 상에 흡착된 제2 공급원 가스와 반응하여 산화물층 또는 질화물층을 형성할 수 있다. 제3 단계(105)는 복수의 횟수(Y회)로 반복될 수 있다.
또 다른 구현예에서, 제2층은 펄스식 플라즈마 화학 기상 증착 방법에 의해 형성될 수 있으며, 여기서 제2 공급원 가스가 연속적으로 공급될 수 있고 활성화된 제2 반응물(예, 산소 플라즈마)이 간헐적으로 공급될 수 있다. 대안적으로, 제2 공급원 가스가 간헐적으로 공급될 수 있고, 활성화된 제2 반응물(예, 산소 플라즈마, 질소 플라즈마)이 연속적으로 공급될 수 있다. 본 개시의 일 구현예에서, 제2층은 SiO2, SiN, TiN, 또는 TaN 중 적어도 하나를 포함할 수 있다.
일 구현예에서, 제1층을 형성하는 제2 단계(103) 대 제2층을 형성하는 제3 단계(105)의 사이클 비, 즉 X:Y는 제1층의 결정화를 억제하기 위한 최대 비 이하로 설정될 수 있다. 예를 들어, 제1층을 형성하는 제2 단계(103) 대 제2층을 형성하는 제3 단계(105)의 사이클 비(X:Y)는 10:1 이하(≤10:1)일 수 있다. 이는 제1층을 형성하기 위한 10회의 사이클 및 제2층을 형성하기 위한 1회의 사이클이 복수의 횟수로 반복될 수 있음을 의미할 수 있다. 또 다른 구현예에서, 제2 단계(103) 및 제3 단계(105)의 사이클 비는 5:1, 3:1, 또는 1:1일 수 있다.
제4 단계(107): 각각 제2 단계(103) 및 제3 단계(105)를 통해 형성된 제1층 및 제2층의 총 두께가 목표 두께에 도달하는지를 결정할 수 있다. 총 두께가 목표 두께에 도달하지 않는 경우, 제2 단계(X회) 및 제3 단계(Y회)를 반복하는 슈퍼 사이클이 복수의 횟수(M회)로 수행될 수 있다.
제5 단계(109): 후처리는 각각 제2 단계(103) 및 제3 단계(105)를 통해 형성된 제1층 및 제2층의 총 두께가 목표 두께에 도달한 후에 수행될 수 있다.
후처리는 막을 치밀화하고 막 강도를 개선할 수 있다. 일반적으로, 비결정질 막은 후처리에 의해 결정화될 수 있다. 그러나, 본 개시에서, 제1층의 결정화를 억제하기 위해, 제1층을 형성하는 제2 단계(103) 대 제2층을 형성하는 제3 단계(105)의 사이클 비는 소정의 비로 설정될 수 있다. 따라서, 제1층의 결정화는 후처리 단계인 제5 단계가 수행되더라도 억제될 수 있다.
제2 단계, 제3 단계, 및 제5 단계는 하나의 반응기에서 인시츄로 수행되거나 제2 단계, 제3 단계, 및 제5 단계 중 적어도 하나가 다른 반응기에서 엑시츄로 수행될 수 있다.
후처리는 열 어닐링, 플라즈마 처리, UV 처리, 화학적 처리, 또는 이들의 균등물 중 적어도 하나일 수 있다.
제6 단계(111): 제1층과 제2층의 형성 및 후처리를 완료한 후, 기판 처리가 종료되고 기판이 언로딩될 수 있다.
도 5는 본 개시의 일 구현예에 따른 기판 처리의 시간 그래프의 도면이다.
도 5의 단계 T1 내지 단계 T4는 도 4의 제2 단계(103)에 대응할 수 있고, 도 5의 단계 T5 내지 단계 T8은 도 4의 제3 단계(105)에 대응할 수 있다. 일 구현예에서, 도 5의 단계 T1에서 공급된 제1 공급원 가스는 티타늄(Ti)을 함유할 수 있고, 도 5의 단계 T5에서 공급된 제2 공급원 가스는 실리콘(Si) 또는 제1 공급원 가스와 동일한 티타늄(Ti)을 함유할 수 있다.
도 5에서, RF 전력이 인가되고 플라즈마가 생성될 때, 제1 반응물 및 제2 반응물은 각각 제1 공급원 가스 및 제2 공급원 가스와 화학적으로 반응할 수 있다. 그러나, RF 전력이 인가되지 않고 플라즈마가 생성되지 않을 때, 제1 반응물 및 제2 반응물은 각각 제1 공급원 가스 및 제2 공급원 가스와 화학적으로 반응하지 않을 수 있다. 이 경우, 제1 반응물 및 제2 반응물은 반응성 퍼지 가스로서 작용하여, 각각 제1 공급원 가스 및 제2 공급원 가스를 바로 퍼지할 수 있다.
제1 반응물 및 제2 반응물은 동일한 가스 또는 상이한 가스일 수 있다. 일 구현예에서, 제1 반응물은 산소를 함유할 수 있고, 제2 반응물은 산소 또는 질소를 함유할 수 있다.
도 5에 도시된 바와 같이, 제1층을 형성하는 단계 T1 내지 단계 T4 및 제2층을 형성하는 단계 T5 내지 단계 T8은 각각 사이클을 포함하는 복수의 횟수(예를 들어, X회 및 Y회)로 각각 반복될 수 있다. 슈퍼 사이클은 사이클로 구성될 수 있고, 복수의 횟수(예를 들어, M회)로 반복될 수 있다.
표 1은 각 층의 두께, 도 4 및 도 5에 도시된 바와 같이 제1층으로서 TiO2층을 형성하는 단계 대 제2층으로서 SiO2층을 형성하는 단계의 사이클 비 및 후처리(예, 열 어닐링) 전/후에 따른, TiO2층이 제1층이고 SiO2층이 제2층인 TiO2-SiO2층의 막 상(결정질 또는 비결정질)을 예시한다. 표 1에 따른 일 구현예에서, 층은 190℃에서 형성되고 열 어닐링은 400℃에서 170초 동안 수행된다.
TiO2층 대 SiO2층의 사이클 비, 각각의 막 두께 및 후처리에 따른 막 특성
증착 온도
(℃)
사이클 비(X:Y)
(TiO2:SiO2)
두께(Å)
(TiO2 또는 TiO2+SiO2)
어닐링 전 어닐링 후
(400℃, 170초)
190℃ 5:1 400 비결정질 비결정질
700 비결정질 비결정질
1000 비결정질 비결정질
10:1 400 비결정질 비결정질
700 비결정질 비결정질
1000 비결정질 비결정질
20:1 400 비결정질 비결정질
700 비결정질 결정질
1000 비결정질 결정질
100:0 (TiO2) 400 비결정질 결정질
700 결정질 결정질
1000 결정질 결정질
표 1에 예시된 바와 같이, TiO2층은 후처리(예, 열 어닐링) 전에는 층이 얇은 경우(예, 400 Å) 비결정질일 수 있지만, 후처리 후에는 두께에 관계없이 결정질일 수 있다.
그러나, 표 1에 예시된 바와 같이, 400℃에서 어닐링 후 TiO2-SiO2 적층층의 막 상은, 도 5에 도시된 바와 같이, TiO2층을 형성하는 단계 대 SiO2층을 형성하는 단계의 사이클 비인 X:Y 및 TiO2-SiO2 적층층의 두께에 의해 결정될 수 있다.
보다 상세하게, 사이클 비가 낮아지고, 예를 들어, X:Y가 20:1에서 10:1 내지 5:1이 되고 TiO2-SiO2 적층층이 더 얇아짐에 따라, 열 어닐링 후의 막 상은 비결정질일 수 있다. 구체적으로, X 대 Y의 사이클 비(X:Y)가 10:1 이하인 경우, TiO2-SiO2 적층층은 400℃에서 어닐링 후 TiO2-SiO2 적층층이 1,000 Å 정도로 두껍더라도 비결정질일 수 있다.
표 1에 따른 구현예에서, TiO2-SiO2 적층층을 형성함에 있어서 SiO2층을 형성하기 위한 사이클의 수는 TiO2층의 높은 유전 상수 및 높은 막 강도를 유지하기 위해 최소화될 수 있지만, 비결정질 TiO2층을 유지하기 위해 최소화될 수 있다. 따라서, 1,000 Å 이하 두께의 TiO2-SiO2 적층층에서, TiO2층을 형성하는 단계 대 SiO2층을 형성하는 단계의 사이클 비를 10:1 이하(≤10:1)(예컨대, 10:1, 5:1, 또는 3:1)로 설정하여, TiO2층을 형성하기 위한 사이클 수를 최대로 증가시키면서 어닐링 후에도 비결정질 TiO2층을 유지하는 것이 바람직할 수 있다. 그러나, TiO2-SiO2층의 두께는 이에 한정되지 않는다. 또 다른 구현예에서, TiO2-SiO2 적층층은 1 um(10,000 Å) 이하일 수 있다.
도 6은 열 어닐링 후 TiO2층 및 TiO2-SiO2 적층층의 결정도를 보여주는 XRD(X선 회절) 데이터이며, 여기서 TiO2층을 형성하는 단계 대 SiO2층을 형성하는 단계의 사이클 비는 10:1이다. 도 6에서, TiO2층 및 TiO2-SiO2 적층층은 120℃에서 형성되고 30분 동안 800℃에서 열 어닐링된다.
도 6에서, 열 어닐링을 수행한 후의 TiO2층은 결정화되어, (101) 및 (200) 피크로 표시된 아나타제 결정 상, 및 (110) 및 (211) 피크로 표시된 루틸 결정 상을 함유할 수 있다. TiO2는 3개의 결정질 상, 예를 들어, 아나타제, 루틸 및 브루카이트를 갖는 것으로 일반적으로 알려져 있다. 이들 중, 아나타제 및 루틸 상은 브루카이트 상보다 더 안정적이다. 따라서, 도 6은 본 개시의 시험 조건에 따라 어닐링 후 TiO2층이 다결정질(예, 아나타제 및 루틸 상)일 수 있음을 보여준다.
그러나, 10 대 1의 사이클 비를 갖는 TiO2-SiO2 적층층은 열 어닐링 후에도 비결정질 상을 유지할 수 있다. 따라서, 본 개시는, TiO2층 대 SiO2층에 대한 10 대 1 이하(10≤1)의 사이클 비를 갖는 TiO2-SiO2 적층층이 열 어닐링 후 층의 표면 상에 범프가 발생하는 것을 방지할 수 있다는 기술적 이점을 갖는다.
도 7은 적층층이 기판 상에 형성된 TiO2-TiN층을 포함할 수 있는 본 개시의 또 다른 구현예를 도시한다.
도 7에서, TiO2-TiN 적층층은 TiN층 및 TiO2층을 교대로 형성함으로써 형성될 수 있다. TiO2층은 티타늄 함유 공급원 가스 및 산소 함유 반응물을 플라즈마 원자층 증착에 의해 교대 순차적으로 공급함으로써 형성될 수 있고, 단위 사이클은 복수의 횟수(예를 들어, X회)로 반복될 수 있다. TiN층은 티타늄 함유 공급원 가스 및 질소 함유 반응물을 플라즈마 원자층 증착 방법에 의해 교대 순차적으로 공급함으로써 형성될 수 있고, 단위 사이클은 복수의 횟수(예를 들어, Y회)로 반복될 수 있다. TiO2층의 결정화를 억제하기 위해, TiO2층을 형성하는 단계 대 TiN층을 형성하는 단계의 사이클 비를 소정의 비로 설정할 수 있다.
도 8은 어닐링 온도에 따른 TiO2층의 결정도를 보여주는 XRD(X선 회절) 데이터이다. 도 8에서, TiO2층은 190℃에서 형성되고 250℃, 300℃ 및 350℃에서 열 어닐링될 수 있다. 도 8에 도시된 바와 같이, TiO2층은 300℃ 및 350℃에서 어닐링될 때 결정화되는데, 이는 이 층이 약 25도의 회절 각도(2θ)에서 아나타제 결정 상 피크를 함유하기 때문이다.
도 9는 TiO2층을 형성하는 것과 TiN층을 형성하는 것의 사이클 비 및 어닐링 온도에 따른 TiO2-TiN 적층층의 결정도를 보여주는 XRD(X선 회절) 데이터이다.
도 9의 일 구현예에서, TiO2-TiN 적층층은 190℃에서 형성될 수 있고, TiO2층을 형성하는 단계 대 TiN층을 형성하는 단계의 사이클 비는 10:1 및 20:1로 설정될 수 있다. TiO2-TiN 적층층은 300℃, 350℃ 및 400℃에서 어닐링될 수 있다.
도 8에 도시된 바와 같이, TiO2층이 300℃에서 어닐링될 때 TiO2층이 결정화된다. 대조적으로, 도 9에 도시된 바와 같이, 20 대 1의 사이클 비를 갖는 TiO2-TiN 적층층은, 이 층이 300℃에서 어닐링될 때에도 비결정질 상을 유지할 수 있다(도 9의 ⑦).
도 9는 또한 TiN층을 형성하는 사이클의 수가 증가함에 따라(예, TiO2 대 TiN의 더 낮은 사이클 비), TiO2-TiN 적층층은 더 높은 어닐링 온도에서도 비결정질 상을 유지할 수 있음을 보여준다(예, 도 9의 ② 대 ⑥).
본 개시의 또 다른 구현예에서, 다른 층이 TiO2층 상에 형성될 수 있다. 예를 들어, 적층층은 TiO2층의 결정화를 억제하기 위해 TiO2-SiN, TiO2-TaN 중 적어도 하나를 포함할 수 있다. 따라서, 제2 공급원 가스는 실리콘, 티타늄 및 탄탈륨 중 적어도 하나를 함유할 수 있다.
표 1, 도 8 및 도 9에 도시된 바와 같이, TiO2층의 결정화를 억제하기 위한 조건은 TiO2층을 형성하는 단계 대 다른 층(예, SiO2, TiN, SiN, TaN, 또는 이들의 혼합물, 또는 임의의 산화물, 질화물 또는 금속층 등)을 형성하는 단계의 사이클 비, 다른 층의 종류(예, SiO2, TiN, SiN, TaN, 또는 이들의 혼합물, 또는 임의의 산화물, 질화물 또는 금속층 등), 적층층의 두께 및 어닐링 온도에 의해 결정될 수 있음을 확인할 수 있다.
따라서, 본 개시의 구현예에 따르면, 본 개시는, TiO2층의 결정화 온도가 상이한 층(예, SiO2, TiN, SiN, TaN, 또는 이들의 혼합물, 또는 임의의 산화물, 질화물 또는 금속층)을 첨가하고, TiO2층을 형성하는 단계 대 또 다른 층을 형성하는 단계의 사이클 비가 20:1 이하인 적층층을 형성함으로써 제어될 수 있다는 기술적 이점을 가질 수 있다.
도 8 내지 도 9에 도시된 바와 같이, 제1층을 형성하기 위한 제1 공급원 가스는 티타늄을 함유할 수 있고, 제2층을 형성하기 위한 제2 공급원 가스는 티타늄을 함유할 수 있다. 일 구현예에서, 제1 공급원 가스 및 제2 공급원 가스는 동일할 수 있다. 예를 들어, 제1 공급원 가스 및 제2 공급원 가스는 테트라키스-디메틸아미노 티타늄(TDMAT), Ti[N(CH3)2]4; 테트라-에틸메틸아미노 티타늄(TEMATi), [(CH3C2H5)N]4Ti; 티타늄 알콕사이드(예, 티타늄 테트라이소프로폭시드(TTIP), Ti(OC3H7)4; 티타늄 테트라부톡시드, Ti[OC(CH3)3]4); 티타늄 테트라클로라이드, TiCl4; 또는 이들의 혼합물 중 적어도 하나일 수 있다.
표 2는 TiO2-SiO2 적층층에 대한 실험 조건이다.
TiO2-SiO2 적층층에 대한 시험 조건
공정 파라미터 상세 조건
단계당 공정 시간
(초)
(TiO2, SiO2)
공급원 공급 0.2 내지 1.2 (바람직하게는 0.4 내지 1.0)
공급원 퍼지 0.2 내지 1.2 (바람직하게는 0.4 내지 1.0)
플라즈마 온 0.2 내지 1.2 (바람직하게는 0.4 내지 1.0)
퍼지 0.2 내지 1.2 (바람직하게는 0.4 내지 1.0)
가스 유량(sccm)
(TiO2, SiO2)
공급원 캐리어 Ar 500 내지 1,500 (바람직하게는 700 내지 1,200)
퍼지 Ar 2,000 내지 10,000 (바람직하게는 4,000 내지 8,000)
반응물 50 내지 500 (바람직하게는 100 내지 400)
플라즈마 조건 RF 전력(W) 50 내지 600 (바람직하게는 100 내지 500)
RF 주파수(MHz) 10 내지 60
증착 온도(℃) 100 내지 200
어닐링 조건 300℃ 내지 850℃, 30초 내지 30분
Si 공급원 실리콘 함유 가스
Ti 공급원 티타늄 함유 가스
반응물 산소 함유 가스
제1 공급원 가스는 티타늄을 함유할 수 있고, 제2 공급원 가스는 실리콘, 티타늄, 탄탈륨 및 이의 혼합물 중 적어도 하나를 함유할 수 있다. 일 구현예에서, 티타늄을 함유하는 제1 공급원 가스 및 티타늄을 함유하는 제2 공급원 가스는 동일할 수 있다.
티타늄 함유 가스는 테트리키스-디메틸아미노 티타늄(TDMAT), Ti[N(CH3)2]4; 테트라-에틸메틸아미노 티타늄(TEMATi), [(CH3C2H5)N]4Ti; 티타늄 알콕사이드(예, 티타늄 테트라이소프로폭시드(TTIP), Ti(OC3H7)4; 티타늄 테트라부톡시드, Ti[OC(CH3)3]4; 티타늄 테트라클로라이드, TiCl4; 또는 이들의 혼합물 중 적어도 하나일 수 있다.
실리콘 함유 가스는 TSA, (SiH3)3N; DSO, (SiH3)2; DSMA, (SiH3)2NMe; DSEA, (SiH3)2NEt; DSIPA, (SiH3)2N(iPr); DSTBA, (SiH3)2N(tBu); DEAS, SiH3NEt2; DTBAS, SiH3N(tBu)2; BDEAS, SiH2(NEt2)2; BDMAS, SiH2(NMe2)2; BTBAS, SiH2(NHtBu)2; BITS, SiH2(NHSiMe3)2; DIPAS, SiH3N(iPr)2; TEOS, Si(OEt)4; SiCl4; HCD, Si2Cl6; 3DMAS, SiH(N(Me)2)3; BEMAS, SiH2[N(Et)(Me)]2; AHEAD, Si2(NHEt)6; TEAS, Si(NHEt)4; Si3H8; DCS, SiH2Cl2; SiHI3; SiH2I2; 또는 이들의 혼합물 중 적어도 하나일 수 있다.
탄탈륨 함유 가스는 삼차-부틸 이미도 트리스-디에틸 탄탈륨(TBTDET), [(tBuN)Ta(N(C2H5)2)3]; 삼차-부틸 이미도 트리스-에틸메틸아미노 탄탈륨(TBITEMATA), [(tBuN)Ta(N(CH3)(C2H5))3]; 또는 이들의 혼합물 중 적어도 하나일 수 있다.
제1 반응물은 산소를 함유할 수 있고, 제2 반응물은 산소, 질소 및 이의 혼합물 중 적어도 하나를 함유할 수 있다. 일 구현예에서, 산소 함유 가스는 O2, CO2, O3, N2O, NO2, 또는 이들의 혼합물 중 적어도 하나일 수 있고, 질소 함유 가스는 N2, NH3, N2H2, N2H4, 또는 이들의 혼합물 중 적어도 하나일 수 있다.
도 10(A) 내지 도 10(C)는 본 개시에 따른 패터닝 공정에서 기판 상에 형성된 스페이서층으로서 TiO2-SiO2 적층층의 적용을 도시한다.
도 10(A)에 도시된 바와 같이, 하드 마스크 산화물층(7)은 폴리 실리콘층(8) 상에 형성될 수 있고, TiO2-SiO2 적층층(5)은 하드 마스크 산화물층(7) 상에 형성될 수 있는 패터닝된 탄소층(6) 상에 형성될 수 있다. 이방성 식각 단계인 다음 단계 도 10(B)에서, TiO2-SiO2층의 상부가 제거될 수 있다. 선택적 식각 단계인 도 10(C)에서, 탄소층(6)이 제거될 수 있고, TiO2-SiO2 적층층은 하드 마스크 패터닝을 위한 스페이서층으로서 유지될 수 있다. 도 10(D)에서, TiO2-SiO2 마스크층이 제거될 수 있고, 하드 마스크층(7)은 등각성으로 패터닝될 수 있다.
도 10(C)에 도시된 바와 같이, TiO2-SiO2 스페이서층은 높은 강도를 가질 수 있고, 도 1(C)에 도시된 바와 같이 종래의 SiO2 스페이서층에 비해 기울어지거나 과도하게 식각되지 않을 수 있다. 따라서, 본 개시는 결함(예, 스페이서층 사이의 불균일한 간격)이 폴리실리콘층(8)을 패터닝하는 동안 발생하지 않을 수 있다는 기술적 이점을 가질 수 있다. 본 개시는 또한 TiO2-SiO2 스페이서층이 비결정질일 수 있고 결정 상을 함유하지 않을 수 있으므로, 스페이서층 사이의 습식 식각 속도 편차가 발생하지 않을 수 있다는 추가적인 기술적 이점을 가질 수 있다.
도 11(A) 내지 도 11(C)는 패터닝 공정에서 기판 상에 형성된 하드 마스크층으로서 TiO2-SiO2 적층층의 또 다른 적용을 도시한다.
도 11(A)에서, TiO2-SiO2 하드 마스크층(10)은 반도체 소자 구조(12) 상에 형성된 BARC(하단 반사방지 코팅)층(11) 상에 형성될 수 있고, 포토레지스트층(9)은 하드 마스크층(10) 상에 형성될 수 있다. 포토레지스트층(9)은 BARC층(11)의 영역 'A'에 형성될 수 있고, 나중에 식각될 수 있는 영역 'B'에는 형성되지 않을 수 있다.
도 11(B)에서, 영역 'A'의 포토레지스트층(9) 및 영역 'B'의 TiO2-SiO2 하드 마스크층(10)이 제1 건식 식각에 의해 먼저 제거될 수 있다. 그 후, 영역 'B'의 BARC층(11)이 제2 건식 식각에 의해 그 후에 제거될 수 있다(도 11(C)). 영역 'A'에 남아 있는 TiO2-SiO2 하드 마스크층(10)은 종래의 SiO2 하드 마스크층과 비교하여 높은 강도를 가질 수 있고, 포토레지스트층(9)을 제거하기 위한 식각 단계 동안 식각되지 않을 수 있다. 따라서, 본 개시는 TiO2-SiO2 적층층이 마스크층으로서 작용하여 선택적 식각을 용이하게 할 수 있다는 기술적 이점을 가질 수 있다.
도 12는 배선 공정에서 배리어층을 위한 TiO2-SiO2 적층층의 또 다른 적용을 도시한다.
도 12에서, 금속 라인(14) 사이에 형성된 갭이 금속 라인(14) 사이의 절연을 위해 TiO2-SiO2 절연층으로 충진될 수 있다.
반도체 소자의 집적 정도가 증가함에 따라, 갭의 크기와 폭은 감소한다. 따라서, 갭이 종래의 SiO2 절연층으로 충진될 경우, SiO2층의 얇은 두께로 인해 유전체 파괴가 발생할 수 있으며, 이는 소자의 전기적 특성의 저하를 초래한다. 대조적으로, 갭이 TiO2-SiO2 적층층으로 충진될 경우, 높은 유전 상수를 갖는 TiO2층 및 TiO2층의 결정화를 억제하는 SiO2층으로 인해, 높은 절연 특성을 갖는 비결정질 TiO2-SiO2 적층층이 유지될 수 있다. 따라서, 본 개시는 갭을 충진하는 TiO2-SiO2 적층층이 좁은 갭 구조에서 유전체 파괴를 방지할 수 있다는 기술적 이점을 가질 수 있다.
TiO2-SiO2 적층층은 또한 CIS(CMOS 이미지 센서) 소자의 광학층에 적용될 수 있다. TiO2층은 결정질일 수 있고 TiO2층의 표면 거칠기를 증가시킬 수 있다. 따라서, 결정질 TiO2층은 광 산란을 야기할 수 있고, CIS 소자의 광학 특성은 열화될 수 있다. 대조적으로, 본 개시에 따른 TiO2-SiO2 적층층은 비결정질일 수 있으므로, TiO2-SiO2 적층층은 CIS 소자의 광학 특성을 개선할 수 있다.
TiO2-SiO2층의 두께는 그의 적용에 따라 달라질 수 있다. 하드 마스크 적용에서, 적층층의 두께는 20 nm 정도일 수 있고, CIS(CMOS 이미지 센서) 소자의 광학층을 위한 유전체층 적용에서, 적층층의 두께는 500 nm 내지 1 um 범위일 수 있다.
본원에 기술된 구현예는 단지 설명적 의미로 고려되어야 하며 제한하기 위한 목적이 아님을 이해해야 한다. 각 구현예에서의 특징 또는 양태에 대한 설명은, 통상적으로 다른 구현예에서의 다른 유사한 특징 또는 양태에 대해 이용 가능한 것으로 간주되어야 한다. 하나 이상의 구현예가 도면을 참조하여 설명되었지만, 다음 청구범위에 의해 정의된 바와 같은 본 개시의 사상 및 범주를 벗어나지 않고 형태와 세부 사항에서 다양한 변경이 만들어질 수 있음을 당업자는 이해할 것이다.

Claims (19)

  1. 기판 처리 방법으로서,
    반응기 상에 기판을 로딩하는 단계;
    상기 기판 상에 제1층을 형성하는 단계;
    상기 제1층 상에 제2층을 형성하는 단계로, 여기서 상기 제1층과 상기 제2층의 조합은 적층층을 형성하는, 단계; 및
    상기 적층층에 대한 후처리 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 제1층을 형성하는 단계 및 상기 제2층을 형성하는 단계는 각각 복수의 횟수로 주기적으로 반복되는, 방법.
  3. 제1항에 있어서, 상기 제1층을 형성하는 단계 및 상기 제2층을 형성하는 단계는 슈퍼 사이클을 포함하고, 상기 슈퍼 사이클은 복수의 횟수로 반복되는, 방법.
  4. 제2항에 있어서, 상기 제1층을 형성하는 단계는
    제1 공급원 가스를 공급하는 단계;
    제1 반응물을 공급하는 단계; 및
    RF 전력을 인가하고 상기 제1 반응물을 활성화하는 단계를 포함하되, 상기 제1층을 형성하는 단계는 복수의 횟수로 반복되는, 방법.
  5. 제2항에 있어서, 상기 제2층을 형성하는 단계의 사이클은
    제2 공급원 가스를 공급하는 단계;
    제2 반응물을 공급하는 단계; 및
    RF 전력을 인가하고 상기 제2 반응물을 활성화하는 단계를 포함하되, 상기 제2층을 형성하는 단계는 복수의 횟수로 반복되는, 방법.
  6. 제2항에 있어서, 상기 제1층을 형성하는 단계 대 상기 제2층을 형성하는 단계의 사이클 비는 20:1 이하인, 방법.
  7. 제6항에 있어서, 상기 제1층을 형성하는 단계 대 상기 제2층을 형성하는 단계의 사이클 비는 10:1 이하인, 방법.
  8. 제2항에 있어서, 상기 제1층 및 상기 제2층을 포함하는 상기 적층층의 두께는 10,000 Å 이하인, 방법.
  9. 제8항에 있어서, 상기 제1층 및 상기 제2층을 포함하는 상기 적층층의 두께는 1,000 Å 이하인, 방법.
  10. 제1항에 있어서, 상기 적층층은 비결정질인, 방법.
  11. 제4항에 있어서, 상기 제1 공급원 가스는 테트리키스-디메틸아미노 티타늄(TDMAT), Ti[N(CH3)2]4; 테트라-에틸메틸아미노 티타늄(TEMATi), [(CH3C2H5)N]4Ti); 티타늄 알콕사이드; 티타늄 테트라클로라이드, TiCl4; 또는 이들의 혼합물 중 적어도 하나인, 방법.
  12. 제5항에 있어서, 상기 제2 공급원 가스는 TSA, (SiH3)3N; DSO, (SiH3)2; DSMA, (SiH3)2NMe; DSEA, (SiH3)2NEt; DSIPA, (SiH3)2N(iPr); DSTBA, (SiH3)2N(tBu); DEAS, SiH3NEt2; DTBAS, SiH3N(tBu)2; BDEAS, SiH2(NEt2)2; BDMAS, SiH2(NMe2)2; BTBAS, SiH2(NHtBu)2; BITS, SiH2(NHSiMe3)2; DIPAS, SiH3N(iPr)2; TEOS, Si(OEt)4; SiCl4; HCD, Si2Cl6; 3DMAS, SiH(N(Me)2)3; BEMAS, SiH2[N(Et)(Me)]2; AHEAD, Si2(NHEt)6; TEAS, Si(NHEt)4; Si3H8 ; DCS, SiH2Cl2; SiHI3; SiH2I2; 테트라키스-디메틸아미노 티타늄(TDMAT), Ti[N(CH3)2]4; 테트라-에틸메틸아미노 티타늄(TEMATi), [(CH3C2H5)N]4Ti); 티타늄 알콕사이드; 티타늄 테트라클로라이드, TiCl4; 삼차-부틸 이미도 트리스-디에틸 탄탈륨(TBTDET), [(tBuN)Ta(N(C2H5)2)3]; 삼차-부틸 이미도 트리스-에틸메틸아미노 탄탈륨(TBITEMATa), [(tBuN)Ta(N(CH3)(C2H5))3]; 또는 이들의 혼합물 중 적어도 하나인, 방법.
  13. 제4항에 있어서, 상기 제1 반응물은 O2, CO2, O3, N2O, NO2, 또는 이들의 혼합물 중 적어도 하나인, 방법.
  14. 제5항에 있어서, 상기 제2 반응물은 O2, CO2, O3, N2O, NO2, N2, NH3, N2H2, N2H4; 또는 이들의 혼합물 중 적어도 하나인, 방법.
  15. 제1항에 있어서, 상기 제1층은 TiO2를 포함하고, 상기 제2층은 SiO2, SiN, TiN, TaN, 또는 이들의 혼합물 중 적어도 하나를 포함하는, 방법.
  16. 제1항에 있어서, 상기 후처리는 어닐링, 플라즈마 처리, UV 처리, 또는 화학적 처리 중 적어도 하나이고; 상기 적층층의 강도는 상기 후처리에 의해 증가하는, 방법.
  17. 제16항에 있어서, 상기 열 어닐링은 850℃ 이하에서 수행되는, 방법.
  18. 제17항에 있어서, 상기 열 어닐링은 400℃ 이하에서 수행되는, 방법.
  19. 제1항에 있어서, 상기 제1층 및 상기 제2층을 포함하는 적층층은 스페이서층, 하드 마스크층, 갭 충진층, 또는 광학층 중 적어도 하나인, 방법.
KR1020230091398A 2022-07-19 2023-07-13 기판 처리 방법 KR20240011631A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202263390395P 2022-07-19 2022-07-19
US63/390,395 2022-07-19

Publications (1)

Publication Number Publication Date
KR20240011631A true KR20240011631A (ko) 2024-01-26

Family

ID=89577192

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020230091398A KR20240011631A (ko) 2022-07-19 2023-07-13 기판 처리 방법

Country Status (2)

Country Link
US (1) US20240026532A1 (ko)
KR (1) KR20240011631A (ko)

Also Published As

Publication number Publication date
US20240026532A1 (en) 2024-01-25

Similar Documents

Publication Publication Date Title
US20210035854A1 (en) Method of forming a structure using fluorine removal
US10950432B2 (en) Method of depositing thin film and method of manufacturing semiconductor device
EP1001459B1 (en) Integrated circuit comprising a capacitor and method
KR100705926B1 (ko) 반도체 소자의 캐패시터 제조방법
TW201947059A (zh) 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR100724568B1 (ko) 반도체 메모리 소자 및 그 제조방법
TWI759392B (zh) 製造半導體裝置的方法及形成介電層的方法
TWI737612B (zh) 用於均勻且共形之混成氧化鈦薄膜的沉積方法
US7498628B2 (en) Capacitor for a semiconductor device and manufacturing method thereof
CN114381708A (zh) 用于沉积含硅材料的沉积方法和设备
TWI538103B (zh) 半導體裝置及半導體裝置之製造方法
US20240026532A1 (en) Substrate processing method
GB2358283A (en) Capacitor for memory device with tantalum oxide/titanium oxide nitride dielectric layer
US20070264770A1 (en) Capacitor forming method
KR100717824B1 (ko) 캐패시터 및 그의 제조방법
KR20030043256A (ko) 반도체 소자 및 그 제조방법
KR100925028B1 (ko) 유전막 및 그 형성방법, 이를 이용한 반도체 소자의커패시터 및 그 형성방법
JPH06216122A (ja) 半導体装置の製造方法
KR100639886B1 (ko) 반도체 소자의 갭 필을 이용하는 유에스지 증착 방법
US20230163028A1 (en) Metal-on-metal deposition methods for filling a gap feature on a substrate surface
US20230160057A1 (en) Metal-on-metal deposition methods for filling a gap feature on a substrate surface
US20230343551A1 (en) Substrate processing method
TWI843623B (zh) 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR100598990B1 (ko) 반도체 소자의 층간 절연막 형성 방법
KR20010045566A (ko) 원자층 증착법을 이용한 박막 형성방법