KR20230122130A - 에피택셜 증착 및 고급 에피택셜 필름 애플리케이션들을위한 챔버 아키텍쳐 - Google Patents

에피택셜 증착 및 고급 에피택셜 필름 애플리케이션들을위한 챔버 아키텍쳐 Download PDF

Info

Publication number
KR20230122130A
KR20230122130A KR1020237024879A KR20237024879A KR20230122130A KR 20230122130 A KR20230122130 A KR 20230122130A KR 1020237024879 A KR1020237024879 A KR 1020237024879A KR 20237024879 A KR20237024879 A KR 20237024879A KR 20230122130 A KR20230122130 A KR 20230122130A
Authority
KR
South Korea
Prior art keywords
disposed
chamber
substrate
lamp module
ring
Prior art date
Application number
KR1020237024879A
Other languages
English (en)
Inventor
테츠야 이시카와
스와미나단 티. 스리니바산
카르틱 부펜드라 샤
알라 모라디안
만주나트 수반나
마티아스 바우어
피터 라이머
마이클 알. 라이스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/317,684 external-priority patent/US20220367216A1/en
Priority claimed from US17/317,565 external-priority patent/US20220364229A1/en
Priority claimed from US17/317,363 external-priority patent/US20220364261A1/en
Priority claimed from US17/317,342 external-priority patent/US12018372B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230122130A publication Critical patent/KR20230122130A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

본 개시내용은 일반적으로 반도체 기판들을 프로세싱하기 위한 프로세스 챔버에 관한 것이다. 프로세스 챔버는 상부 램프 어셈블리, 하부 램프 어셈블리, 기판 지지체, 기판 지지체와 상부 램프 어셈블리 사이에서 배치되는 상부 윈도우, 하부 램프 어셈블리와 기판 지지체 사이에서 배치되는 하부 윈도우, 주입 링, 및 베이스 링을 포함한다. 상부 램프 어셈블리 및 하부 램프 어셈블리 각각은 내부에서의 가열 램프들의 배치를 위한 수직으로 배향된 램프 어퍼쳐들을 포함한다. 주입 링은 자신을 관통하여 배치되는 가스 인젝터들을 포함하고 베이스 링은 기판 이송 통로, 하부 챔버 배기 통로, 및 하나 이상의 상부 챔버 배기 통로들을 포함한다. 가스 인젝터들은 기판 이송 통로 위에서 그리고 하부 챔버 배기 통로와 하나 이상의 상부 챔버 배기 통로들의 맞은편에서 배치된다.

Description

에피택셜 증착 및 고급 에피택셜 필름 애플리케이션들을 위한 챔버 아키텍쳐
[0001] 본 개시내용의 실시예들은 일반적으로 반도체 디바이스들을 제조하기 위한 장치 및 방법들에 관한 것이다. 더 구체적으로는, 본원에서 개시되는 장치는 반도체 프로세싱에서의 사용을 위한 챔버 본체 및 관련된 컴포넌트들에 관한 것이다.
[0002] 반도체 기판들은, 통합 디바이스들 및 마이크로디바이스들의 제조를 비롯하여, 다양한 애플리케이션들을 위해 프로세싱된다. 프로세싱 동안, 기판은 프로세스 챔버 내의 서셉터(susceptor) 상에서 포지셔닝된다. 서셉터는 중심 축을 중심으로 회전 가능한 지지 샤프트에 의해 지지된다. 기판 아래 및 위에 배치되는 복수의 가열 램프들과 같은 가열 소스에 대한 정확한 제어는 기판이 매우 엄격한 공차들 내에서 가열되는 것을 허용한다. 기판의 온도는 기판 상에 증착되는(deposited) 재료의 균일성에 영향을 끼칠 수 있다.
[0003] 프로세스 챔버 내에서 기판 온도들을 정밀하게 제어하는 능력은 스루풋 및 생산 수율들에 상당한 영향을 끼친다. 종래의 프로세스 챔버들은 개선된 생산 수율들 및 더 빠른 스루풋을 위한 점점 증가하는 수요들을 충족하면서 차세대 디바이스들을 제조하는 데 필요한 온도 제어 기준들을 충족하는 데 어려움을 갖는다.
[0004] 따라서, 개선된 프로세스 챔버들 및 관련된 컴포넌트들에 대한 요구가 존재한다.
[0005] 본원에서 설명되는 실시예들은 기판 프로세싱을 위한 프로세스 챔버를 포함한다. 프로세스 챔버는 상부 램프 모듈, 하부 램프 모듈, 기판 지지체, 및 상부 윈도우, 하부 윈도우, 및 챔버 본체 어셈블리를 포함한다. 기판 지지체는 상부 램프 모듈과 하부 램프 모듈 사이에서 배치된다. 상부 윈도우는 상부 램프 모듈과 기판 지지체 사이에서 배치된다. 하부 윈도우는 하부 램프 모듈과 기판 지지체 사이에서 배치된다. 챔버 본체 어셈블리는 상부 램프 모듈과 하부 램프 모듈 사이에서 배치되며 프로세스 볼륨의 일부를 형성한다. 챔버 본체 어셈블리는 챔버 본체 어셈블리를 통해 배치되는 기판 이송 통로를 포함한다. 하부 챔버 배기 통로가 기판 이송 통로 반대편에서 그리고 챔버 본체 어셈블리를 통해 배치된다. 하나 이상의 상부 챔버 배기 통로들이 챔버 본체 어셈블리를 통해 배치된다. 하나 이상의 상부 챔버 배기 통로들 각각은 하부 챔버 배기 통로 위에 배치되는 상부 챔버 배기 통로 개구를 갖는다. 하나 이상의 인젝터 통로들이 챔버 본체 어셈블리를 통해 그리고 기판 이송 통로 위에 배치된다.
[0006] 기판 프로세싱을 위한 프로세스 챔버의 다른 실시예는 상부 램프 모듈, 하부 램프 모듈, 기판 지지체, 상부 윈도우, 하부 윈도우, 및 챔버 본체 어셈블리를 포함한다. 상부 램프 모듈은 최상부 표면 및 저부 표면을 갖는 상부 모듈 본체를 포함한다. 복수의 램프 어퍼쳐들이 저부 표면으로부터 최상부 표면까지 배치된다. 기판 지지체는 상부 램프 모듈과 하부 램프 모듈 사이에서 배치된다. 상부 윈도우는 상부 램프 모듈과 기판 지지체 사이에서 배치된다. 하부 윈도우는 하부 램프 모듈과 기판 지지체 사이에서 배치된다. 챔버 본체 어셈블리가 상부 램프 모듈과 하부 램프 모듈 사이에서 배치되며 프로세스 볼륨의 일부를 형성한다. 챔버 본체 어셈블리는 챔버 본체 어셈블리를 통해 배치되는 기판 이송 통로를 포함한다. 하부 챔버 배기 통로가 기판 이송 통로 반대편에서 그리고 챔버 본체 어셈블리를 통해 배치된다. 하나 이상의 상부 챔버 배기 통로들이 챔버 본체 어셈블리를 통해 배치된다. 하나 이상의 상부 챔버 배기 통로들 각각은 하부 챔버 배기 통로 위에 배치되는 상부 챔버 배기 통로 개구를 갖는다. 하나 이상의 인젝터 통로들이 챔버 본체 어셈블리를 통해 그리고 기판 이송 통로 위에 배치된다.
[0007] 여전히 다른 실시예에서, 기판 프로세싱을 위한 프로세스 챔버는 상부 램프 모듈, 하부 램프 모듈, 기판 지지체, 상부 윈도우, 하부 윈도우, 및 챔버 본체 어셈블리를 포함한다. 상부 램프 모듈은 최상부 표면 및 저부 표면을 갖는 상부 모듈 본체를 포함한다. 복수의 램프 어퍼쳐들이 상부 램프 모듈의 저부 표면으로부터 최상부 표면을 향하여 배치된다. 기판 지지체는 상부 램프 모듈과 하부 램프 모듈 사이에서 배치된다. 상부 윈도우는 상부 램프 모듈과 기판 지지체 사이에서 배치된다. 하부 윈도우는 하부 램프 모듈과 기판 지지체 사이에서 배치된다. 챔버 본체 어셈블리가 상부 램프 모듈과 하부 램프 모듈 사이에서 배치되며 프로세스 볼륨의 일부를 형성한다. 챔버 본체 어셈블리는 자신을 관통하여 배치되는 기판 이송 통로를 포함한다. 하나 이상의 상부 챔버 배기 통로들이 챔버 본체 어셈블리를 통해 배치된다. 하나 이상의 상부 챔버 배기 통로들 각각은 프로세스 볼륨과 유체 연통하는 상부 챔버 배기 통로 개구를 갖는다. 하부 챔버 배기 통로는 챔버 본체 어셈블리를 통해 그리고 상부 챔버 배기 통로 개구들 아래에 배치된다. 하나 이상의 인젝터 통로들이 기판 이송 통로 위에 배치된다.
[0008] 그러므로 본 개시내용의 상기 기재된 피처들이 상세하게 이해될 수 있는 방식으로, 상기에서 간략하게 요약된 본 개시내용의 더 특정한 설명이 실시예들에 대한 참조에 의해 이루어질 수 있는데, 그들 중 일부는 첨부된 도면들에서 예시된다. 그러나, 첨부의 도면들은 단지 예시적인 실시예들을 예시하고 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안되며, 다른 동등하게 유효한 실시예들을 허용할 수 있다는 것을 유의해야 한다.
[0009] 도 1은, 본 개시내용의 실시예들에 따른, 프로세스 챔버의 개략적인 예시이다.
[0010] 도 2a는, 본 개시내용의 실시예들에 따른, 상부 램프 모듈의 개략적인 저면도이다.
[0011] 도 2b는, 본 개시내용의 실시예들에 따른, 도 2a의 상부 램프 모듈의 개략적인 평면도이다.
[0012] 도 2c는, 본 개시내용의 실시예들에 따른, 도 2a의 섹션 라인 2C--2C를 통해 취해진 상부 램프 모듈의 개략적인 단면도이다.
[0013] 도 3a는, 본 개시내용의 실시예들에 따른, 하부 램프 모듈의 개략적인 저면도이다.
[0014] 도 3b는, 본 개시내용의 실시예들에 따른, 도 3a의 하부 램프 모듈의 개략적인 평면도이다.
[0015] 도 3c는, 본 개시내용의 실시예들에 따른, 도 3a의 섹션 라인 3C--3C를 통해 취해진 하부 램프 모듈의 개략적인 단면도이다.
[0016] 도 4a는, 본 개시내용의 실시예들에 따른, 챔버 본체 어셈블리의 개략적인 단면 사시도이다.
[0017] 도 4b는, 본 개시내용의 실시예들에 따른, 다른 평면을 통해 취해진 도 4a의 챔버 본체 어셈블리의 개략적인 단면도이다.
[0018] 도 4c는, 본 개시내용의 실시예들에 따른, 베이스 링(base ring)의 개략적인 단면도이다.
[0019] 도 4d는, 본 개시내용의 실시예들에 따른, 도 4c의 베이스 링의 개략적인 평면도이다.
[0020] 도 4e는, 본 개시내용의 실시예들에 따른, 도 4c의 섹션 라인 4E--4E를 통해 취해진 베이스 링의 개략적인 단면도이다.
[0021] 도 5a는, 본 개시내용의 실시예들에 따른, 주입 링의 개략적인 단면도이다.
[0022] 도 5b는, 본 개시내용의 실시예들에 따른, 도 5a의 주입 링의 개략적인 평면도이다.
[0023] 도 6a는, 본 개시내용의 실시예들에 따른, 상부 라이너(liner)의 개략적인 단면도이다.
[0024] 도 6b는, 본 개시내용의 실시예들에 따른, 도 6a의 상부 라이너의 개략적인 평면도이다.
[0025] 도 7은, 본 개시내용의 실시예들에 따른, 하부 라이너의 개략적인 단면도이다.
[0026] 도 8a는, 본 개시내용의 실시예들에 따른, 하부 히터의 개략적인 단면도이다.
[0027] 도 8b는, 본 개시내용의 실시예들에 따른, 도 8a의 하부 히터의 개략적인 평면도이다.
[0028] 도 9는, 본 개시내용의 실시예들에 따른, 서셉터 및 회전 어셈블리의 개략적인 단면도이다.
[0029] 도 10a는, 본 개시내용의 실시예들에 따른, 리프트 암 어셈블리(lift arm assembly)를 예시하는 도 1의 증착 챔버의 다른 개략적인 단면도이다.
[0030] 도 10b는, 본 개시내용의 실시예들에 따른, 도 10a의 리프트 암 어셈블리의 개략적인 평면도이다.
[0031] 도 10c는, 본 개시내용의 실시예들에 따른, 도 10a의 섹션 라인 10C--10C를 따라 취해진 리프트 암 어셈블리의 개략적인 측단면도이다.
[0032] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 하나의 실시예의 엘리먼트들 및 피처들은 추가적인 기재없이 다른 실시예들에서 유익하게 통합될 수 있다는 것이 고려된다.
[0033] 본 개시내용은 일반적으로 반도체 프로세싱을 위한 장치에 관한 것이다. 더 구체적으로, 본원에서 개시되는 장치는 프로세스 챔버 및 그것의 컴포넌트들에 관한 것이다. 프로세스 챔버는 열 증착 챔버, 예컨대 에피택셜 증착 챔버로서 구성된다. 본원에서 개시되는 프로세스 챔버는 개선된 프로세스 가스 흐름 및 기판 가열을 가능하게 한다. 프로세스 챔버는 종래의 챔버들과 비교하여 더 저렴한 컴포넌트들을 가지며, 따라서 챔버 본체의 일부가 마모된 이후 또는 챔버 본체의 일부에 대한 개선된 설계가 이용 가능할 때 프로세스 챔버의 일부들의 교체에 대한 비용을 감소시킨다. 개시된 프로세스 챔버는, 더 균일한 열 제어 및 챔버 볼륨을 통한 개선된 프로세스 가스 흐름을 비롯하여, 종래의 도전 과제들을 극복하는데, 이것은 증가된 프로세스 수율들을 갖는 더 나은 스루풋을 가능하게 한다.
[0034] 프로세스 챔버의 컴포넌트들이 본원에서 또한 개시된다. 본원에서 개시되는 컴포넌트들은 주입 링, 베이스 링, 상부 램프 모듈, 하부 램프 모듈, 서셉터, 회전 어셈블리, 상부 라이너, 하부 라이너, 및 하나 이상의 가열 엘리먼트들을 포함한다. 프로세스 챔버 컴포넌트들 각각은 함께 사용되어 하나 이상의 프로세스 가스들이 기판의 표면을 가로질러 수평으로 흐르게 한다. 프로세스 챔버 컴포넌트들은 함께 커플링되어 기판이, 예를 들면, 에피택셜 증착에 의해 프로세싱되는 프로세스 볼륨을 형성한다.
[0035] 도 1은, 본 개시내용의 실시예들에 따른, 프로세스 챔버(100)의 개략적인 예시이다. 프로세스 챔버(100)는 에피택셜 증착 챔버이고 클러스터 도구(도시되지 않음)의 일부로서 사용될 수 있다. 프로세스 챔버(100)는 기판, 예컨대 기판(150) 상에 에피택셜 필름을 성장시키기 위해 활용된다. 프로세스 챔버(100)는 프로세싱 동안 기판(150)의 최상부 표면을 가로지르는 프리커서들의 교차 흐름을 생성한다.
[0036] 프로세스 챔버(100)는 상부 램프 모듈(102), 하부 램프 모듈(104), 챔버 본체 어셈블리(106), 서셉터 어셈블리(124), 하부 윈도우(120), 및 상부 윈도우(122)를 포함한다. 서셉터 어셈블리(124)는 서셉터 어셈블리(124)와 하부 램프 모듈(104) 사이에서 배치된다. 하부 윈도우(120)는 서셉터 어셈블리(124)와 하부 램프 모듈(104) 사이에서 배치된다. 상부 윈도우(122)는 서셉터 어셈블리(124)와 상부 램프 모듈(102) 사이에서 배치된다.
[0037] 상부 램프 모듈(102)은 서셉터 어셈블리(124) 위에 배치되고 기판, 예컨대 서셉터 어셈블리(124) 상에 배치되는 기판(150)을 가열하도록 구성된다. 상부 램프 모듈(102)은 상부 모듈 본체(126) 및 상부 모듈 본체(126)를 통해 배치되는 복수의 램프 어퍼쳐들(128)을 포함한다. 복수의 램프 어퍼쳐들(128) 각각은 내부에 배치되는 램프(130)를 포함한다. 램프들(130) 각각은 램프 베이스(129)에 커플링된다. 램프 베이스들(129) 각각은 램프들(130) 중 하나를 지지하고 램프들(130) 각각을 전력 소스(도시되지 않음)에 전기적으로 커플링한다. 램프들(129) 각각은 어퍼쳐들(128) 내에서 일반적으로 수직인 방위에서 고정된다. 본원에서 설명되는 바와 같이, 램프들(130)의 일반적으로 수직인 방위는 서셉터(124)의 기판 지지 표면에 대략 수직이다. 램프들(130)의 수직 방위는 반드시 기판 지지 표면에 수직일 필요는 없지만, 그러나 기판 지지 표면(906)(도 9)과 관련하여 약 30 도 내지 약 150 도의 각도, 예컨대, 기판 지지 표면(906)과 관련하여 약 45 도 내지 약 135 도의 각도, 예컨대 기판 지지 표면(906)과 관련하여 약 70 도 내지 약 110 도의 각도에 또한 있을 수 있다.
[0038] 계속해서 도 1을 참조하면, 상부 램프 모듈(102)은 가열된 가스 통로(136) 및 파이로미터 통로(pyrometer passage)(138)를 더 포함한다. 가열된 가스 공급원(132)이 가열된 가스 통로(136)에 유체 흐름 가능하게 커플링된다. 가열된 가스 통로(136)는 상부 모듈 본체(126)의 최상부 표면으로부터 저부 표면까지 연장된다. 가열된 가스 통로(136)는 가열된 가스, 예컨대 가열된 공기 또는 가열된 불활성 가스가, 가열된 가스 공급원(132)으로부터 상부 윈도우(122)의 최상부 표면으로 유동하여, 상부 윈도우(122)를 대류적으로 가열하는 것을 허용하도록 구성된다. 가열된 가스는 상부 램프 모듈(102)과 상부 윈도우(122) 사이에서 정의되는 상부 플리넘(plenum)(180)으로 공급된다. 가열된 가스 배기 통로(142)가 상부 모듈 본체(126)를 통해 또한 배치된다. 가열된 가스 배기 통로(142)는 가열된 배기 펌프(140)에 커플링된다. 가열된 배기 펌프(140)는 상부 플리넘(180)으로부터 가스를 제거한다. 가열된 배기 펌프(140)는 프로세스 볼륨에 대한 배기 펌프로서 또한 기능할 수 있다. 가열된 가스 배기 통로(142)는, 일부 실시예들에서, 상부 모듈 본체(126)의 가장자리를 따라 형성되는 홈(groove)일 수 있거나 또는 상부 플리넘(180)과 유체 연통하는 별개의 컴포넌트를 통해 형성될 수 있다.
[0039] 파이로미터 통로(138)는 파이로미터(134), 예컨대 스캐닝 파이로미터가 기판(150)의 온도를 측정하는 것을 가능하게 하기 위해 상부 모듈 본체(126)를 통해 배치된다. 파이로미터(134)는 파이로미터 통로(138)에 인접한 상부 모듈 본체(126)의 최상부(top) 상에 배치된다. 파이로미터 통로(138)는 상부 모듈 본체(126)의 최상부 표면으로부터 상부 윈도우(122)에 인접한 저부 표면까지 연장된다.
[0040] 하부 램프 모듈(104)은 서셉터 어셈블리(124) 아래에 배치되고 서셉터 어셈블리(124) 상에 배치되는 기판(150)의 저부 면을 가열하도록 구성된다. 하부 램프 모듈(104)은 하부 모듈 본체(182) 및 하부 모듈 본체(182)를 통해 배치되는 복수의 램프 어퍼쳐들(186)을 포함한다. 복수의 램프 어퍼쳐들(186) 각각은 내부에 배치되는 램프(188)를 포함한다. 램프들(188) 각각은 일반적으로 수직인 방위에서 배치되고 램프 베이스(184)에 커플링된다. 램프 베이스들(184) 각각은 램프들(188) 중 하나를 지지하고 램프들(188) 각각을 전력 소스(도시되지 않음)에 전기적으로 커플링한다. 본원에서 설명되는 바와 같이, 램프들(188)의 일반적으로 수직인 방위는 서셉터(124)의 기판 지지 표면(906)(도 9)과 관련하여 설명된다. 일반적으로 수직인 방위는 반드시 기판 지지 표면(906)에 수직일 필요는 없지만, 그러나 기판 지지 표면(906)과 관련하여 약 30 도 내지 약 150 도의 각도, 예컨대, 기판 지지 표면(906)과 관련하여 약 45 도 내지 약 135 도의 각도, 예컨대 기판 지지 표면(906)과 관련하여 약 70 도 내지 약 110 도의 각도에 또한 있을 수 있다.
[0041] 하부 램프 모듈(104)은 서셉터 샤프트 통로(195) 및 파이로미터 통로(192)를 더 포함한다. 서셉터(124)의 지지 샤프트(904)(도 9)는 서셉터 샤프트 통로(195)를 통해 배치된다. 서셉터 샤프트 통로(195)는 하부 모듈 본체(182)의 중앙을 통해 배치된다. 서셉터 샤프트 통로(195)는 서셉터(124)의 지지 샤프트(904) 및 하부 윈도우(120)의 일부가 하부 모듈 본체(182)를 통해 통과하는 것을 허용하도록 구성된다.
[0042] 계속해서 도 1을 참조하면, 파이로미터 통로(192)는 파이로미터(190), 예컨대 스캐닝 파이로미터가, 기판(150)의 저부 표면 또는 기판 지지체의 저부 표면의 온도를 측정하는 것을 가능하게 하기 위해 하부 모듈 본체(182)를 통해 배치된다. 파이로미터(190)는 파이로미터 통로(192)에 인접한 하부 모듈 본체(182) 아래에 배치된다. 파이로미터 통로(192)는 하부 모듈 본체(182)의 저부 표면으로부터 하부 윈도우(120)에 인접한 하부 모듈 본체(182)의 최상부 표면까지 배치된다.
[0043] 챔버 본체 어셈블리(106)는 주입 링(116) 및 베이스 링(114)을 포함한다. 주입 링(116)은 베이스 링(114)의 최상부 상에 배치된다. 주입 링(116)은 자신을 관통하여 배치되는 하나 이상의 가스 인젝터들(108)을 포함한다. 베이스 링(114)은 자신을 관통하여 배치되는 기판 이송 통로(162), 하나 이상의 상부 챔버 배기 통로들(426)(도 4e), 및 하부 챔버 배기 통로(164)를 포함한다. 기판 이송 통로(162)는 하나 이상의 상부 챔버 배기 통로들(426) 및 하부 챔버 배기 통로(164) 반대편에서 배치된다. 하나 이상의 상부 챔버 배기 통로들(426) 각각은 배기 모듈(422)에 커플링된다.
[0044] 상부 챔버(111)는 기판(150)이 프로세싱되고 프로세스 가스들이 주입되는 프로세스 볼륨(110)의 부분이다. 하부 챔버(113)는 기판(150)이 서셉터 어셈블리(124) 상으로 로딩되는 프로세스 볼륨(110)의 부분이다. 상부 챔버(111)는, 서셉터 어셈블리(124)가 프로세싱 포지션에 있는 동안, 서셉터 어셈블리(124)의 서셉터(902)(도 9) 위의 볼륨으로서 또한 이해될 수 있다. 하부 챔버(113)는, 서셉터 어셈블리(124)가 프로세싱 포지션에 있는 동안, 서셉터 어셈블리(124)의 서셉터(902)(도 9) 아래의 볼륨인 것으로 이해된다. 프로세싱 포지션(도시되지 않음)은 기판(150)이 수평 평면(125)과 같은 높이에 또는 그 위에 배치되는 포지션이다. 수평 평면(125)은 주입 링(116) 및 베이스 링(114)이 통과하여 서로 접촉하는 평면이다.
[0045] 하나 이상의 상부 챔버 배기 통로들(426) 및 하부 챔버 배기 통로(164)는 하나 이상의 배기 펌프들(도시되지 않음)에 커플링된다. 하나 이상의 배기 펌프들은 하나 이상의 상부 챔버 배기 통로들(426) 및 하부 챔버 배기 통로(164)를 통해 프로세스 볼륨(110)으로부터 배기 가스들을 제거하도록 구성된다. 일부 실시예들에서, 상부 챔버 배기 통로들(426) 각각 및 하부 챔버 배기 통로(164)는 복수의 도관들을 사용하여 단일의 배기 펌프에 커플링된다. 다른 실시예에서, 상부 챔버 배기 통로들(426)은 하부 챔버 배기 통로(164)와는 상이한 배기 펌프에 커플링된다.
[0046] 기판 이송 통로(162)는 베이스 링(114)을 통해 형성되며, 클러스터 도구(도시되지 않음)의 이송 챔버로부터 기판이 자신을 관통하여 통과하는 것을 허용하도록 구성된다. 클러스터 도구(도시되지 않음)에 대한 프로세스 챔버(100)의 부착을 가능하게 하기 위해 플랜지(168)가 베이스 링(114)의 한쪽 단부에 부착된다. 기판 이송 통로(162)는 플랜지(168)를 통과한다.
[0047] 상부 냉각 링(118) 및 하부 냉각 링(112)이 챔버 본체 어셈블리(106)의 반대 측들 상에서 배치된다. 상부 냉각 링(118)은 주입 링(116)의 최상부 상에 배치되고 주입 링(116)을 냉각하도록 구성된다. 하부 냉각 링(112)은 베이스 링(114) 아래에 배치되며 베이스 링(114)을 냉각시키도록 구성된다. 상부 냉각 링(118)은 자신을 관통하여 배치되는 냉각제 통로(146)를 포함한다. 냉각제 통로(146)를 통해 순환되는 냉각제는 일부 실시예들에서 물 또는 오일을 포함할 수 있다. 하부 냉각 링(112)은 자신을 관통하여 배치되는 냉각제 통로(148)를 포함한다. 냉각제 통로(148)를 통해 순환되는 냉각제는 상부 냉각 링(118)의 냉각제 통로(146)를 통해 순환되는 냉각제와 유사하다. 일부 실시예들에서, 상부 냉각 링(118) 및 하부 냉각 링(112)은 주입 링(116) 및 베이스 링(114)을 제자리에 고정하는 것을 돕는다. 상부 냉각 링(118)은 상부 램프 모듈(102)을 부분적으로 지지할 수 있고, 한편, 하부 냉각 링(112)은 베이스 링(114) 및 주입 링(116)을 부분적으로 지지할 수 있다.
[0048] 상부 냉각 링(118) 및 하부 냉각 링(112)의 사용은, 종래의 링들에서 존재하는 바와 같은 주입 링(116) 및 베이스 링(114)을 통해 배치되는 추가적인 냉각 채널들에 대한 필요 없이, 주입 링(116) 및 베이스 링(114)의 온도를 감소시킨다. 이것은, 상부 냉각 링(118) 및 하부 냉각 링(112)보다 더 자주 교체되는 주입 링(116) 및 베이스 링(114)의 생산의 비용을 감소시킨다. 일부 실시예들에서, 주입 링(116)은 자신을 관통하여 배치되는 추가적인 냉각제 통로(521)(도 5a)를 구비할 수 있다.
[0049] 주입 링(116)의 하나 이상의 가스 인젝터들(108)은 주입 링(116) 내의 하나 이상의 개구들을 통해 배치된다. 본원에서 설명되는 실시예들에서, 주입 링(116)을 통해 배치되는 복수의 가스 인젝터들(108)이 있다. 하나 이상의 가스 인젝터들(108)은 하나 이상의 가스 유출구(gas outlet)들(178)을 통해 프로세스 볼륨(110)에 프로세스 가스들을 공급하도록 구성된다. 하나 이상의 가스 인젝터들(108) 중 단일의 인젝터가 도 1에서 도시되어 있다. 가스 인젝터(108)는, 하나 이상의 가스 유출구들(178)이 서셉터(124) 및 기판(150)을 향하여 아래쪽으로 지향되도록 배치되는 것으로 도시되어 있다. 가스 인젝터(108)의 하향 각도는 수평으로부터 약 5 도보다 더 큰, 예컨대 수평으로부터 약 10 도보다 더 큰 각도일 수 있다. 하나 이상의 가스 유출구들(178) 각각은 하나 이상의 프로세스 가스 공급원들, 예컨대 제1 프로세스 가스 공급원(174) 또는 제2 프로세스 가스 공급원(176)에 유체 흐름 가능하게 커플링된다. 일부 실시예들에서, 제1 프로세스 가스 공급원(174)만이 활용된다. 제1 프로세스 가스 공급원(174) 및 제2 프로세스 가스 공급원(176) 둘 모두가 활용되는 실시예에서, 각각의 가스 인젝터(108) 내에 두 개의 가스 유출구들(178)이 있다. 두 개의 가스 유출구들(178)은 적층된 양식으로 배치되고 가스들이 프로세스 볼륨(110)에 진입한 이후에만 가스들의 혼합을 가능하게 한다. 일부 실시예들에서, 제1 프로세스 가스 공급원(174)은 프로세스 가스이고, 한편, 제2 프로세스 가스 공급원(176)은 세정 가스이다. 다른 실시예들에서, 제1 프로세스 가스 공급원(174) 및 제2 프로세스 가스 공급원(176) 둘 모두는 프로세스 가스들이다.
[0050] 상부 윈도우(122)는 주입 링(116)과 상부 램프 모듈(102) 사이에서 배치된다. 상부 윈도우(122)는 광학적으로 투명한 윈도우이고, 그 결과, 상부 램프 모듈(102)에 의해 생성되는 방사 에너지는 그것을 통과할 수 있다. 일부 실시예들에서, 상부 윈도우(122)는 석영 또는 유리 재료로 형성된다. 상부 윈도우(122)는 돔 형상이고 일부 실시예들에서 상부 돔으로서 설명된다. 상부 윈도우(122)의 외부 가장자리들은 주변 지지체들(172)을 형성한다. 주변 지지체들(172)은 상부 윈도우(122)의 중앙 부분보다 더 두껍다. 주변 지지체들(172)은 주입 링(116)의 최상부 상에 배치된다. 주변 지지체들(172)은 상부 윈도우(122)의 중앙 부분에 연결되고 상부 윈도우(122)의 중앙 부분의 광학적으로 투명한 재료로 형성된다.
[0051] 하부 윈도우(120)는 베이스 링(114)과 하부 램프 모듈(104) 사이에서 배치된다. 하부 윈도우(120)는 광학적으로 투명한 윈도우이고, 그 결과, 하부 램프 모듈(104)에 의해 생성되는 방사 에너지는 그것을 통과할 수 있다. 일부 실시예들에서, 하부 윈도우(120)는 석영 또는 유리 재료로 형성된다. 하부 윈도우(120)는 돔 형상이고 일부 실시예들에서 하부 돔으로서 설명된다. 하부 윈도우(120)의 외부 가장자리들은 주변 지지체들(170)을 형성한다. 주변 지지체들(170)은 하부 윈도우(120)의 중앙 부분보다 더 두껍다. 주변 지지체들(170)은 하부 윈도우(120)의 중앙 부분에 연결되며, 동일한 광학적으로 투명한 재료로 형성된다.
[0052] 챔버 본체 어셈블리(106) 내부에 그리고 프로세스 볼륨(110) 내에서 다양한 라이너들 및 히터들이 배치된다. 도 1에서 도시되는 바와 같이, 챔버 본체 어셈블리(106) 내에 배치되는 상부 라이너(156) 및 하부 라이너(154)가 있다. 상부 라이너(156)는 하부 라이너(154) 위에 그리고 주입 링(116)의 내부에 배치된다. 하부 라이너(154)는 베이스 링(114)의 내부에 배치된다. 상부 라이너(156) 및 하부 라이너(154)는, 프로세스 볼륨에 있는 동안, 함께 커플링되도록 구성된다. 상부 라이너(156) 및 하부 라이너(154)는 프로세스 볼륨 내의 프로세스 가스들로부터 베이스 링(114) 및 주입 링(116)의 내부 표면들을 차폐하도록 구성된다. 상부 라이너(156) 및 하부 라이너(154)는 프로세스 볼륨으로부터 주입 링(116) 및 베이스 링(114)으로의 열 손실을 감소시키도록 추가로 역할을 한다. 감소된 열 손실은 기판(150)의 가열 균일성을 개선하고 프로세싱 동안 기판(150) 상에서의 더욱 균일한 증착을 가능하게 한다.
[0053] 상부 히터(158) 및 하부 히터(152)는 챔버 본체 어셈블리(106) 및 프로세스 볼륨(110) 내에서 또한 배치된다. 도 1에서 도시되는 바와 같이, 상부 히터(158)는 상부 라이너(156)와 주입 링(116) 사이에서 배치되고, 한편, 하부 히터(152)는 하부 라이너(154)와 베이스 링(114) 사이에서 배치된다. 상부 히터(158) 및 하부 히터(152) 둘 모두는, 기판(150)이 프로세스 챔버(100) 내에 있는 동안 기판(150)의 더욱 균일한 가열을 가능하게 하기 위해, 챔버 본체 어셈블리(106)의 내부에 배치된다. 상부 히터(158) 및 하부 히터(152)는 챔버 본체 어셈블리(106)의 벽들로의 열 손실을 감소시키고 프로세스 볼륨(110)을 형성하는 표면들 주위에서 더욱 균일한 온도 분포를 생성한다. 상부 라이너(156), 하부 라이너(154), 상부 히터(158), 및 하부 히터(152) 각각은 프로세스 볼륨(110) 내에 배치되는 플랜지(160)에 커플링된다. 플랜지(160)는 상부 라이너(156), 하부 라이너(154), 상부히터(158), 및 하부히터(152) 각각의 고정을 가능하게 하기 위해 주입 링(116)의 일부와 베이스 링(114) 사이에서 고정되도록 구성되는 수평 평면이다. 본원에서 설명되는 실시예들에서, 상부 히터(158) 및 하부 히터(152) 둘 모두는 가열된 유체가 자신들을 관통하여 흐르게 되도록 구성될 수 있거나 또는 저항성 히터들일 수 있다. 상부 히터(158) 및 하부 히터(152)는 주입 링(116) 및 베이스 링(114)을 통과하는 개구들을 수용하도록 추가로 성형된다.
[0054] 서셉터 어셈블리(124)는 프로세스 볼륨(110) 내에 배치되고 프로세싱 동안 기판(150)을 지지하도록 구성된다. 서셉터 어셈블리(124)는 기판(150)을 지지하기 위한 평면의 상부 표면 및 하부 윈도우(120) 및 하부 램프 모듈(104)의 일부를 통해 연장되는 샤프트를 포함한다. 서셉터 어셈블리(124)는 이동 어셈블리(194)에 커플링된다. 이동 어셈블리(194)는 회전 어셈블리(196) 및 리프트 어셈블리(198)를 포함한다. 회전 어셈블리(196)는 서셉터 어셈블리(124)를 중심 축(A)을 중심으로 회전시키도록 구성되고, 한편, 리프트 어셈블리(198)는 서셉터 어셈블리(124)를 중심 축(A)을 따라 프로세스 볼륨(110) 내에서 선형적으로 이동시키도록 구성된다.
[0055] 도 2a는, 본 개시내용의 실시예들에 따른, 상부 램프 모듈(102)의 개략적인 저면도이다. 상부 램프 모듈(102)의 상부 모듈 본체(126)는 저부 표면(202), 최상부 표면(214)(도 2b) 및 저부 표면(202)의 외부 가장자리 주위에 배치되는 지지 리지(ridge)(204)를 더 포함한다. 지지 리지(204)는, 저부 표면(202)의 나머지와 상부 윈도우(122) 사이에서 분리를 제공하면서, 상부 윈도우(122)(도 1)의 일부와 접촉하는 것에 의해 상부 모듈 본체(126)를 지지하도록 구성된다. 저부 표면(202)의 외측 상에 배치되는 지지 리지(204)는, 상부 윈도우(122)의 중앙 부분에 의해 단독으로 지지되는 대신, 챔버 본체 어셈블리(106)의 한 섹션 또는 주변 지지체들(172) 주위에 상부 모듈 본체(126)의 중량을 분배한다. 이것은 상부 윈도우(122)가 파손될 가능성을 감소시키고 상부 플리넘(180)을 형성한다. 상부 플리넘(180)은 상부 윈도우(122)가 가스 소스, 예컨대 가열된 가스 소스(132)를 사용하여 가열되는 것 또는 냉각되는 것을 가능하게 한다. 저부 표면(202)은 굴곡된 표면이며 상부 윈도우(122)의 중앙 부분과 유사한 형상을 갖는다. 저부 표면(202)은 오목한 표면을 갖는다.
[0056] 램프 어퍼쳐들(128) 각각은 내부 벽(206)을 포함한다. 내부 벽들(206) 각각은 저부 표면(202)에서 램프 어퍼쳐들(128)에 대한 원형 또는 타원형 개구를 형성한다. 내부 벽들(206) 각각은 램프들(130)(도 1)로부터의 방사 에너지의 집속을 가능하게 하게끔 그리고 기판(150)에 걸쳐 제어된 에너지 분포를 허용하게끔 방사 에너지를 반사하도록 구성된다. 본원에서 설명되는 실시예들에서, 내부 벽들(206) 각각은 굴곡되고, 그 결과, 내부 벽들(206)은 타원의 일부들을 형성한다. 다른 실시예들에서, 내부 벽들(206)은 수직 벽들이다. 내부 벽들(206)은 약 700 nm 내지 약 15000 nm, 예컨대, 약 700 nm 내지 약 1000 nm 또는 약 1000 nm 내지 약 15000 nm 사이의 파장들에 대해 약 90 %보다 더 큰, 예컨대, 약 98 %보다 더 큰 반사율을 갖는다. 내부 벽들(206)은 그 상에 배치되는 반사성 코팅, 예컨대 금, 연마된 알루미늄, 또는 적외선 파장들에 대해 높은 반사율을 갖는 다른 연마된 재료들의 코팅을 포함할 수 있다. 일부 실시예들에서, 상부 모듈 본체(126)는 반사성 재료, 예컨대 알루미늄 또는 강철로 형성된다. 일부 실시예들에서, 상부 모듈 본체(126)는 제1 재료, 예컨대 알루미늄 또는 강철로부터 형성되고, 제2 재료로 도금된다. 제2 재료는 구리, 니켈, 황동, 청동, 은, 금, 알루미늄, 또는 이들의 합금 중 임의의 하나일 수 있다. 제2 재료는 반사율을 증가시키기 위해 연마될 수 있다. 저부 표면(202)은 일부 실시예들에서 또한 반사성이다. 저부 표면(202)은 약 700 nm 내지 약 15000 nm, 예컨대, 약 700 nm 내지 약 1000 nm 또는 약 1000 nm 내지 약 15000 nm 사이의 파장들에 대해 약 90 %보다 더 큰, 예컨대, 약 98 %보다 더 큰 반사율을 가질 수 있다. 저부 표면(202)은 내부 벽들(206)과 유사한 재료로부터 제조되거나 또는 그 유사한 재료로 코팅된다.
[0057] 내부 벽들(206)은 상부 모듈 본체(126)를 통해 수직으로 연장되고, 그 결과 내부 벽들(206)은 저부 표면(202)으로부터 멀어지게 그리고 최상부 표면(214)을 향해 연장된다. 내부 벽들(206) 및 따라서 램프 어퍼쳐들(128)의 일반적으로 수직인 방위는 기판 상에서 방사 에너지의 더욱 집속된 분포를 가능하게 한다. 램프 어퍼쳐들(128)의 일반적으로 수직인 방위는 상부 모듈 본체(126)에 의해 흡수되는 방사 에너지를 추가로 감소시킨다. 내부 벽들(206)은 회전 타원체의 일부를 형성한다. 램프 어퍼쳐들(128) 각각은 내부 벽들(206)이 중심으로 하여 형성되는 중심 축을 포함한다. 램프 어퍼쳐들(128) 각각을 통과하는 중심 축은 상부 램프 모듈(102)의 저부 표면(202) 아래에서 공통의 교차 포인트를 가지며, 그 결과, 램프 어퍼쳐들(128) 각각은 중심 축(A)을 향하여 안쪽으로 향한다.
[0058] 본원에서 도시되는 바와 같이, 파이로미터 통로(138)는 상부 모듈 본체(126)를 통해 배치되는 슬릿이다. 파이로미터 통로(138)는 저부 표면(202)에서 제1 길이(L1)를, 그리고 최상부 표면(214)(도 2b)에서 제2 길이(L2)를 갖는다. 제1 길이(L1)는 제2 길이(L2)보다 더 길다. 제1 길이(L1)는, 스캐닝 파이로미터, 예컨대 파이로미터(134)에 의한 기판(150)의 최상부의 전체 스캐닝을 허용하면서, 최상부 표면(214)에서 개구를 감소시키기 위해 제2 길이(L2)보다 더 길다. 가열된 가스 통로(136)는 상부 모듈 본체(126)의 중앙을 통해 배치된다.
[0059] 도 2b는 도 2a의 상부 램프 모듈(102)의 개략적인 평면도이다. 도 2b에서 도시되는 바와 같이, 복수의 램프 어퍼쳐들(128) 각각은 램프 베이스 지지체(212) 및 램프 베이스 지지체들(212) 각각을 통해 배치되는 전구 개구(bulb opening)(210)를 더 포함한다. 전구 개구들(210)은 램프 베이스 지지체들(212) 및 반사성 내부 벽들(206)을 연결한다. 램프 베이스 지지체들(212)은 전구 개구들(210) 주위에 배치되는 계단식으로 형성된 표면(stepped surface)들이다. 각각의 램프 베이스 지지체(212)는 중심 보어(center bore)(211) 및 중심 보어를 둘러싸는 원호(arc) 형상의 리세스(recess)(213)를 포함한다. 램프 베이스 지지체들(212)은 램프 베이스(129)를 지지하도록 구성된다. 전구 개구들(210)은 램프 베이스 지지체들(212)의 저부 표면(215)을 통해 배치되는 원형 개구들이다. 전구 개구들(210)은 램프들(130)의 전구가 자신을 관통하여 통과하는 것을 허용하도록 사이즈가 조정된다.
[0060] 상부 램프 모듈(102)의 최상부 표면(214)은 융기된 부분(raised portion)(216)을 포함한다. 융기된 부분(216)은 최상부 표면(214)의 외부 부분으로부터 약간 융기된다. 융기된 부분(216)은 상부 램프 모듈(102)의 구조적 강도를 증가시키고 램프들(130) 및 측정 도구들, 예컨대 파이로미터(134)의 추가를 통해 상부 램프 모듈(102)의 편향을 감소시킨다.
[0061] 도 2c는 섹션 라인 2C--2C를 통한 도 2a 및 도 2b의 상부 램프 모듈의 개략적인 단면도이다. 상부 모듈 본체(126)는 중심 축(A)을 중심으로 한다. 반사성 내부 벽들(206) 각각은 전구로부터의 램프 어퍼쳐들(128) 주위의 광을 반사하도록 그리고 내부 벽들(206)에 의해 형성되는 개구(217)를 통해 기판(150)(도 1)을 향하여 광을 지향시키도록 구성된다. 개구(217)는 내부 벽들(206)과 저부 표면(202)의 교선에서 배치된다. 내부 벽(206)의 벽들 및 램프 어퍼쳐들(128) 중 하나의 어퍼쳐의 개구(217)는 램프 어퍼쳐 축(E)을 둘러싸고 있다. 램프 개구 축(E)은 램프 어퍼쳐(128)를 통과하는 중심 라인이고 중심 축(A)과 관련하여 어떤 각도(φ)에서 배치된다. 각도(φ)는 약 45 도 미만, 예컨대 약 30 도 미만, 예컨대 약 20 도 미만이다. 램프 어퍼쳐들(128) 각각은 유사한 램프 어퍼쳐 축(E)을 포함하고 중심 축(A)과 관련하여 어떤 각도(φ)에서 배치된다. 모든 램프 어퍼쳐 축들(E)이 동일한 각도(φ)를 갖는 것은 아니지만, 그러나 상기에서 설명되는 각도(φ) 범위 내에서 기울어진다.
[0062] 개구들(217) 각각은 저부 표면(202)에서 제1 직경(D1)을 갖는다. 제1 직경(D1)은 약 10 mm 내지 약 50 mm, 예컨대 약 20 mm 내지 약 40 mm이다. 제1 직경(D1)은 방사 에너지의 분포 및 복수의 램프 어퍼쳐들(128) 각각을 떠나는 방사 에너지의 초점을 제어하도록 구성된다. 전구 개구들(210) 각각은 제2 직경(D2)을 갖는다. 램프 어퍼쳐 축(E)은 전구 개구들(210)의 중심을 유사하게 통과하고, 그 결과, 개구(217) 및 전구 개구(210)는 램프 어퍼쳐 축(E)을 중심으로 동심이다(concentric). 제2 직경(D2)은 약 5 mm 내지 약 40 mm, 예컨대 약 10 mm 내지 약 30 mm이다. 제2 직경(D2)은 램프들(130) 중 하나의 램프의 전구가 자신을 관통하여 통과하는 것을 허용할 만큼 충분히 크지만, 그러나 전구 개구들(210)을 통한 열 손실을 감소시킬 만큼 충분히 작다. 일부 실시예들에서, 제1 직경(D1)의 사이즈 대 제2 직경(D2)의 사이즈의 비율은 약 2:1 내지 약 5:4, 예컨대 약 2:1 내지 약 4:3, 예컨대 약 2:1 내지 약 3:2이다. 제1 직경(D1) 대 제2 직경(D2)의 비율은 상부 모듈 본체(126) 아래에 배치되는 기판 상에 소망되는 에너지 분포를 생성하도록 구성된다. 일부 실시예들에서, 램프들(130) 각각의 전구의 최대 직경은 제2 직경(D2)보다 1 mm 미만 더 작다.
[0063] 복수의 램프 어퍼쳐들(128)은 별개의 구역들에서 배치된다. 본원에서 도시되는 바와 같이, 복수의 램프 어퍼쳐들(128)은 세 개의 구역들에서 배치된다. 세 개의 구역들 각각은 대략적으로 파이 형상일(pie-shaped) 수 있고, 구역들 각각은 원의 부채꼴을 형성한다. 각각의 부채꼴은 램프 어퍼쳐들(128)의 그룹들 중 하나를 포함한다. 각각의 부채꼴은 상부 램프 모듈(102)의 약 120 도를 포괄한다. 각각의 구역은 약 5-10 개의 램프 어퍼쳐들(128), 예컨대 부채꼴 내에서 약 6-8 개의 램프 어퍼쳐들(128)을 포함한다. 구역들 각각은 기판의 상이한 부분들을 가열하도록 배열된다. 복수의 램프 어퍼쳐들(128)의 구역들 각각은 램프 어퍼쳐들(128)의 내부 서브세트 및 램프 어퍼쳐들(128)의 외부 서브세트를 포함한다. 램프 어퍼쳐들(128)의 내부 서브세트 내에는 다수의 램프 어퍼쳐들(128)이 있다. 램프 어퍼쳐들(128)의 내부 서브세트 내의 램프 어퍼쳐들(128)은 서로 사이에 작은 거리를 갖는다. 작은 거리는 내부 서브세트 내의 램프 어퍼쳐들(128) 중 하나로부터 인접한 구역 내의 램프 어퍼쳐들(128)의 제2 내부 서브세트 내의 임의의 램프 어퍼쳐(128)까지의 거리보다 더 작다. 램프 어퍼쳐들(128)의 외부 서브세트 내의 램프 어퍼쳐들(128)은 상부 램프 모듈(102) 상에서 균일하게 이격된다. 램프 어퍼쳐들(128)의 외부 서브세트 내의 각각의 램프 어퍼쳐(128)는, 제2 외부 서브세트 내의 인접한 램프 어퍼쳐(128)로부터, 동일한 외부 서브세트 내의 인접한 램프 어퍼쳐(128)와 동일한 거리에 있다.
[0064] 복수의 램프 어퍼쳐들(128)의 다른 배열들도 또한 고려되며, 복수의 동심 링들에서 또는 상부 램프 모듈(102)에 걸쳐 균일한 분포로 복수의 램프 어퍼쳐들(128)을 배열하는 것을 포함할 수 있다.
[0065] 도 3a는 하부 램프 모듈(104)의 개략적인 평면도이다. 하부 램프 모듈(104)의 하부 모듈 본체(182)는 최상부 표면(302), 저부 표면(314)(도 3b) 및 최상부 표면(302)의 외부 가장자리 주위에 배치되는 지지 리지(304)를 더 포함한다. 지지 리지(304)는 하부 모듈 본체(182)의 최상부 표면(302) 주위에 배치되며 하부 모듈 본체(182)로부터 바깥쪽으로 연장되는 링이다. 지지 리지(304)는, 저부 표면(202)의 나머지와 하부 윈도우(120) 사이에서 분리를 제공하면서, 하부 윈도우(120)(도 1)의 일부와 접촉하는 것에 의해 하부 윈도우(120)로부터 하부 모듈 본체(182)의 최상부 표면(302)을 분리하도록 구성된다. 지지 리지(304)는 하부 모듈 본체(182)가 하부 윈도우(120)의 중앙 부분 대신 챔버 본체 어셈블리(106)의 한 섹션 또는 주변 지지체들(170)과만 접촉하는 것을 가능하게 한다. 이것은 하부 윈도우(120)가 파손될 가능성을 감소시키고 하부 플리넘(181)을 형성한다. 최상부 표면(202)은 기울어진 표면이면 하부 윈도우(120)의 중앙 부분과 유사한 형상을 갖는다. 본원에서 설명되는 실시예들에서, 최상부 표면(202)은 오목한 표면이다.
[0066] 램프 어퍼쳐들(186) 각각은 내부 벽(306)을 포함한다. 내부 벽들(306) 각각은 상부 램프 모듈(102)의 내부 벽들(206)과 유사하다. 램프 어퍼쳐들(186)의 내부 벽들(306)은 램프들(188)(도 1)로부터의 방사 에너지의 집속을 가능하게 하게끔 그리고 기판(150)에 걸쳐 제어된 에너지 분포를 허용하게끔 방사 에너지를 반사하도록 구성된다. 내부 벽들(306) 각각은 최상부 표면(302)에서 램프 어퍼쳐들(186)에 대한 원형 또는 타원형 개구를 형성한다.
[0067] 내부 벽들(306)은 하부 모듈 본체(182)를 통해 수직으로 연장되고, 그 결과 내부 벽들(306)은 최상부 표면(302)으로부터 멀어지게 그리고 저부 표면(314)을 향해 연장된다. 내부 벽들(306) 및 따라서 램프 어퍼쳐들(186)의 수직인 방위는 기판 상에서 방사 에너지의 더욱 집속된 분포를 가능하게 한다. 램프 어퍼쳐들(186)의 수직인 방위는 하부 모듈 본체(182)에 의해 흡수되는 방사 에너지를 추가로 감소시킨다.
[0068] 일부 실시예들에서, 하부 모듈 본체(182)는 제1 재료, 예컨대 알루미늄 또는 강철로부터 형성되고, 제2 재료로 도금된다. 제2 재료는 구리, 황동, 청동, 은, 금, 알루미늄, 또는 이들의 합금 중 임의의 하나일 수 있다. 일부 실시예들에서, 하부 모듈 본체(182)는 제2 재료 코팅을 포함하지 않고, 대신, 단일의 재료이다. 하부 모듈 본체(182)는 연마된 최상부 표면(302)을 가질 수 있다. 최상부 표면(302)은 일부 실시예들에서 또한 반사성이다. 최상부 표면(302)은 약 700 nm 내지 약 15000 nm, 예컨대, 약 700 nm 내지 약 1000 nm 또는 약 1000 nm 내지 약 15000 nm 사이의 파장들에 대해 약 90 %보다 더 큰, 예컨대, 약 98 %보다 더 큰 반사율을 가질 수 있다. 최상부 표면(302)은 반사성 내부 벽들(306)과 유사한 재료로부터 제조되거나 또는 그 유사한 재료로 코팅된다.
[0069] 본원에서 도시되는 바와 같이, 파이로미터 통로(192)는 하부 모듈 본체(182)를 통해 배치되는 슬릿이다. 파이로미터 통로(192)는 최상부 표면(302)에서 제3 길이(L3)를, 그리고 저부 표면(314)(도 3b)에서 제4 길이(L4)를 갖는다. 제3 길이(L3)는 제4 길이(L4)보다 더 길다. 제3 길이(L3)는, 스캐닝 파이로미터, 예컨대 파이로미터(190)에 의해, 기판(150)의 저부, 또는 서셉터의 저부의 전체 스캐닝을 허용하면서, 저부 표면(314)에서 개구를 감소시키기 위해 제4 길이(L4)보다 더 길다.
[0070] 도 3a에서 도시되는 바와 같이, 서셉터 샤프트 통로(195)는 하부 모듈 본체(182)의 중심을 통해 배치된다. 서셉터 샤프트 통로(195)는 하부 모듈 본체(182)의 최상부 표면(302)과 저부 표면(314) 사이에서 배치되어 그들을 연결한다. 최상부 표면(302)에 인접한 서셉터 샤프트 통로(195)의 부분은 굴곡된 표면(208)을 포함한다. 굴곡된 표면(208)은 하부 윈도우(120)가 서셉터 샤프트 통로(195)를 통과하도록 굴곡될 때 하부 윈도우(120)의 형상을 따르도록 구성된다. 굴곡된 표면(208)은 서셉터 샤프트 통로(195)의 내부 표면과 저부 표면(314)을 연결한다.
[0071] 도 3b는, 본 개시내용의 실시예들에 따른, 도 3a의 하부 램프 모듈(104)의 개략적인 평면도이다. 도 3b에서 도시되는 바와 같이, 복수의 램프 어퍼쳐들(186) 각각은 램프 베이스 지지체(312) 및 램프 베이스 지지체들(312) 각각을 통해 배치되는 전구 개구(310)를 더 포함한다. 전구 개구들(310)은 램프 베이스 지지체들(312) 및 내부 벽들(306)을 연결한다. 램프 베이스 지지체들(312)은 전구 개구들(310) 주위에 배치되는 계단식으로 형성된 표면들이다. 각각의 램프 베이스 지지체(312)는 중심 보어(311) 및 중심 보어를 둘러싸는 원호 형상의 리세스(313)를 포함한다. 램프 베이스 지지체들(312)은 램프 베이스(184)를 지지하도록 및/또는 램프 베이스(184)에 커플링되도록 구성된다. 전구 개구들(310)은 램프 베이스 지지체들(312)의 최상부 표면을 통해 배치되는 원형 개구들이다. 전구 개구들(310)은 램프들(188)의 전구가 자신을 관통하여 통과하는 것을 허용하도록 사이즈가 조정된다.
[0072] 도 3c는 평면 3C--3C를 통한 도 3a의 하부 램프 모듈(104)의 개략적인 단면도이다. 도 3c에서 도시되는 바와 같이, 하부 모듈 본체(182)는 중심 축(A)을 중심으로 한다. 일부 실시예들에서, 내부 벽들(306)과 최상부 표면(302)의 교선은 개구들(317)을 형성한다. 개구(317)는 제1 직경(D1)을 갖는다. 일부 실시예들에서, 개구(317)는 타원형 또는 달걀 모양이다. 이들 실시예들에서, 제1 직경(D1)은 개구(317)의 장축 길이일 것이다. 제1 직경(D1)은 상부 모듈 본체(126)를 참조하여 설명되는 바와 같이 제1 직경(D1)과 유사하다. 전구 개구들(310) 각각은 제2 직경(D2)을 갖는다. 제2 직경(D2)은 상부 모듈 본체(126)를 참조하여 설명되는 바와 같이 제2 직경(D2)과 유사하다. 일부 실시예들에서, 램프들(188) 각각의 전구의 최대 직경은 제2 직경(D2)보다 1 mm 미만 더 작다.
[0073] 반사성 내부 벽들(306) 각각은 전구로부터의 램프 어퍼쳐들(186) 주위의 광을 반사하도록 그리고 내부 벽들(306)에 의해 형성되는 개구(317)를 통해 기판(150)(도 1)을 향하여 광을 지향시키도록 구성된다. 개구(317)는 내부 벽들(306)과 최상부 표면(302)의 교선에서 배치된다. 내부 벽(306)의 벽들 및 램프 어퍼쳐들(186) 중 하나의 어퍼쳐의 개구(317)는 램프 어퍼쳐 축(E)을 둘러싸고 있다. 램프 개구 축(F)은 램프 어퍼쳐(186)를 통과하는 중심 라인이다. 램프 어퍼쳐 축(F)은 전구 개구들(310)의 중심을 유사하게 통과하고, 그 결과, 개구(317) 및 전구 개구(310)는 램프 어퍼쳐 축(F)을 중심으로 동심이다.
[0074] 복수의 램프 어퍼쳐들(186)은 구역들에서 배치된다. 본원에서 도시되는 바와 같이, 복수의 램프 어퍼쳐들(186)은 두 개의 동심 구역들에서 배치된다. 각각의 구역은 서셉터 샤프트 통로(195)를 중심으로 공통 직경 상에 배치되는 램프 어퍼쳐들(186)의 링을 포함한다. 램프 어퍼쳐들(186)의 각각의 링은 적어도 세 개의 램프 어퍼쳐들(186)을 포함한다. 본원에서 설명되는 실시예들에서, 내부 구역은 8 개 내지 16 개의 램프 어퍼쳐들(186), 예컨대 10 개 내지 14 개의 램프 어퍼쳐들(186)을 갖는 링을 포함한다. 외부 구역은 12 개 내지 20 개의 램프 어퍼쳐들(186), 예컨대 14 개 내지 18 개의 램프 어퍼쳐들을 갖는 링을 포함한다. 본원에서 설명되는 바와 같이, 외부 구역은 내부 구역보다 더 많은 램프 어퍼쳐들(186)을 포함한다.
[0075] 도 4a는, 본 개시내용의 실시예들에 따른, 챔버 본체 어셈블리(106)의 개략적인 단면 사시도이다. 챔버 본체(106)는 베이스 링(114)의 최상부 상에 배치되며 베이스 링(114)에 커플링되는 주입 링(116)을 포함한다. 주입 링(116)은 하나 이상의 가스 인젝터들(108)을 포함한다. 주입 링(116)은 내부 표면(504)을 포함하고 베이스 링(114)은 내부 표면(404)을 포함한다. 베이스 링(114) 및 주입 링(116) 둘 모두의 내부 표면들(404, 504)은 서로 정렬되고, 그 결과, 내부 표면들(404, 504)은 주입 링(116) 및 베이스 링(114)의 원주의 적어도 일부에 대해 동일한 직경을 갖는다. 베이스 링(114) 및 주입 링(116)의 내부 표면들(404, 504)은 중앙 개구(401)를 형성한다. 중앙 개구(401)는 베이스 링(114)의 개구(410) 및 주입 링(116)의 개구(510) 둘 모두를 포함한다. 베이스 링의 최상부 표면(412)은 주입 링(116)의 저부 표면(524)과 접촉한다.
[0076] 하나 이상의 가스 인젝터들(108)이 챔버 본체 어셈블리(106)의 일 측 상에 배치되고, 한편, 하나 이상의 상부 챔버 배기 통로 개구들(424)이 챔버 본체 어셈블리(106)의 반대 측 상에서 배치된다. 하나 이상의 상부 챔버 배기 통로 개구들(424) 각각은 주입 링(116)의 내부 표면에서 형성되는 오목부(indent)(530)와 정렬된다. 하나 이상의 오목부들(530) 및 상부 챔버 배기 통로 개구들(424) 각각의 정렬은, 하나 이상의 가스 인젝터들(108)에 의해 주입되는 가스가, 상부 챔버 배기 통로 개구들(424)을 통해 프로세스 볼륨(110)으로부터 제거되기 이전에, 프로세스 볼륨(110)(도 1)을 가로질러 그리고 기판(150) 위로 흐르는 것을 가능하게 한다. 오목부들(530)은 배기 가스들을 수집하는 데 그리고 심지어 주입 링(116)을 갖는 영역으로부터 상부 챔버 배기 통로 개구들(424)을 향하여 배기 가스들을 하방으로 지향시키는 데 도움이 된다. 일단 배기 가스가 상부 챔버 배기 통로 개구들(424)에 진입하면, 배기 가스는 하나 이상의 상부 챔버 배기 통로들(426)을 통해 배기 유출구(430) 밖으로 흐른다.
[0077] 오목부들(530)과 상부 챔버 배기 통로 개구들(424)의 조합은 베이스 링(114) 및/또는 주입 링(116)의 제조의 복잡도를 감소시킨다. 오목부들(530) 및 상부 챔버 배기 통로 개구들(424)의 조합은 프로세스 가스가 프로세스 볼륨(110)을 가로질러 수평으로 흐르는 것 및 하부 챔버(113) 안으로 하방으로 방향 전환하지 않으면서 상부 챔버(111)에 남아 있는 것을 추가로 가능하게 하는데, 프로세스 가스는 하부 챔버(113)에서 오염의 소스가 될 수 있다.
[0078] 도 4b는, 본 개시내용의 실시예들에 따른, 다른 평면을 통해 취해진 도 4a의 챔버 본체 어셈블리(106)의 개략적인 단면도이다. 도 4b에서 도시되는 단면도는 하부 챔버 배기 통로(164) 및 하부 챔버 배기 통로(164)의 방위와 상부 챔버 배기 통로 개구들(424), 오목부(530), 및 상부 챔버 배기 통로(426) 중 적어도 하나 사이의 관계를 예시한다. 오목부(530), 상부 챔버 배기 통로 개구(424), 및 상부 챔버 배기 통로(426)는, 도 4d, 도 4e, 및 도 5b를 참조하여 설명되는 바와 같이 하부 챔버 배기 통로(164)에 대해 비스듬히 배치된다. 오목부들(530) 및 상부 챔버 배기 통로 개구들(424)은 하부 챔버 배기 통로(164) 위에 추가적으로 배치된다. 하부 챔버 배기 통로(164)는 하부 챔버(113)로부터 배기 가스들을 제거하도록 구성되고, 한편 상부 챔버 배기 통로 개구들(424)은 상부 챔버(111)로부터 배기 가스들을 제거하도록 구성된다.
[0079] 도 4c는 베이스 링(114)의 개략적인 단면도이다. 베이스 링(114)은 개구(410)가 자신을 관통하여 배치된 베이스 링 본체(402)를 포함한다. 개구(410)는 전체 프로세스 챔버(100)의 프로세스 볼륨(110)의 적어도 일부를 형성한다. 개구(410)는 기판 및 서셉터 어셈블리(124)가 내부에 배치되는 것을 허용하도록 사이즈가 조정된다. 개구(410)는 베이스 링(114)의 내부 벽(404)에 의해 형성된다. 개구(410)는 베이스 링(114)의 최상부 표면(412)으로부터 베이스 링(114)의 저부 표면(414)까지 연장된다.
[0080] 베이스 링 본체(402)는 베이스 링(114)의 본체이며, 금속 재료, 예컨대 강철, 알루미늄, 구리, 니켈 또는 금속 합금으로 형성된다. 일부 실시예들에서, 베이스 링 본체(402)는 실리콘 탄화물 재료 또는 도핑된 실리콘 탄화물 재료일 수 있다.
[0081] 상기에서 설명되는 바와 같이, 기판 이송 통로(162)는 하나 이상의 상부 챔버 배기 통로 개구들(424) 및 하부 챔버 배기 통로(164) 반대편에서 배치된다. 기판 이송 통로(162)는 베이스 링(114)의 제1 측면(406)을 통해 배치되고, 한편, 하나 이상의 상부 챔버 배기 통로 개구들(424) 및 하부 챔버 배기 통로(164)는 베이스 링(114)의 제2 측면(408)을 통해 형성된다. 베이스 링(114)의 제1 측면(406)은 베이스 링(114)을 통해 배치되는 평면(C)(도 4e)의 하나의 측면 상에 배치되고, 한편 베이스 링(114)의 제2 측면(408)은 제1 측면(406)과는 평면(C)의 반대 측 상에서 배치된다. 평면(C)은 중심 축(A)을 통과하고 평면(B)에 수직이다. 평면(C)은 하부 챔버 배기 통로(164) 및 상부 챔버 배기 통로 개구들(424)로부터 기판 이송 통로(162)를 분리한다. 본원에서 설명되는 실시예들에서, 두 개의 상부 챔버 배기 통로 개구들(424)이 베이스 링(114)(도 4d)의 최상부 표면(412)을 통해 형성된다. 두 개의 상부 챔버 배기 통로 개구들(424)은 기판 이송 통로(162)의 맞은편에 있지만, 그러나 기판 이송 통로(162) 바로 반대편에 있는 것으로부터 오프셋된다. 두 개의 상부 챔버 배기 통로 개구들(424)은, 가스가 가스 인젝터들(108)(도 1)로부터 프로세스 볼륨(110)을 가로질러 흐를 때, 가스의 내부 수렴을 방지하기 위해 오프셋된다. 대신, 가스 흐름은 프로세스 볼륨 전체에 걸쳐 더욱 균일하게 분포된 상태로 유지되고 기판(150) 상에서 더욱 균일한 증착을 가능하게 한다. 두 개의 상부 챔버 배기 통로 개구들(424)은 밀봉 홈(416)의 내부에 배치된다.
[0082] 기판 이송 통로(162)는 기판(150) 및 이송 암(도시되지 않음)이 자신을 관통하여 배치되는 것을 가능하게 하기 위해 약 7 mm 내지 약 30 mm, 예컨대 약 10 mm 내지 약 20 mm의 높이(H1)를 갖는다. 기판 이송 통로(162)는 약 305 mm 내지 약 350 mm, 예컨대 약 305 mm 내지 약 315 mm의 폭(W1)(도 4e)을 추가로 갖는다. 폭(W1)은 기판들(150)이 자신을 관통하여 통과하는 것 및 서셉터 어셈블리(124) 상에 배치되는 것을 가능하게 한다.
[0083] 도 1을 추가적으로 참조하면, 하부 챔버 배기 통로(164)는 기판 이송 통로(162) 맞은편에 배치되어 하부 챔버 배기 통로(164)를 배기 펌프(도시되지 않음)와 유체 연통하는 상태로 둔다. 배기 펌프는 상부 챔버 배기 통로 개구들(424) 둘 모두에 또한 커플링되어 그들과 유체 연통할 수 있다. 본원에서 설명되는 바와 같이, 하부 챔버 배기 통로(164)는 원통형 통로 또는 타원형 통로이다. 하부 챔버 배기 통로(164)는 약 0 mm 내지 약 75 mm, 예컨대 약 25 mm 내지 약 50 mm의 높이(H2)를 갖는다. 하부 챔버 배기 통로(164)의 높이(H2)는 도 10a에서 도시되는 바와 같이 잠재적인 리프트 암 어셈블리와 함께 적절한 하부 챔버 가스 흐름이 자신을 관통하여 통과하는 것을 허용하도록 구성된다.
[0084] 계속해서 도 4c를 참조하면, 베이스 링 본체(402)의 최상부 표면(412)은 내부에 배치되는 밀봉 홈(416)을 포함한다. 밀봉 홈(416)은 내부 벽(404)을 둘러싸며 밀봉 링, 예컨대 o 링 또는 다른 밀봉 개스킷(gasket)을 수용하도록 구성된다. 밀봉 홈(416) 내에 배치되는 밀봉 링은 쇼어 A 스케일(Shore A scale) 상에서 50 듀로미터보다 더 큰, 예컨대, 60 듀로미터보다 더 큰, 예컨대 약 65 듀로미터보다 더 큰 경도를 갖는 폴리머 또는 플라스틱일 수 있다. 밀봉 홈(416)은, 도 1에서 도시되는 바와 같이, 베이스 링(114)과 주입 링(116) 사이에서 밀봉을 형성하는 밀봉 링을 수용하도록 사이즈가 조정된다. 밀봉 홈(416)은, 상부 챔버 배기 통로 개구들(424)을 통해 흐르는 배기 가스들이 프로세스 챔버(100)로부터 빠져나가는 것을 방지하기 위해, 상부 챔버 배기 통로 개구들(424)의 반경 방향 바깥쪽에서 배치된다.
[0085] 최상부 표면(412)은 선택적으로, 지지 단차(440)를 포함한다. 지지 단차(440)는 최상부 표면(412)과 내부 벽(404) 사이에서 형성되는 리세스이다. 지지 단차(440)는 플랜지(160)(도 1)를 지지하도록 구성된다. 플랜지(160)는 플랜지(160)를 제자리에 유지하기 위해 주입 링(116) 및 베이스 링(114)의 지지 단차(440) 내에 적어도 부분적으로 배치되도록 구성된다.
[0086] 베이스 링 본체(402)의 저부 표면(414)은 제1 밀봉 홈(418) 및 제2 밀봉 홈(420)을 포함한다. 제1 밀봉 홈(418) 및 제2 밀봉 홈(420)은 동심이며 저부 표면(414)을 따라 내부 벽(404)을 둘러싼다. 제1 밀봉 홈(418)은 제2 밀봉 홈(420)보다 축(A)으로부터 더 바깥쪽으로 배치되고, 그 결과, 제1 밀봉 홈(418)은 제2 밀봉 홈(420)을 둘러싼다. 제1 밀봉 홈(418) 및 제2 밀봉 홈(420) 각각은 밀봉 링, 예컨대 o 링 또는 다른 밀봉 개스킷을 수용하도록 구성된다. 제1 밀봉 홈(418) 및 제2 밀봉 홈(420) 내에 배치되는 밀봉 링은 쇼어 A 스케일 상에서 50 듀로미터보다 더 큰, 예컨대, 60 듀로미터보다 더 큰, 예컨대 약 65 듀로미터보다 더 큰 경도를 갖는 폴리머 또는 플라스틱일 수 있다. 제1 밀봉 홈(418) 및 제2 밀봉 홈(420)은 밀봉 링을 수용하도록 그리고 도 1에서 도시되는 바와 같이 하부 윈도우(120)의 주변 지지체들(170)과 베이스 링(114) 사이에 밀봉이 형성되는 것을 가능하게 하도록 사이즈가 조정된다.
[0087] 도 4d는 도 4c의 베이스 링(114)의 개략적인 평면도이다. 도 4d에서 도시되는 바와 같이, 최상부 표면(412)은 자신을 관통하여 배치되는 하나 이상의 상부 챔버 배기 통로 개구들(424)을 포함한다. 하나 이상의 상부 챔버 배기 통로 개구들(424)은 내부 벽(404)과 밀봉 홈(416) 사이에서 배치된다. 하나 이상의 상부 챔버 배기 통로 개구들(424)은 프로세스 볼륨(110)의 상부 부분으로부터 프로세스 가스들을 제거하기 위해 상부 라이너(156)의 일부 및 주입 링(116)과 유체 연통한다. 하나 이상의 상부 챔버 배기 통로 개구들(424) 각각은, 각각, 상부 챔버 배기 통로(426)를 통해 배기 모듈(422)과 유체 연통한다. 상부 챔버 배기 통로(426)는 베이스 링 본체(402)(도 4e)를 통해 배치되는 통로이다. 상부 챔버 배기 통로(426)는 배기 모듈들(422) 중 하나를 상부 챔버 배기 통로 개구들(424) 중 하나에 유체 흐름 가능하게 커플링한다. 도 4d에서 도시되는 바와 같이, 베이스 링 본체(402)의 제2 측면(408)에 부착되는 두 개의 배기 모듈들(422)이 있다. 두 개의 배기 모듈들(422) 각각은 하부 챔버 배기 통로(164)의 반대 측들 상에서 배치되고, 그 결과 배기 모듈들(422) 각각은 평면(B)의 반대 측들 상에 배치되고 평면(B)을 기준으로 미러링된다. 평면(B)은 중심 축(A), 기판 이송 통로(162)의 중심, 및 하부 챔버 배기 통로(164)(도 4e)를 통과한다. 평면(B)은 수직으로 배향된 평면이고 베이스 링(114)을 절반으로 분할하고, 그 결과, 베이스 링(114)은 평면(B)을 가로질러 미러링된다. 도 5b에서 도시되는 바와 같이 주입 링과 관련하여 동일한 평면(B)이 활용된다.
[0088] 하나 이상의 상부 챔버 배기 통로 개구들(424) 각각은 약 10 mm 내지 약 220 mm, 예컨대 약 20 mm 내지 약 150 mm의 폭(W2)을 갖는다. 상부 챔버 배기 통로 개구들(424) 각각의 폭(W2)은, 프로세스 볼륨(110) 내에서 가스 흐름의 난류를 감소시키면서, 프로세스 볼륨(110) 내에서부터의 배기 가스들이 제거되는 것을 가능하게 한다.
[0089] 상부 챔버 배기 통로 개구들(424) 각각은 평면(B)과 관련하여 제1 배기 각도(α) 및 제2 배기 각도(β) 사이에서 배치된다. 제1 배기 각도(α)는 평면(B)과 관련하여 약 5 도 내지 약 45 도, 예컨대, 평면(B)과 관련하여 약 10 도 내지 약 30 도, 예컨대 평면(B)과 관련하여 약 10 도 내지 약 25 도의 각도이다. 제1 배기 각도(α)는 상부 챔버 배기 통로(426)가 하부 챔버 배기 통로(164)와 교차하는 것을 방지할 만큼 충분히 크다.
[0090] 제2 배기 각도(β)는 약 30 도 내지 약 70 도, 예컨대, 약 35 도 내지 약 65 도, 예컨대, 약 45 도 내지 약 60 도의 각도이다. 제2 배기 각도(β)는 평면(B)을 향하여 안쪽으로 가스 경로들의 실질적인 곡률 없이 하나 이상의 가스 인젝터들(108)에 의해 개구(410)를 가로질러 지향되는 가스를 포획할 만큼 충분히 크다. 제1 배기 각도(α)와 제2 배기 각도(β) 사이의 차이는 약 25 도 내지 약 60 도, 예컨대 약 30 도 내지 약 50 도이다. 제1 배기 각도(α)와 제2 배기 각도(β) 사이의 차이는 상부 챔버 배기 통로 개구들(424)이 개구(410)의 소망되는 원주 주위에 배치되는 것을 가능하게 하고, 그 결과, 차이는 상부 챔버 배기 통로 개구들(424)이 연장되는 베이스 링(114)의 양이다.
[0091] 도 4e는 섹션 라인 4E-4E를 통한 도 4c의 베이스 링(114)의 개략적인 단면 평면도이다. 도 4e에서 도시되는 바와 같이, 상부 챔버 배기 통로들(426) 각각은 배기 모듈들(422) 각각을 통해 배치되는 배기 모듈 통로(428)에 유체 흐름 가능하게 연결된다. 배기 모듈 통로(428)는 상부 챔버 배기 통로(426)를 통해 상부 챔버 배기 통로 개구(424)와 유체 연통한다. 배기 모듈 통로(428)는, 배기 모듈 통로(428)가 배기 유출구(430)에 노출될 때까지, 배기 모듈 통로(428)가 베이스 링 본체(402)로부터 더 멀리 연장됨에 따라, 좁아진다. 배기 유출구(430)는, 배기 모듈 통로(428)의 벽을 통해 형성되며 프로세스 챔버(100)로부터 배기 가스의 제거를 위한 배기 도관(도시되지 않음)에 커플링되도록 구성되는 개구이다. 상부 챔버 배기 통로 개구들(424)과 유사하게, 상부 챔버 배기 통로들(426)은 평면(B)과 관련하여 제1 배기 각도(α)와 제2 배기 각도(β) 사이에서 배치된다.
[0092] 도 5a는, 본 개시내용의 실시예들에 따른, 주입 링(116)의 개략적인 단면도이다. 주입 링(116)은 베이스 링(114)의 최상부 상에 위치되도록 그리고 프로세스 볼륨(110)에 프로세스 가스들을 제공하도록 구성된다. 주입 링(116)은 베이스 링(114)과는 별개의 컴포넌트이다. 주입 링(116)은 기판의 표면을 가로질러 가스를 주입하도록 구성되고, 그 결과, 프로세스 볼륨(110)을 통한 가스의 우세한 흐름은 수평 방향에 있다. 분리 가능한 주입 링(116)은 주입 링(116)이 챔버 본체 어셈블리(106) 전체를 교체하거나 또는 제거하지 않고도 쉽게 교체되는 것 및 유지되는 것을 가능하게 한다. 이것은 교체 비용들을 감소시키고 다른 챔버 컴포넌트들에 대한 최소의 영향을 가지면서 프로세스 챔버(100)에서 새로운 가스 주입 개선들이 더욱 쉽게 구현되는 것을 허용한다.
[0093] 주입 링(116)은 내부 표면(504) 및 외부 표면(506)을 포함한다. 내부 표면(504)은 주입 링(116) 내에 배치되는 개구(510) 주위에서 링을 형성한다. 개구(510)는 프로세스 챔버(100)의 프로세스 볼륨(110)의 적어도 일부를 형성한다. 주입 링(116)은 자신을 관통하여 배치되는 하나 이상의 가스 인젝터들(108)을 포함한다. 하나 이상의 가스 인젝터들(108)은 인젝터 지지 표면(514)으로부터 그리고 내부 표면(504)까지 주입 링 본체(502)를 통해 연장된다. 본원에서 설명되는 바와 같은 하나 이상의 가스 인젝터들(108)은 하나 이상의 인젝터 통로들(508)을 통해 배치된다. 인젝터 통로들(508) 각각은 하나 이상의 가스 인젝터들(108) 중 하나, 예컨대 가스 인젝터들(108) 중 하나를 수용하도록 사이즈가 조정된다. 인젝터 통로들(508)은 인젝터 지지 표면(514)으로부터 내부 표면(504)까지 연장된다. 인젝터 통로들(508)은, 인젝터 통로들(508)이 인젝터 지지 표면(514)으로부터 내부 표면(504)으로 이동할 때 하방으로 연장된다. 하방으로 연장되는 것은, 인젝터 통로들(508)이 내부 표면(504)을 향해 반경 방향 안쪽으로 이동함에 따라 인젝터 통로들(508)이 주입 링(116)의 최상부 표면(518)으로부터 더 멀리 그리고 주입 링(116)의 저부 표면(524)에 더 가깝게 배치되는 것으로 정의된다.
[0094] 내부 표면(504)은, 예컨대 내부 표면(504)의 원주의 50 %보다 더 큰, 예컨대 내부 표면(504)의 원주의 60 %보다 더 큰, 예컨대 내부 표면(504)의 원주의 70 %보다 더 큰, 내부 표면(504)의 원주의 대부분 주위에 배치되는 홈(536)을 포함한다. 홈(536)은 가열 엘리먼트, 예컨대 상부 가열 엘리먼트(158)를 수용하도록 구성된다. 홈(536)은 주입 링(116)의 저부 표면(524) 및 내부 표면(504)의 일부로서 형성되는 것으로 도 5a에서 도시되어 있다. 내부 표면(504)은 내부에 배치되는 두 개의 오목부들(530)을 또한 포함한다. 두 개의 오목부들(530)은 인젝터 통로들(508) 반대편에서 배치된다. 오목부들(530)은 홈(536) 내에 배치되고 홈(536)보다 주입 링 본체(502) 안으로 더 깊게 연장되고, 그 결과, 오목부들(530)은 홈(536)보다 축(A)으로부터 더 멀리 연장된다.
[0095] 인젝터 지지 표면(514)은 외부 계단식으로 형성된 표면(516)과 함께 주입 링 본체(502)의 외부 표면(506)의 일부이다. 인젝터 지지 표면(514)은 하나 이상의 가스 인젝터들(108)의 일부를 고정하기 위한 표면을 제공하는 것에 의해 하나 이상의 가스 인젝터들(108)을 적소에 유지하도록 구성된다. 하나 이상의 가스 유출구들(178)은 내부 표면(504)을 통해 배치되고 프로세스 볼륨(110)(도 1) 내에 배치되는 기판(150)을 향해 하방으로 기울어진다.
[0096] 주입 링(116)의 저부 표면(524)은 베이스 링(114)의 최상부 표면(412)과 접촉하도록 구성된다. 저부 표면(524)은 외부 표면(506)과 내부 표면(504) 사이에서 연장되는 평면의 표면이다. 외부 계단식으로 형성된 표면(516)은 외부 표면(506)의 가장 바깥쪽 부분으로부터 인젝터 지지 표면(514)의 저부 원위 단부까지 연장된다. 인젝터 지지 표면(506)은 저부 표면(524)으로부터 멀어지게 외부 계단식으로 형성된 표면(516)으로부터 연장된다. 인젝터 지지 표면(514)은 저부 표면(524)에 대해 비스듬히 배치된다. 인젝터 지지 표면(514)의 각도는 인젝터 통로들(508) 및 하나 이상의 가스 인젝터들(108)의 소망되는 하향 각도에 적어도 부분적으로 의존한다. 본원에서 설명되는 실시예들에서, 저부 표면(524)과 관련한 인젝터 지지 표면(514)의 각도는 약 45 도보다 더 큰데, 예컨대, 약 45 도 내지 약 85 도, 예컨대, 약 60 도 내지 약 80 도, 예컨대, 약 70 도 내지 약 80 도이다. 인젝터 지지 표면(514)은 외부 계단식으로 형성된 표면(516)으로부터 반경 방향 안쪽으로 연장되고, 그 결과, 외부 계단식으로 형성된 표면(516)으로부터 가장 멀리 있는 인젝터 지지 표면(514)의 원위 단부는 내부 표면(504)에 더 가깝다.
[0097] 주입 링(116)의 최상부 표면(518)은 인젝터 지지 표면(514)의 상부 원위 단부로부터 반경 방향 안쪽으로 연장된다. 최상부 표면(518)은 수평의 표면이고, 그 결과, 최상부 표면(518)은 저부 표면(524)에 평행하게 연장된다. 인젝터 지지 표면(514)으로부터 최상부 표면(518)의 반대쪽 원위 단부는 윈도우 지지 트렌치(trench)(512)에 부착된다. 윈도우 지지 트렌치(512)는 주입 링(116)의 상부 표면을 따라 배치되는 채널이다. 윈도우 지지 트렌치(512)는 내부에서 상부 윈도우(122)의 주변 지지체(172)를 수용하도록 구성된다. 윈도우 지지 트렌치(512)는 제1 윈도우 밀봉 홈(520) 및 제2 윈도우 밀봉 홈(522)을 포함한다. 제1 윈도우 밀봉 홈(520)과 제2 윈도우 밀봉 홈(522) 각각은 밀봉 링, 예컨대 o 링 또는 다른 밀봉 개스킷을 수용하도록 구성된다. 제1 윈도우 밀봉 홈(520) 및 제2 윈도우 밀봉 홈(522) 내에 배치되는 밀봉 링들은 쇼어 A 스케일 상에서 50 듀로미터보다 더 큰, 예컨대, 60 듀로미터보다 더 큰, 예컨대 약 65 듀로미터보다 더 큰 경도를 갖는 폴리머 또는 플라스틱일 수 있다. 제1 윈도우 밀봉 홈(520) 및 제2 윈도우 밀봉 홈(522)은 밀봉 링을 수용하도록 그리고 도 1에서 도시되는 바와 같이 주입 링(116)과 상부 윈도우(122) 사이에 밀봉이 형성되는 것을 가능하게 하도록 사이즈가 조정된다.
[0098] 윈도우 지지 트렌치(512)의 내부 부분은 기울어진 돌출부(angled protrusion)(511)에 의해 형성된다. 기울어진 돌출부(511)는 제1 윈도우 밀봉 홈(520)과 제2 윈도우 밀봉 홈(522)의 내부에 배치된다. 기울어진 돌출부(511)는 윈도우 지지 트렌치(512)로부터 상방으로 그리고 저부 표면(508)으로부터 멀어지게 연장된다. 기울어진 돌출부(511)는 기울어진 돌출부(511)의 가장 내부 측 상에 배치되는 윈도우 지지 트렌치(512)의 일부를, 그리고 기울어진 돌출부(511)의 가장 바깥 측 상에 내부 표면(504)의 일부를 형성한다. 기울어진 돌출부(511)는 윈도우 지지 트렌치(512)로부터 상방으로 연장되면서 반경 방향 안쪽으로 연장된다. 기울어진 돌출부(511)는 프로세스 볼륨(110)(도 1)으로부터 상부 윈도우(122), 예컨대 주변 지지체(172)의 일부를 차폐한다. 프로세스 볼륨(110)으로부터 주변 지지체(172)를 차폐하는 것은 제1 윈도우 밀봉 홈(520) 및 제2 윈도우 밀봉 홈(522) 내의 밀봉부들 및 주변 지지체(172)에 대한 가열 부하를 감소시킨다. 기울어진 돌출부(511)는 추가적으로 지지 트렌치(512) 내에 배치되는 밀봉 링들이 방사 에너지 또는 프로세스 가스들에 직접적으로 노출되는 것을 방지하고, 따라서, 밀봉 링들의 리프트 및 신뢰성을 연장시킨다.
[0099] 냉각제 통로(521)가 주입 링 본체(502)를 통해 선택적으로 배치된다. 냉각제 통로(521)는 냉각제 유체, 예컨대 물 또는 오일을 수용하도록 구성된다. 냉각제 통로(521)는 주입 링 본체(502)를 통해 배치되는 부분 링이고 주입 링(116) 및 베이스 링(114) 둘 모두의 온도를 제어하는 데 도움이 된다.
[00100] 도 5b는 복수의 가스 인젝터들(108)을 갖는 도 5a의 주입 링(116)의 개략적인 평면도이다. 다섯 개의 가스 인젝터들(108)이 도 5b에서 예시되어 있다. 다른 수량들의 가스 인젝터들(108), 예컨대 세 개 이상의 가스 인젝터들(108), 네 개 이상의 가스 인젝터들(108), 다섯 개 이상의 가스 인젝터들(108), 또는 여섯 개 이상의 가스 인젝터들(108)이 또한 구상된다. 가스 인젝터들(108)의 수는, 프로세스 가스들이 프로세스 볼륨(110)(도 1) 안으로 주입되는 구역들의 수를 결정한다. 가스 인젝터들(108)의 그룹은 평면(B)을 중심으로 한다. 평면(B)은 베이스 링(114)을 통과하는 동일한 평면(B)이다. 평면(B)은 중심 축(A)을 통해 배치되고 평면(D)에 수직이다. 가스 인젝터들(108) 각각은 내부에 배치되는 복수의 개개의 프로세스 가스 통로들(도시되지 않음)을 구비할 수 있다. 다섯 개의 가스 인젝터들(108)이 활용되는 실시예들에서, 중앙의 가스 인젝터(532a)는 내부 가스 주입 구역을 형성하고, 두 개의 가장 바깥쪽 가스 인젝터들(532c)은 외부 가스 주입 구역을 형성하고, 그리고 중앙의 가스 인젝터(532a)와 가장 바깥쪽 가스 인젝터들(532c) 사이의 두 개의 중간 가스 인젝터들(532b)은 중간 가스 주입 구역을 형성한다. 평면(B)은 중앙의 가스 인젝터(532a)를 통해 배치된다. 두 개의 중간 가스 인젝터들(532b)은 평면(B)을 가로질러 미러링된다. 유사하게, 두 개의 가장 바깥쪽 가스 인젝터들(532c)은 평면(B)을 가로질러 미러링된다. 인젝터 통로들(508) 각각은 자신을 관통하여 배치되는 가스 인젝터(108)를 갖는다. 인젝터 통로들(508)의 수는 가스 인젝터들(108)의 수와 동일하다.
[00101] 인젝터 통로들(508) 각각은 인젝터 통로 폭(W3)을 갖는다. 인젝터 통로들(508) 각각의 인젝터 통로 폭(W3)은 동일한 것으로 도시되어 있다. 대안적인 실시예들에서, 인젝터 통로 폭(W3)은, 인젝터 통로들(508)이 중앙의 가스 인젝터(532a)로부터 가장 바깥쪽 가스 인젝터들(532c)까지 바깥쪽으로 연장됨에 따라 변한다. 일부 실시예들에서, 가장 바깥쪽 가스 인젝터들(532c)이 통과하여 연장되는 인젝터 통로들(508)의 인젝터 통로 폭(W3)은 중간 가스 인젝터들(532b)이 통과하여 연장되는 인젝터 통로들(508)의 인젝터 통로 폭(W3)보다 더 크다. 중간 가스 인젝터들(532b)이 통과하여 연장되는 인젝터 통로들(508)은 중앙의 가스 인젝터(532a)가 통과하여 연장되는 인젝터 통로(508)의 인젝터 통로 폭(W3)보다 더 큰 인젝트 통로 폭(W3)을 갖는다.
[00102] 대안적으로, 인젝터 통로 폭들(W3)은 중앙의 가스 인젝터(532a)가 통과하여 배치되는 인젝터 통로(508)로부터 바깥쪽으로 인젝터 통로들(508)이 연장됨에 따라 감소된다. 이 실시예에서, 가장 바깥쪽 가스 인젝터들(532c)이 통과하여 연장되는 인젝터 통로들(508)의 인젝터 통로 폭(W3)은 중간 가스 인젝터들(532b)이 통과하여 연장되는 인젝터 통로들(508)의 인젝터 통로 폭(W3)보다 더 작다. 중간 가스 인젝터들(532b)이 통과하여 연장되는 인젝터 통로들(508)의 인젝트 통로 폭(W3)은 중앙의 가스 인젝터(532a)가 통과하여 연장되는 인젝터 통로(508)의 인젝터 통로 폭(W3)보다 더 작다.
[00103] 인젝터 통로들(508) 각각은 평면(B)과 관련하여 인젝터 각도(γ)에서 배치된다. 인젝터 각도(γ)는 평면(B)과 관련하여, 그러나 제1 배기 각도(α) 및 제2 배기 각도(β)와 관련한 평면(D)의 반대 측 상에서 채택된다. 인젝터 각도(γ)는 평면(B)으로부터 약 70 도 미만, 예컨대 평면(B)으로부터 약 65 도 미만, 예컨대 평면(B)으로부터 약 60 도 미만이다. 인젝터 각도(γ)는 제2 배기 각도(β)의 10 도 이내에 있도록 구성되고, 그 결과, 인젝터 각도(γ)와 제2 배기 각도(β) 사이의 차이는 약 -10 도 내지 약 10 도, 예컨대 약 -5 도 내지 약 5 도, 예컨대 약 0 도이다. 인젝터 각도(γ) 및 제2 배기 각도(β)는 가스들이 배출될 때 가스 인젝터들(108)에 의해 프로세스 볼륨(110)으로 주입되는 가스들의 편향을 감소시키기 위해 유사하다. 가스들을 편향시키는 것은 필름 증착에서 불균일성들을 야기할 수 있다.
[00104] 주입 링(116)은 인젝터 통로들(508) 반대편에서 내부 표면(504) 내에서 오목부들(530)을 포함한다. 오목부들(530)은 하나 이상의 상부 챔버 배기 통로 개구들(424)(도 4d)에 대응한다. 오목부들(530)은 하나 이상의 상부 챔버 배기 통로 개구들(424) 위에 배치되고, 그 결과, 오목부들(530)은 베이스 링(114)(도 4a)의 하나 이상의 상부 챔버 배기 통로들(426)의 제1 부분으로서 기능한다. 본원에서 설명되는 실시예들에서, 두 개의 상부 챔버 배기 통로들(426)에 대응하는 두 개의 오목부들(530)이 있다. 두 개의 오목부들(530)은 인젝터 통로들(508)과는 개구(510)의 반대 측 상에서 배치된다. 두 개의 오목부들(530)은 주입 링(116)을 통과하는 평면(D)의 일 측 상에서 배치되고, 한편, 인젝터 통로들(508)은 평면(D)의 반대 측 상에서 배치된다. 두 개의 오목부들(530)은, 중앙의 가스 인젝터(532a)가 통과하여 배치되는 인젝터 통로(508)의 맞은편에서 주입 링(116)의 중심으로부터 오프셋된다. 오목부들(530) 중 어느 것도 평면(B)을 통해 배치되지 않는다. 오목부들(530)은 평면(B)을 가로질러 미러링된다. 상기에서 설명되는 바와 같이, 두 개의 오목부들(530)을 오프셋시키는 것은, 가스가 가스 인젝터들(108)(도 1)로부터 그리고 상부 챔버 배기 통로들(426)로 프로세스 볼륨(110)을 가로질러 흐를 때 가스의 내부 수렴을 방지한다.
[00105] 본원에서 설명되는 바와 같이, 오목부들(530)은, 사이즈 및 형상에서, 하나 이상의 상부 챔버 배기 통로 개구들(424)과 유사하다. 오목부들(530) 각각은 약 0 mm 내지 약 220 mm, 예컨대, 약 10 mm 내지 약 150 mm의 폭(W4)을 갖는다. 폭(W4)은 상부 챔버 배기 통로 개구들(424)의 폭(W2)(도 4d)에 대응한다. 폭(W4)은, 기판(150) 상에서 주로 층상 가스 흐름 및 균일한 증착을 허용하기 위해, 프로세스 볼륨(110) 내의 가스 흐름의 중단을 감소시키도록 구성된다. 상부 챔버 배기 통로 개구들(424)과 유사하게, 오목부들(530)은 평면(B)과 관련한 제1 배기 각도(α)와 제2 배기 각도(β) 사이에서 배치된다.
[00106] 주입 링 본체(502)는 주입 링(116)을 형성하고 금속 재료, 예컨대 강철, 알루미늄, 구리, 니켈 또는 금속 합금으로 형성된다. 일부 실시예들에서, 주입 링 본체(502)는 실리콘 탄화물 재료 또는 도핑된 실리콘 탄화물 재료로부터 제조될 수 있다.
[00107] 도 6a는 도 1의 프로세스 챔버(100) 내에서의 사용을 위한 상부 라이너(156)의 개략적인 단면도이다. 상부 라이너(156)는 주입 링(116)(도 5a)의 개구(510) 내부에 배치되도록 구성된다. 상부 라이너(156)는 링 형상이다. 상부 라이너(156)는 프로세스 볼륨(110)으로부터 주입 링(116)의 내부 표면(504)을 분리하도록 구성된다. 상부 라이너(156)는 주입 링(116)의 내부 표면(504)을 프로세스 볼륨(110) 내의 프로세스 가스들로부터 차폐하도록 기능하고 주입 링(116) 및 상부 히터(158)에 의해 방출되는 입자들 또는 다른 오염 물질들로부터 프로세스 볼륨(110)을 추가로 보호한다.
[00108] 상부 라이너(156)는 외부 표면(602) 및 내부 표면(604)을 포함한다. 내부 표면(604)은 상부 라이너(156)를 통해 배치되는 개구(610)를 형성한다. 개구(610)는 프로세스 동작들 동안 기판(150)이 내부에 배치되는 것을 허용하도록 구성된다. 개구(610)는 프로세스 볼륨(110)의 적어도 일부를 형성한다. 프로세스 챔버(100) 내에 배치되는 동안, 상부 라이너(156)의 내부 표면(604)은 축(A)을 중심으로 한다.
[00109] 하나 이상의 라이너 주입 개구들(614)이 상부 라이너(156)를 통해 배치된다. 하나 이상의 라이너 주입 개구들(614)은 외부 표면(602)으로부터 내부 표면(604)까지 배치된다. 하나 이상의 라이너 주입 개구들(614)은 상부 라이너(156)의 일 측을 따라 주입 링(116)(도 5b)의 인젝터 통로들(508)과 정렬하도록 구성된다. 라이너 주입 개구들(614)은 평면(E)의 제1 측 상에서 배치된다. 평면(E)은 평면(B)에 수직이고 중심 축(A)을 통과한다. 평면(E)은 주입 링(116), 베이스 링(114), 및 상부 라이너(156) 각각이 프로세스 챔버(100) 내에서 배치될 때 평면(C) 및 평면(D)과 정렬된다. 본원에서 설명되는 실시예들에서, 다섯 개의 라이너 주입 개구들(614)이 있다. 라이너 주입 개구들(614) 각각은 주입 링(116)의 내부 표면으로부터 바깥쪽으로 돌출하는 가스 인젝터(108)의 부분 주위에 끼워지도록 구성된다. 세 개 이상의 라이너 주입 개구들(614), 네 개 이상의 라이너 주입 개구들(614), 다섯 개 이상의 라이너 주입 개구들(614), 또는 여섯 개 이상의 라이너 주입 개구들(614)과 같은 다른 수량들의 라이너 주입 개구들(614)이 또한 구상된다. 라이너 주입 개구들(614)의 수는 프로세스 가스들이 프로세스 볼륨(110)(도 1)으로 주입되는 구역들의 수를 결정한다. 라이너 주입 개구들(614)의 수는 인젝터 통로들(508)의 수와 동일하다. 인젝터 통로들(508)과 유사하게, 라이너 주입 개구들(614)은 평면(B)을 가로질러 미러링된다. 라이너 주입 개구들(614)은 가스 인젝터들(108) 각각의 단부 주위에 단단히 끼워진다. 가스 인젝터들(108) 주위에서의 단단한 끼워짐은 프로세스 볼륨(110)으로부터 외부 표면(602)을 둘러싸는 영역으로의 프로세스 가스들의 누출을 감소시킨다.
[00110] 라이너 주입 개구들(614) 반대편에는 하나 이상의 라이너 배기 개구들(616)이 있다. 하나 이상의 라이너 배기 개구들(616)은 라이너 주입 개구들(614)과는 평면(E)의 반대 측 상에 있다. 하나 이상의 라이너 배기 개구들(616)은 외부 표면(602)으로부터 내부 표면(604)까지 배치된다. 하나 이상의 라이너 배기 개구들(616)은 주입 링(116)의 오목부들(530)과 정렬되도록 구성된다. 하나 이상의 라이너 배기 개구들(616)은 연장된 개구들이며 상부 라이너(156)의 원주의 일부 주위로 연장된다. 하나 이상의 라이너 배기 개구들(616)은 도 6b에서 도시되는 바와 같이 두 개의 라이너 배기 개구들(616)을 포함하고, 그 결과, 두 개의 라이너 배기 개구들(616)이 있다. 오목부들(530)과 유사하게, 두 개의 라이너 배기 개구들(616) 각각은 서로 평면(B)의 반대 측들 상에서 배치된다.
[00111] 하나 이상의 라이너 주입 개구들(614) 및 하나 이상의 라이너 배기 개구들(616) 둘 모두는 중앙의 라이너 링(615) 상에 배치된다. 적어도 중앙의 라이너 링(615)은 축(A)을 중심으로 하고, 한편 상부 라이너(156)는 프로세스 챔버(100) 내에서 배치된다. 중앙의 라이너 링(615)은 라이너 지지 링(606)과 상부 외향 연장 표면(608) 사이에서 배치된다.
[00112] 라이너 지지 링(606)은 상부 라이너(156)의 저부 표면(620)을 형성한다. 라이너 지지 링(606)은 중앙의 라이너 링(615)의 하부 원위 단부에 부착되는 링이다. 라이너 지지 링(606)은 수평으로 배향된 링이고 저부 표면(620)은 수평으로 배향된 표면이다. 라이너 지지 링(606)의 폭은 라이너 지지 링(606)의 원주에 걸쳐 변한다. 라이너 지지 링(606)은 라이너 지지 링(606)의 전체 원주 둘레에서 중앙의 라이너 링(615)의 내부 표면(604)으로부터 반경 방향 안쪽으로 연장된다. 라이너 지지 링(606)은, 하부 외향 연장 부분(618)을 포함하도록, 라이너 배기 개구들(616)에 인접한 상부 라이너(156)의 측면을 따라 넓어진다. 하부 외향 연장 부분(618)은 중앙의 라이너 링(615)에 대응하는 외부 표면(602)의 일부로부터 바깥쪽으로 연장된다.
[00113] 하부 외향 연장 부분(618)은 상부 라이너(156)를 지지하는 데 도움이 되도록 기능하고 상부 라이너(156)를 하부 라이너(154)와 정렬하는 데 도움이 된다. 하부 외향 연장 부분(618)이 라이너 지지 링(606)의 일부 주위에서만 연장되기 때문에, 하부 외향 연장 부분(618)은 상부 라이너(156)를 지지하는 홈 내에 상부 라이너(156)가 끼워지는 것을 가능하게 한다. 하부 외향 연장 부분(618)은 라이너 주입 개구들(614) 중 임의의 것 바로 아래에 배치되는 라이너 지지 링(606)의 부분 주위에 배치되지 않는다. 라이너 주입 개구들(614)에 대응하는 라이너 지지 링(606) 주위로 연장되지 않는 것은, 상부 라이너(156)가, 하나 이상의 가스 인젝터들(108) 각각에 더 쉽게 배치되어 그 주위에 끼워지는 것을 가능하게 한다.
[00114] 원주 중 하부 외향 연장 부분(618)이 존재하지 않는 부분들 상에서, 상부 외향 연장 표면(608)은 중앙의 라이너 링(615)의 최상부 원위 단부로부터 주입 링(116)의 내부 표면(504)에 인접한 포지션까지 반경 방향 바깥쪽으로 연장된다. 본원에서 설명되는 실시예에서, 상부 외향 연장 표면(608)은 하부 외향 연장 부분(618)과 유사한 반경 방향 거리만큼 중앙의 라이너 링(615)으로부터 바깥쪽으로 연장된다. 상부 외향 연장 부분(608)은 상부 라이너(156)를 지지하도록 추가로 기능할 수 있다. 상부 외향 연장 부분(608)은 라이너 주입 개구들(614) 위에 배치된다. 하부 외향 연장 부분(618) 및 상부 외향 연장 부분(608)은 연결 표면(622)(도 6b)에 의해 연결된다. 연결 표면(622)은 중앙의 라이너 링(615)으로부터 바깥쪽으로 연장되고 상부 외향 연장 부분(608) 및 하부 외향 연장 부분(618)를 커플링하여 연속적인 외향 연장 부분을 형성하는 표면이다. 연속적인 외향 연장 부분은 상부 라이너(156)의 전체 원주 주위로 연장된다. 연결 표면(622)은, 중앙의 라이너 링(615)으로부터, 하부 외향 연장 부분(618) 및 상부 외향 연장 표면(608) 둘 모두와 유사한 외부 반경 방향 거리만큼 연장된다. 본원에서 설명되는 실시예들에서, 연결 표면(622)은 수직의 표면이지만, 그러나 연결 표면(622)은 수평에 대해 90 도 이외의 각도에서 또한 배치될 수 있다는 것이 구상된다.
[00115] 라이너 플랜지(612)가 중앙의 라이너 링(615)의 최상부 상에 배치된다. 라이너 플랜지(615)는 중앙의 라이너 링(615)과 관련하여 기울어진다. 라이너 플랜지(612)는 중앙의 라이너 링(615)의 상부 원위 단부에서 배치되고 중앙의 라이너 링(615)의 내부 표면(604)의 반경 방향 안쪽으로 연장된다. 라이너 플랜지(612)는 저부 표면(620)으로부터 상방으로 그리고 멀어지게 연장된다. 라이너 플랜지(612)는 중앙의 라이너 링(615)의 수직 내부 표면(604) 및/또는 수직 외부 표면(602)을 통과하는 평면과 관련하여 각도(θ)에서 배치된다. 각도(θ)는 약 15 도 내지 약 75 도, 예컨대 약 30 도 내지 약 60 도이다. 라이너 플랜지(612)는 주입 링(116)의 기울어진 돌출부(511)와 대응하도록 의도된다. 라이너 플랜지(612)는 기울어진 돌출부(511)를 프로세스 볼륨(110)으로부터 분리한다.
[00116] 도 6b는 도 6a의 상부 라이너(156)의 개략적인 평면도이다. 도시되는 바와 같이, 상부 라이너(156)는 다섯 개의 라이너 주입 개구들(614)을 포함한다. 세 개 이상의 라이너 주입 개구들(614), 네 개 이상의 라이너 주입 개구들(614), 다섯 개 이상의 라이너 주입 개구들(614), 또는 여섯 개 이상의 라이너 주입 개구들(614)과 같은 다른 수량들의 라이너 주입 개구들(614)이 또한 구상된다. 라이너 주입 개구들(614)은 도 5a 및 도 5b의 인젝터 통로(508)와 유사한 방식으로 배치된다. 두 개의 라이너 배기 개구들(616)이 라이너 주입 개구들(614) 반대편에서 배치되고 라이너 주입 개구들(614)의 직접 중심으로부터 오프셋된다. 라이너 배기 개구들(616) 각각은 오목부들(530)과 정렬되도록 구성되고, 그 결과, 라이너 배기 개구들(616)은, 주입 링(116)의 원주 중, 오목부들(530)과 유사한 부분에 인접하게 배치된다. 오목부들(530)과 유사하게, 라이너 배기 개구들(616)은 평면(B)과 관련한 제1 배기 각도(α)와 제2 배기 각도(β) 사이에서 배치된다. 라이너 주입 개구들(614)은 인젝터 통로들(508)과 유사한 방식으로 평면(B)과 관련하여 인젝터 각도(γ)에서 배치된다.
[00117] 라이너 주입 개구들(614) 각각은 약 10 mm 내지 약 100 mm, 예컨대 약 50 mm 내지 약 80 mm의 폭(W5)을 갖는다. 폭(W5)은 가스 인젝터들(108)의 일부가 라이너 주입 개구들(614) 내에 배치는 것을 허용하도록 선택된다. 라이너 배기 개구들(616) 각각은 약 0 mm 내지 약 220 mm, 예컨대, 약 25 mm 내지 약 100 mm의 폭(W6)을 갖는다. 라이너 배기 개구들(616)의 폭(W6) 및 오프셋은 프로세스 볼륨(110) 내에서 가스 흐름의 중단을 감소시키도록 구성된다. 가스 흐름의 중단을 감소시키는 것은 프로세스 볼륨(110) 내에서 주로 층류 가스 흐름을 촉진하고 기판(150) 상에서의 균일한 증착에 기여한다.
[00118] 도 7은, 본 개시내용의 실시예들에 따른, 하부 라이너(154)의 개략적인 단면도이다. 하부 라이너(154)는 베이스 링(114)(도 5d)의 개구(410) 내부에 배치되도록 구성된다. 하부 라이너(154)는 링 형상이고 하부 라이너 본체(702)를 갖는다. 하부 라이너(154)는 베이스 링(114)의 내부 표면(404)을 프로세스 볼륨(110)으로부터 분리하도록 구성된다. 상부 라이너(156)는 프로세스 볼륨(110) 내의 프로세스 가스들로부터 베이스 링(114)의 내부 표면(404)을 차폐하고, 베이스 링(114) 및 하부 히터(152)에 의해 방출되는 입자들 또는 다른 오염 물질들로부터 프로세스 볼륨(110)을 추가로 보호한다.
[00119] 하부 라이너(154)는 외부 표면(706) 및 내부 표면(704)을 포함한다. 내부 표면(704)은 하부 라이너(154)를 통해 배치되는 개구(716)를 형성한다. 개구(716)는 프로세스 동작들 동안 기판이 내부에 배치되는 것을 허용하도록 구성된다. 개구(716)는 프로세스 볼륨(110)의 적어도 일부를 형성한다. 프로세스 챔버(100) 내에 배치되는 동안, 하부 라이너(154)의 내부 표면(704)은 축(A)을 중심으로 한다.
[00120] 하부 라이너(154)는 지지 플랜지(708) 및 매달린 라이너 부분(hanging liner portion)(710)을 포함한다. 매달린 라이너 부분(710)은 최상부 원위 단부에서 지지 플랜지(708)에 커플링된다. 매달린 라이너 부분(710)은 베이스 링(114)의 내부 벽(404) 및 하부 히터(152)를 프로세스 볼륨(110) 내의 프로세스 가스들로부터 보호하기 위해 수직 커튼을 형성한다. 매달린 라이너 부분(710)은 베이스 링(114)의 내부 벽(404)에 평행하게 하방으로 그리고 하부 히터(152)의 반경 방향 안쪽으로 연장되도록 구성된다. 지지 플랜지(708)는 매달린 라이너 부분(710)으로부터 반경 방향 바깥쪽으로, 예컨대, 외부 표면(706)으로부터 멀어지게 그리고 반경 방향 바깥쪽으로 연장된다.
[00121] 하부 라이너(154)는 라이너 기판 개구(712)를 더 포함한다. 라이너 기판 개구(712)는 기판 이송 통로(162)에 대응하고, 사이즈 및 형상에서, 기판 이송 통로(162)와 유사하다. 라이너 기판 개구(712)는 기판 이송 통로(162)와 정렬되고, 그 결과, 기판 이송 통로(162)를 통과하는 기판은 라이너 기판 개구(712)를 또한 통과한다. 라이너 기판 개구(712)는 외부 표면(706)으로부터 내부 표면(704)까지 그리고 매달린 라이너 부분(710)을 통해 연장된다. 라이너 기판 개구(712)는, 사이즈 및 형상에서, 기판 이송 통로(162)와 유사하다.
[00122] 하부 배기 라이너 개구(714)가 라이너 기판 개구(712) 반대편에서 배치된다. 하부 배기 라이너 개구(714)는 하부 챔버 배기 통로(164)(도 1)에 대응한다. 하부 배기 라이너 개구(714)는 외부 표면(706)으로부터 내부 표면(704)까지 그리고 매달린 라이너 부분(710)을 통해 형성되는 원형 또는 타원형 개구이다. 하부 배기 라이너 개구(714)는, 사이즈 및 형상에서, 하부 챔버 배기 통로(164)와 유사하다.
[00123] 도 8a는, 본 개시내용의 실시예들에 따른, 하부 히터(152)의 개략적인 단면도이다. 하부 히터(152)는 플랜지(160)에 커플링되고 저항성 가열 엘리먼트(802)를 포함한다. 다른 타입들의 히터들도 또한 고려된다. 하부 히터(152)는 프로세스 챔버(100)의 벽들로의 열 손실들을 감소시킨다. 특히, 하부 히터(152)는 프로세스 볼륨(110)으로부터 베이스 링(114)(도 4c)의 내부 벽(404)으로의 열 손실들을 보상하도록 구성된다. 내부 벽(404)으로 손실되는 열을 보상하는 것은, 프로세스 볼륨(110)의 온도가, 소망되는 온도에서 더 쉽게 유지되는 것을 허용한다.
[00124] 하부 히터(152)는 저항성 가열 엘리먼트(802)를 통해 배치되는 기판 통로 개구(804)를 포함한다. 기판 통로 개구(804)는 기판 이송 통로(162)와 정렬되도록 구성된다. 기판 통로 개구(804)는 기판, 예컨대 도 1의 기판(150)이, 자신을 관통하여 통과하는 것을 허용하도록 사이즈가 조정된다. 기판 통로 개구(804)의 폭(W8)은 약 305 mm 내지 약 350 mm, 예컨대 약 305 mm 내지 약 315 mm이다(도 8b).
[00125] 저항성 가열 엘리먼트(802)는 사행형 배열(serpentine arrangement)로 배치되고, 그 결과, 저항성 가열 엘리먼트(802)는 복수의 턴(turn)들 및 굴곡부들을 포함한다. 저항성 가열 엘리먼트(802)는 서로 평행하게 배치되는 수직 부분들(811) 및 서로 평행하게 배치되는 수평 부분들(812)을 포함한다. 수직 부분들(811) 각각은 수평 부분들(812) 중 하나에 의해 인접한 수직 부분(811)에 연결된다. 전력 소스(도시되지 않음)로부터의 전류는 저항성 가열 엘리먼트(802)의 코일들을 통해 흐르고 저항성 가열 엘리먼트(802)를 저항 방식으로 가열한다.
[00126] 저항성 가열 엘리먼트(802)는 탄소 기반의 재료이며, 그 결과, 코일 재료의 저항률은 약 500 μΩ·cm 내지 약 1500 μΩ·cm, 예컨대, 약 750 μΩ·cm 내지 약 1250 μΩ·cm이다. 일부 실시예들에서, 저항성 가열 엘리먼트(802)는 흑연 재료로 형성된다. 저항성 가열 엘리먼트(802)를 형성하는 다른 재료들은 마비성 흑연(paralytic graphite) 및 실리콘 탄화물을 포함할 수 있다. 마비성 흑연 및 실리콘 탄화물은 대안적인 저항률 범위들을 포함할 수 있다. 인접한 수직 부분들(811) 각각 사이에서 갭들(808)이 형성된다. 갭들(808)은 저항성 가열 엘리먼트(802)의 열적 팽창을 가능하게 하고, 퍼지 가스들 또는 다른 가스들이 자신을 관통하여 통과하는 것을 또한 허용할 수 있다. 갭들(808)은, 배기 가스들, 예컨대 배기 가스들이 자신을 관통하여 통과하는 것을 허용하기 위해 기판 통로 개구(804) 반대편에서 저항성 가열 엘리먼트(802)의 측면을 따라 더 클 수 있다. 대안적으로, 저항성 가열 엘리먼트(802)의 개구 또는 갈라진 틈(break)이 하부 챔버 배기 통로(164)에 인접하게 배치된다. 배기 가스들은 갭들(808)을 통해 하부 챔버 배기 통로(164)(도 1)까지 통과할 것이다.
[00127] 저항성 가열 엘리먼트(802)는 굴곡된 또는 공동의(hollow) 원통형 형상을 가지며 하부 라이너(154)와 베어링(114)의 내부 벽(404) 사이에서 배치된다. 저항성 가열 엘리먼트(802)는 적어도 부분 링을 형성한다. 본원에서 설명되는 실시예들에서, 저항성 가열 엘리먼트(802)는 프로세스 볼륨(110) 및 하부 라이너(154)를 완전히 또는 부분적으로 둘러싼다. 저항성 가열 엘리먼트(802)의 각각의 코일(806)은 각각의 수직 부분(811)의 제1 원위 단부에 있는 수평 부분(812) 및 수직 부분들(811) 각각의 반대쪽 원위 단부에 커플링되는 수평 부분(812)의 절반에 의해 연결되는 두 개의 수직 부분들(811)을 포함한다. 저항성 가열 엘리먼트(802) 내에 배치되는 복수의 코일들(806)이 있다.
[00128] 도 8b는 도 8a의 하부 히터(152)의 개략적인 평면도이다. 하부 히터(152)의 곡률은 하부 히터(152) 내부에 배치되는 개구(810)를 형성한다. 플랜지(160)는 하부 히터(152)의 최상부 단부에 연결되며 하부 히터(152)로부터 반경 방향 바깥쪽으로 연장된다. 플랜지(160)는 주입 링(116)의 베이스 본체(114)의 홈 또는 디봇(divot)에 연결되도록 또는 그 안에 놓이도록 구성될 수 있다. 일부 실시예들에서, 플랜지(160)는 베이스 본체(114)와 주입 링(116) 사이에서 연장될 수 있다. 플랜지(160)는 편평한 링 형상을 갖는다.
[00129] 저항성 가열 엘리먼트(802)는 제1 전기 연결부(806a) 및 제2 전기 연결부(806b)에 전기적으로 커플링된다. 제1 전기 연결부(806a) 및 제2 전기 연결부(806b)는 플랜지(160) 상에 로케이팅된다. 제1 전기 연결부(806a) 및 제2 전기 연결부(806b)는 전력 소스에 연결되도록 구성된다. 제1 전기 연결부(806a) 및 제2 전기 연결부(806b)는, 하부 히터(152)의 온도가 제어될 수 있도록, 저항성 가열 엘리먼트(802)에 전력을 제공한다.
[00130] 도 9는 서셉터 어셈블리(124)의 개략적인 단면도이다. 서셉터 어셈블리(124)는 서셉터(902), 지지 샤프트(904), 및 이동 어셈블리(194)를 포함한다. 서셉터(902)는 지지 샤프트(904)의 최상부 원위 단부에 연결되고, 한편, 이동 어셈블리(194)는 지지 샤프트(904)의 저부 원위 단부에 연결된다. 서셉터 어셈블리(124)는 프로세스 챔버(100)(도 1) 내에서 기판 프로세싱 동안 기판(150)을 유지하도록 구성된다. 서셉터 어셈블리(124)는, 기판(150)을 또한 회전, 상승, 또는 하강시키면서, 기판(150)을 지지하도록 구성된다.
[00131] 서셉터(902)는 기판 지지 표면(906), 저부 표면(908), 기판 지지 표면(906)의 반경 방향 바깥쪽으로 배치되는 외부 레지(ledge)(910), 및 저부 표면(908) 상에 배치되는 샤프트 커플링(912)을 포함한다. 서셉터(902)는 하부 램프 모듈(104)에 의한 기판(150)의 균일한 가열을 가능하게 하기 위해 광학적으로 투명한 재료로 형성된다. 일부 실시예들에서, 서셉터(902)는 석영 또는 유리로부터 제조된다.
[00132] 기판 지지 표면(906)은 기판(150)을 수용하도록 구성되는 평면의 표면이다. 기판 지지 표면(906)은, 일부 실시예들에서, 기판(150)의 균일한 가열을 돕기 위해 그 상에 홈들 또는 디봇들과 같은 피처들을 포함한다. 외부 레지(910)는 기판 지지 표면(906)의 외부 가장자리를 둘러싼다. 외부 레지(910)는 기판 지지 표면(906)을 둘러싸고 기판 지지 표면(906) 아래에서 수직으로 오프셋된다. 외부 레지(910)는 커버 링 또는 커버 플레이트(도시되지 않음)를 지지하도록 구성된다.
[00133] 서셉터(902)의 저부 표면(908)은 기판 지지 표면(906)으로부터 서셉터(902)의 반대 측 상에서 배치된다. 샤프트 커플링(912)은 저부 표면(908)에 커플링되고 하방으로 연장된다. 샤프트 커플링(912)은 지지 샤프트(904)의 최상부 원위 단부(916)의 일부를 수용한다. 하나의 예에서, 서셉터(902)는, 서늘한 또는 실온 환경에 있는 동안, 샤프트 커플링(912)을 사용하여 지지 샤프트(904)의 최상부 상에 끼워진다. 샤프트 커플링(912)의 재료 및 사이즈는 샤프트 커플링(912)이 지지 샤프트(904)의 최상부에 대한 강한 연결을 형성하는 것 및 상승된 온도들, 예컨대 기판 프로세싱 동안 사용되는 온도들에서, 서셉터(902)를 샤프트(904)에 고정하는 것을 가능하게 한다. 지지 샤프트(904)는 형태가 일반적으로 선형이고 서셉터(902)와 이동 어셈블리(194) 사이에서 연장된다. 지지 샤프트(904)는 기판 프로세싱 동안 서셉터(902)의 저부 표면 상에 일반적으로 작은 그림자를 드리우도록, 따라서 온도 불균형들을 감소시키도록 사이즈가 조정된다. 지지 샤프트(904)의 저부 원위 단부(918)는 이동 어셈블리(194)에 커플링된다. 본원에서 도시되는 바와 같이, 지지 샤프트(904)의 저부 원위 단부(918)는 이동 어셈블리(194) 내에서 부분적으로 배치될 수 있다.
[00134] 이동 어셈블리(194)는 베어링 피드스루 어셈블리(928)를 포함한다. 베어링 피드스루 어셈블리(928)는 자성 유체성(ferrofluidic) 피드스루 어셈블리이고 자성 유체성 베어링으로서 기능한다. 베어링 피드스루 어셈블리(928)는 지지 샤프트(904)에 커플링되는 샤프트(922)를 포함한다. 샤프트(922)는 베어링 피드스루 어셈블리(928) 내에서 회전된다. 베어링 피드스루 어셈블리(928)로서의 자성 유체성 피드스루 어셈블리의 사용은, 다른 타입들의 베어링 어셈블리들과 비교하여, 베어링 피드스루 어셈블리(928)의 사용의 지속 기간을 증가시키고 마찰을 감소시킨다.
[00135] 베어링 피드스루 어셈블리(928)는 제1 선형 스플라인(924) 및 제2 선형 스플라인(926)과 결합된다. 제1 선형 스플라인(924) 및 제2 선형 스플라인(926) 둘 모두는 샤프트(922)에 연결된다. 제1 선형 스플라인(924) 및 제2 선형 스플라인(926)은 베어링 피드스루 어셈블리(928) 내에서 적어도 부분적으로 배치되고 서셉터(902) 및 지지 샤프트(904)의 상방 및 하방 모션을 제어하는 것을 돕는다. 제1 선형 스플라인(924) 및 제2 선형 스플라인(926)은 선형 볼 스플라인들이지만, 그러나 다른 타입들의 선형 베어링들일 수 있다.
[00136] 베어링 피드스루 어셈블리(928)의 저부 및 샤프트(922)는 모터(914)에 커플링된다. 모터(914)는 다이렉트 드라이브 또는 다른 적절한 모터일 수 있다. 모터(914)는 샤프트(922)를 회전시키도록 또는 선형적으로 변위시키도록 구성된다. 일부 실시예들에서, 모터(914)는 두 개의 별개의 모터들이고 샤프트(922)를 자신의 축 상에서 회전시키기 위한 하나의 회전 모터, 및 샤프트를 축 방향으로 변위시키기 위한 하나의 선형 모터를 포함한다. 일부 실시예들에서, 모터(914)는 도 1에서 설명되는 회전 어셈블리(196) 및 리프트 어셈블리(198)를 대표한다.
[00137] 벨로우즈 어셈블리(920)가 지지 샤프트(904) 및 베어링 피드스루 어셈블리(928) 둘 모두에 커플링된다. 벨로우즈 어셈블리(920)는 샤프트(922)의 적어도 일부를 둘러싸며, 지지 샤프트(904) 및 서셉터(902)가 모터(914)에 의해 상승 및 하강되는 동안, 지지 샤프트(904)와 베어링 피드스루 어셈블리(928) 사이에서 밀봉이 유지되는 것을 가능하게 한다.
[00138] 도 10a는, 본 개시내용의 실시예들에 따른, 리프트 암 어셈블리(1000)를 예시하는 도 1의 퇴적 챔버(100)의 다른 개략적인 단면도이다. 리프트 암 어셈블리(1000)는 하부 챔버 배기 통로(164)를 통해 배치되고 서셉터 어셈블리(124)의 서셉터(902)로부터 기판(150)을 상승 또는 하강시키도록 구성된다. 리프트 암 어셈블리(1000)는, 서셉터(902)를 통과하도록 그리고 기판(150)과 접촉하도록 구성되는 복수의 리프트 핀들(1012, 1014, 1016)을 포함한다. 리프트 핀들(1012, 1014, 1016)은 기판(150)에 힘을 인가하고 서셉터(902)로부터 기판(150)을 들어올린다. 기판(150)이 서셉터(902)로 이송될 때, 기판(150)은 상승된 포지션에 있는 리프트 핀들(1012, 1014, 1016) 상에 로봇(도시되지 않음)에 의해 배치된다. 리프트 핀들(1012, 1014, 1016)은 리프트 핀들(1012, 1014, 1016)로부터 기판(150)을 이송하기 위해 하강된다.
[00139] 리프트 암 어셈블리(1000)는 액추에이터 어셈블리(1004), 암 어셈블리(1002), 및 리프트 핀들(1012, 1014, 1016)을 포함한다. 리프트 핀들(1012, 1014, 1016) 각각은 암 어셈블리(1002) 상에 배치된다. 암 어셈블리(1002)는 액추에이터 어셈블리(1004)로부터, 하부 챔버 배기 통로(164)를 통해, 프로세스 볼륨(110) 안으로 배치된다. 암 어셈블리(1002)는 서셉터 어셈블리(124)의 서셉터(902)(도 9) 아래에 배치된다.
[00140] 암 개구(1005)는 리프트 암 어셈블리(1000)가 존재할 때 하부 라이너(154) 및 하부 히터(152)를 통해 배치된다. 암 개구(1005)는 리프트 암 어셈블리(1000)의 암 어셈블리(1002)가 자신을 관통하여 통과하는 것을 허용하도록 사이즈가 조정된다. 본원에서 설명되는 실시예들에서, 암 개구(1005)는 하부 챔버 배기 통로(164)에 인접하게 배치되고 하부 배기 라이너 개구(714)(도 7)를 포함한다. 암 어셈블리(1002)가 상이한 각도에서 상이한 개구를 통해 배치되는 실시예들에서, 암 개구(1005)는 암 어셈블리(1002)의 로케이션에 대응하도록 이동된다. 본원에서 설명되는 실시예들에서, 리프트 암 어셈블리(1000)의 암 어셈블리(1002)는 프로세스 챔버(100)의 퍼지 시스템을 단순화하기 위해 하부 챔버 배기 통로(164)를 통해 배치된다. 암 어셈블리(1002)가 하부 챔버 배기 통로(164) 이외의 별개의 개구를 통해 배치되는 경우, 프로세스 챔버(100)의 퍼지 시스템은 상응하여 조정될 수 있다. 따라서, 하부 챔버 배기 통로(164)를 통해 암 어셈블리(1002)를 포지셔닝하는 것은 프로세스 챔버(100)의 퍼지 시스템의 복잡도를 감소시키는 데 유리하다.
[00141] 액추에이터 어셈블리(1004)는 액추에이터(1006), 정렬 센서(1018), 액추에이터(1006)의 일부와 맞물리고 접촉하도록 구성되는 암 랙(arm rack)(1008), 및 암 랙(1008)의 일부 주위에 배치되는 벨로우즈 어셈블리(1010)를 포함한다. 액추에이터 어셈블리(1004)는 챔버 본체 어셈블리(106) 및 프로세스 볼륨(110) 외측에 배치된다. 일부 실시예들에서, 액추에이터 어셈블리(1004)는 챔버 본체 어셈블리(106)의 외부 표면에 커플링된다.
[00142] 도 10b는 도 10a의 리프트 암 어셈블리(1000)의 개략적인 평면도이다. 암 어셈블리(1002)는 제1 암(1020), 제2 암(1022), 및 제3 암(1024)을 포함한다. 제1 암(1020)은 제2 암(1022)과 제3 암(1024) 사이에서 배치된다. 제1 암(1020)은 제2 암(1022) 또는 제3 암(1024)보다 더 짧다. 제2 암(1022) 및 제3 암(1024)은 길이가 동일하다. 제1 암(1020), 제2 암(1022), 및 제3 암(1024) 각각은 베이스(1036)에 커플링된다. 베이스(1036)로부터 가장 멀리 있는, 제1 암(1020)의 원위 단부는 제1 리프트 핀(1012)을 포함한다. 베이스(1036)로부터 가장 멀리 있는 제2 암(1022)의 원위 단부는 제2 리프트 핀(1016)을 포함한다. 베이스(1036)로부터 가장 멀리 있는 제3 암(1024)의 원위 단부는 제3 리프트 핀(1014)을 포함한다.
[00143] 제1 리프트 핀(1012), 제2 리프트 핀(1016), 및 제3 리프트 핀(1014) 각각은 제1 암(1020), 제2 암(1022), 및 제3 암(1024)으로부터, 각각, 상방으로 연장된다. 제1 리프트 핀(1012), 제2 리프트 핀(1016), 및 제3 리프트 핀(1014) 각각은 제1 암(1020), 제2 암(1022), 및 제3 암(1024)으로부터 유사한 높이에 있고 유사한 거리만큼 연장된다. 제1 리프트 핀(1012), 제2 리프트 핀(1016), 및 제3 리프트 핀(1014) 각각은 수직으로, 즉 중심 축(A)에 평행하게 배향된다.
[00144] 베이스(1036)는 액추에이터 어셈블리(1004)의 저부에 배치되고 제1 암(1020), 제2 암(1022), 및 제3 암(1024) 각각을 액추에이터 어셈블리(1004)에 연결한다. 제1 암(1020), 제2 암(1022), 및 제3 암(1024) 각각은 베이스(1036)의 표면(1038)으로부터 연장되고, 그 결과, 제1 암(1020), 제2 암(1022), 및 제3 암(1024) 각각은 비슷한 방향에서 배치된다. 일부 실시예들에서, 제1 암(1020)은 제2 암(1022)과 제3 암(1024) 둘 모두 사이의 중앙에 위치된다. 제2 암(1022), 및 제3 암(1024) 둘 모두는 제1 암(1020)이 연장되는 방향에 대해 각도(θ2)에서 연장된다. 제1 암(1020)과 제2 암(1022) 사이에서 정의되는 각도(θ2)는 약 5 도 내지 약 45 도, 예컨대 약 10 도 내지 약 35 도 사이에 있다. 제1 암(1020)과 관련하여 제2 암(1022) 및 제3 암(1024)이 연장되는 각도(θ2)는 하부 챔버 배기 통로(164) 또는 암 어셈블리(1002)가 통과하여 연장되는 다른 개구의 폭에 의해 적어도 부분적으로 제어된다. 대안적인 실시예들에서, 베이스(1036)는 하부 챔버 배기 통로(164)를 통해 연장되고 암들(1020, 1022, 1024)은 프로세스 볼륨(110) 내부에서 베이스(1036)로부터 바깥쪽으로 연장된다.
[00145] 암 랙(1008)은 암 어셈블리(1002)에 커플링되고 암 어셈블리(1002) 위로 연장된다. 암 랙(1008)은 암 어셈블리(1002)를 액추에이터(1006)에 커플링한다. 액추에이터(1006)는 암 랙(1008)을 사용하여 암 어셈블리(1002)를 상승 및 하강시키도록 구성된다. 벨로우즈 어셈블리(1010)가 암 랙(1008)의 적어도 일부를 둘러싸고 암 랙(1008) 및 액추에이터(1006)를 밀봉하여 하부 챔버 배기 통로(164)를 통해 흐르게 되는 배기 가스들로부터 암 랙(1008) 및 액추에이터(1006)를 보호한다.
[00146] 도 10c는 도 10a의 리프트 암 어셈블리(1000)의 개략적인 측면도이다. 도 10c에서 도시되는 바와 같은 리프트 암 어셈블리(1000)는 도 10b의 섹션 라인 10C--10C를 통해 보여진다. 정렬 센서(1018) 및 액추에이터 어셈블리(1004)가 도 10c에서 도시되어 있다.
[00147] 정렬 센서(1018)는 액추에이터 어셈블리(1004)에 인접하게 그리고 암 어셈블리(1002)에 커플링되어 도시된다. 정렬 센서(1018)는 서셉터(902)(도 9)를 통해 배치되는 하나 이상의 리프트 핀 구멍들(도시되지 않음)의 로케이션을 검출하도록 구성된다. 정렬 센서(1018)는 하나 이상의 광 파이프들(1028)에 커플링된다. 광 파이프들(1028)은 정렬 센서(1018)로부터 그리고 암 어셈블리(1002)의 암들(1020, 1022, 1024) 중 하나를 통해 연장된다. 본원에서 도시되는 실시예들에서, 광 파이프들(1028)은 제1 암(1020)을 통과하여 그리고 제1 리프트 핀(1012) 안으로 연장된다. 대안적으로, 광 파이프(1028)는 제2 리프트 핀(1016) 또는 제3 리프트 핀(1014) 중 하나를 통해 연장된다. 광 파이프(1028)는 상방으로 지향되고 제1 리프트 핀(1012)의 단부에서 노출된다. 광 파이프(1028)는 제1 리프트 핀(1012)의 최상부 위에서 표면의 존재를 검출하기 위해 활용된다. 서셉터(902)의 리프트 핀 구멍이 리프트 핀(1012) 위에서 회전되는 경우, 정렬 센서(1018)는 광 강도에서의 변화를 검출한다. 따라서, 정렬 센서(1018)는 암 어셈블리(1002)가 리프트 핀 구멍들 및 기판(150)과 정렬되는 때를 검출하기 위해 사용된다. 그 다음, 핀들(1012, 1014, 1016)이 상승되어 서셉터(902)를 통해 연장되고 서셉터(902)로의 그리고 서셉터(902)로부터의 기판 이송을 용이하게 할 수 있도록, 서셉터(902)의 회전은 (필요로 되는 경우) 중지된다.
[00148] 액추에이터 어셈블리(1004)는 액추에이터(1006), 암 랙(1008), 및 벨로우즈 어셈블리(1010)를 포함한다. 액추에이터(1006)는 모터(1032) 및 모터(1032)에 커플링되는 피니언(1026)을 포함한다. 피니언(1026)은 제1 복수의 치형부(tooth)들을 포함하고 암 랙(1008)의 제2 복수의 치형부들(1036)과 맞물리도록 구성된다. 암 랙(1008) 및 피니언(1026)의 맞물림은 모터(1032)가 암 랙(1008) 및 암 어셈블리(1002) 상으로 선형 운동을 전달하는 것을 가능하게 한다.
[00149] 벨로우즈 어셈블리(1010)는 암 랙(1008)의 하부 부분 주위에 그리고 제1 플레이트(1030)와 제2 플레이트(1034) 사이에서 배치된다. 제1 플레이트(1030)는 벨로우즈 어셈블리(1010)의 최상부 상에 배치되고 액추에이터(1006)의 본체(1040)에 커플링된다. 제1 플레이트(1030)는 암 랙(1008) 주위에 배치된다. 제2 플레이트(1034)는 벨로우즈 어셈블리(1010)의 저부 상에 배치되고 암 랙(1008)의 저부에 부착된다. 제2 플레이트(1034)는 암 랙(1008)과 암 어셈블리(1002)를 연결하도록 구성된다. 본원에서 설명되는 실시예들에서, 제2 플레이트(1034)는 암 어셈블리(1002)의 베이스(1036)에 연결된다. 벨로우즈 어셈블리(1010)는, 수직 이동을 허용하면서, 배기 가스들로부터 암 랙(1008) 및 액추에이터(1006)를 밀봉하도록 구성된다.
[00150] 암 어셈블리(1002)의 다른 실시예들이 또한 고려된다. 일부 실시예들에서, Y자 형상의 암이 활용된다. 여전히 다른 실시예들에서, 세 개보다 더 많은 암들 또는 세 개보다 더 적은 암들이 존재한다. 제1 암(1020), 제2 암(1022), 및 제3 암(1024) 각각은 대안적인 실시예들에서 상이한 길이들일 수 있다. 본원에서 설명되는 실시예들은 암 어셈블리(1002)의 균형을 맞추기 위해 그리고 액추에이터(1006) 또는 베어링 컴포넌트들에 대한 원치 않는 토크를 감소시키기 위해 제1 암(1020) 주위에서 미러링되는 제2 암(1022), 및 제3 암(1024)을 사용한다.
[00151] 본원에서 설명되는 컴포넌트들은 프로세스 챔버, 예컨대 프로세스 챔버(100) 내에서 더 큰 균일성 및 증착 제어를 허용한다. 본원에서는 하나의 프로세스 챔버(100)에서 함께 예시되지만, 본원에서 설명되는 컴포넌트들은 현존하는 또는 대안적인 증착 프로세스 챔버들과 함께 별개로 활용될 수 있다.
[00152] 전술한 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들은 본 개시내용의 기본 범위로부터 벗어나지 않으면서 고안될 수 있고, 그 범위는 후속하는 청구항들에 의해 결정된다.

Claims (20)

  1. 기판 프로세싱을 위한 프로세스 챔버로서,
    상부 램프 모듈;
    하부 램프 모듈;
    상기 상부 램프 모듈과 상기 하부 램프 모듈 사이에서 배치되는 기판 지지체;
    상기 상부 램프 모듈과 상기 기판 지지체 사이에서 배치되는 상부 윈도우;
    상기 하부 램프 모듈과 상기 기판 지지체 사이에서 배치되는 하부 윈도우;
    상기 상부 램프 모듈과 상기 하부 램프 모듈 사이에서 배치되며 프로세스 볼륨의 일부를 형성하는 챔버 본체 어셈블리를 포함하고,
    상기 챔버 본체 어셈블리는,
    상기 챔버 본체 어셈블리를 통해 배치되는 기판 이송 통로;
    상기 기판 이송 통로의 반대편에서 그리고 상기 챔버 본체 어셈블리를 통해 배치되는 하부 챔버 배기 통로;
    하나 이상의 상부 챔버 배기 통로들 ― 상기 하나 이상의 상부 챔버 배기 통로들 각각은 상기 하부 챔버 배기 통로 위에 배치되는 상부 챔버 배기 통로 개구를 가짐 ―; 및
    상기 챔버 본체 어셈블리를 통해 그리고 상기 기판 이송 통로 위에 배치되는 하나 이상의 인젝터 통로들을 포함하는, 기판 프로세싱을 위한 프로세스 챔버.
  2. 제1항에 있어서,
    상기 하나 이상의 인젝터 통로들 내에 배치되는 하나 이상의 가스 인젝터들을 더 포함하고, 상기 하나 이상의 가스 인젝터들 각각의 하나 이상의 가스 유출구(outlet)들은 수평으로부터 약 5 도보다 더 큰 각도에서 배치되는, 기판 프로세싱을 위한 프로세스 챔버.
  3. 제1항에 있어서,
    상기 챔버 본체 어셈블리는 주입 링을 포함하고, 상기 주입 링은 상기 하나 이상의 인젝터 통로들 및 내부 주입 링 표면과 저부 주입 링 표면 사이에서 배치되는 하나 이상의 오목부(indent)들을 포함하고, 상기 하나 이상의 오목부들은 상기 하나 이상의 상부 챔버 배기 통로 개구들 위에 배치되고 상기 하나 이상의 상부 챔버 배기 통로들에 유체 흐름 가능하게 커플링되는, 기판 프로세싱을 위한 프로세스 챔버.
  4. 제1항에 있어서,
    상기 하나 이상의 상부 챔버 배기 통로들은 두 개의 상부 챔버 배기 통로들을 포함하는, 기판 프로세싱을 위한 프로세스 챔버.
  5. 제4항에 있어서,
    상기 두 개의 상부 챔버 배기 통로들은 상기 하부 챔버 배기 통로의 반대 측들 상에서 배치되는, 기판 프로세싱을 위한 프로세스 챔버.
  6. 제1항에 있어서,
    상기 주입 링의 내부에서 그리고 상기 주입 링에 인접하게 배치되는 상부 라이너(liner); 및
    베이스 링의 내부에 그리고 상기 베이스 링에 인접하게 배치되는 하부 라이너 ― 상기 베이스 링은 상기 주입 링 아래에 배치되며 상기 기판 이송 통로, 상기 하부 챔버 배기 통로, 및 상기 하나 이상의 상부 챔버 배기 통로들을 포함함 ― 를 더 포함하는, 기판 프로세싱을 위한 프로세스 챔버.
  7. 제6항에 있어서,
    하부 히터가 상기 하부 라이너와 상기 내부 베이스 링 표면 사이에서 배치되는, 기판 프로세싱을 위한 프로세스 챔버.
  8. 제1항에 있어서,
    상기 주입 링 위에 배치되는 상부 냉각 링; 및
    상기 베이스 링 아래에 배치되는 하부 냉각 링을 더 포함하는, 기판 프로세싱을 위한 프로세스 챔버.
  9. 기판 프로세싱을 위한 프로세스 챔버로서,
    상부 램프 모듈 ― 상기 상부 램프 모듈은,
    최상부 표면 및 저부 표면을 포함하는 상부 모듈 본체; 및
    상기 저부 표면으로부터 상기 최상부 표면까지 배치되는 복수의 램프 어퍼쳐들
    을 포함함 ―;
    하부 램프 모듈;
    상기 상부 램프 모듈과 상기 하부 램프 모듈 사이에서 배치되는 기판 지지체;
    상기 상부 램프 모듈과 상기 기판 지지체 사이에서 배치되는 상부 윈도우;
    상기 하부 램프 모듈과 상기 기판 지지체 사이에서 배치되는 하부 윈도우;
    상기 상부 램프 모듈과 상기 하부 램프 모듈 사이에서 배치되며 프로세스 볼륨의 일부를 형성하는 챔버 본체 어셈블리를 포함하고,
    상기 챔버 본체 어셈블리는,
    상기 챔버 본체 어셈블리를 통해 배치되는 기판 이송 통로;
    상기 챔버 본체 어셈블리를 통해 배치되는 하나 이상의 상부 챔버 배기 통로들; 및
    상기 챔버 본체 어셈블리를 통해 배치되는 하나 이상의 인젝터 통로들을 포함하는, 기판 프로세싱을 위한 프로세스 챔버.
  10. 제9항에 있어서,
    상기 하부 램프 모듈은 리프트 암 어셈블리를 포함하고,
    상기 리프트 암 어셈블리는,
    하부 챔버 배기 통로를 통해 배치되는 암 어셈블리;
    상기 리프트 암 어셈블리에 커플링되는 복수의 리프트 핀들; 및
    정렬 센서를 포함하는, 기판 프로세싱을 위한 프로세스 챔버.
  11. 제10항에 있어서,
    상기 기판 지지체는 축을 중심으로 하는 상기 기판 지지체의 회전을 가능하게 하도록 구성되는 강자성 베어링(ferromagnetic bearing)을 더 포함하는, 기판 프로세싱을 위한 프로세스 챔버.
  12. 제9항에 있어서,
    상기 상부 램프 모듈은 상기 최상부 표면을 통해 배치되는 복수의 전구 개구(bulb opening)들을 더 포함하는, 기판 프로세싱을 위한 프로세스 챔버.
  13. 제9항에 있어서,
    상기 하나 이상의 상부 챔버 배기 통로들 각각은 챔버 본체 어셈블리의 원주의 일부 주위에 배치되는 상부 챔버 배기 통로 개구를 포함하고, 각각의 상부 챔버 배기 통로는 상기 챔버 본체 어셈블리의 약 25 도 내지 약 60 도 주위로 연장되는, 기판 프로세싱을 위한 프로세스 챔버.
  14. 제9항에 있어서,
    상기 복수의 램프 어퍼쳐들은 세 개의 별개의 구역들에서 배치되고, 각각의 구역은 5 개 내지 10 개의 램프 어퍼쳐들을 포함하는, 기판 프로세싱을 위한 프로세스 챔버.
  15. 제9항에 있어서,
    상기 챔버 본체 어셈블리는 상기 기판 이송 통로 반대편에서 그리고 내부 표면 및 외부 표면을 통해 배치되는 하부 챔버 배기 통로를 더 포함하는, 기판 프로세싱을 위한 프로세스 챔버.
  16. 제15항에 있어서,
    상기 하나 이상의 상부 챔버 배기 통로들은 상기 하부 챔버 배기 통로의 반대 측들 상에서 두 개의 상부 챔버 배기 통로들을 포함하는, 기판 프로세싱을 위한 프로세스 챔버.
  17. 기판 프로세싱을 위한 프로세스 챔버로서,
    상부 램프 모듈 ― 상기 상부 램프 모듈은,
    최상부 표면 및 저부 표면을 포함하는 상부 모듈 본체; 및
    상기 저부 표면으로부터 상기 최상부 표면을 향해 배치되는 복수의 램프 어퍼쳐들
    을 포함함 ―;
    하부 램프 모듈;
    상기 상부 램프 모듈과 상기 하부 램프 모듈 사이에서 배치되는 기판 지지체;
    상기 상부 램프 모듈과 상기 기판 지지체 사이에서 배치되는 상부 윈도우;
    상기 하부 램프 모듈과 상기 기판 지지체 사이에서 배치되는 하부 윈도우;
    상기 상부 램프 모듈과 상기 하부 램프 모듈 사이에서 배치되며 프로세스 볼륨의 일부를 형성하는 챔버 본체 어셈블리를 포함하고,
    상기 챔버 본체 어셈블리는,
    자신을 관통하여 배치되는 기판 이송 통로;
    상기 챔버 본체 어셈블리를 통해 배치되는 하나 이상의 상부 챔버 배기 통로들 ― 상기 하나 이상의 상부 챔버 배기 통로들 각각은 상기 프로세스 볼륨과 유체 연통하는 상부 챔버 배기 통로 개구를 가짐 ―;
    상기 챔버 본체 어셈블리를 통해 그리고 상기 상부 챔버 배기 통로들 개구들 아래에 배치되는 하부 챔버 배기 통로; 및
    상기 기판 이송 통로 위에 배치되는 하나 이상의 인젝터 통로들을 포함하는, 기판 프로세싱을 위한 프로세스 챔버.
  18. 제17항에 있어서,
    리프트 암 어셈블리가 상기 하부 챔버 배기 통로를 통해 배치되고 상기 프로세스 볼륨 내에 배치되는 복수의 암들을 포함하는, 기판 프로세싱을 위한 프로세스 챔버.
  19. 제18항에 있어서,
    상기 리프트 암 어셈블리는 상기 복수의 암들의 각각의 암으로부터 상방으로 연장되는 리프트 핀 및 상기 리프트 핀들 중 하나에 커플링되며 상기 기판 지지체 내에서 리프트 핀 구멍을 검출하도록 구성되는 정렬 센서를 더 포함하는, 기판 프로세싱을 위한 프로세스 챔버.
  20. 제17항에 있어서,
    상기 챔버 본체 어셈블리는,
    베이스 링; 및
    주입 링 ― 상기 기판 이송 통로, 상기 하나 이상의 상부 챔버 배기 통로들, 및 상기 하부 챔버 배기 통로는 상기 베이스 링을 통해 배치되고 상기 하나 이상의 인젝터 통로들은 상기 주입 링을 통해 배치됨 ― 을 포함하는, 기판 프로세싱을 위한 프로세스 챔버.
KR1020237024879A 2021-05-11 2022-04-20 에피택셜 증착 및 고급 에피택셜 필름 애플리케이션들을위한 챔버 아키텍쳐 KR20230122130A (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US17/317,684 US20220367216A1 (en) 2021-05-11 2021-05-11 Multi-zone lamp heating and temperature monitoring in epitaxy process chamber
US17/317,565 US20220364229A1 (en) 2021-05-11 2021-05-11 Multi-port exhaust system for epitaxial deposition chamber
US17/317,565 2021-05-11
US17/317,684 2021-05-11
US17/317,363 US20220364261A1 (en) 2021-05-11 2021-05-11 Chamber architecture for epitaxial deposition and advanced epitaxial film applications
US17/317,363 2021-05-11
US17/317,342 US12018372B2 (en) 2021-05-11 2021-05-11 Gas injector for epitaxy and CVD chamber
US17/317,342 2021-05-11
PCT/US2022/025540 WO2022240560A1 (en) 2021-05-11 2022-04-20 Chamber architecture for epitaxial deposition and advanced epitaxial film applications

Publications (1)

Publication Number Publication Date
KR20230122130A true KR20230122130A (ko) 2023-08-22

Family

ID=84028430

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020237024902A KR20230122133A (ko) 2021-05-11 2022-04-19 에피택시 및 cvd 챔버용 가스 인젝터
KR1020237024879A KR20230122130A (ko) 2021-05-11 2022-04-20 에피택셜 증착 및 고급 에피택셜 필름 애플리케이션들을위한 챔버 아키텍쳐
KR1020237024874A KR20230122128A (ko) 2021-05-11 2022-04-21 에피택셜 증착 챔버를 위한 다중 포트 배기 시스템
KR1020237024873A KR20230122127A (ko) 2021-05-11 2022-04-23 에피택시 프로세스 챔버에서의 다중 구역 램프 가열및 온도 모니터링

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237024902A KR20230122133A (ko) 2021-05-11 2022-04-19 에피택시 및 cvd 챔버용 가스 인젝터

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020237024874A KR20230122128A (ko) 2021-05-11 2022-04-21 에피택셜 증착 챔버를 위한 다중 포트 배기 시스템
KR1020237024873A KR20230122127A (ko) 2021-05-11 2022-04-23 에피택시 프로세스 챔버에서의 다중 구역 램프 가열및 온도 모니터링

Country Status (5)

Country Link
EP (3) EP4337814A1 (ko)
JP (3) JP2024510364A (ko)
KR (4) KR20230122133A (ko)
TW (4) TW202245110A (ko)
WO (4) WO2022240553A1 (ko)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6301434B1 (en) * 1998-03-23 2001-10-09 Mattson Technology, Inc. Apparatus and method for CVD and thermal processing of semiconductor substrates
DE10211312A1 (de) * 2002-03-14 2003-10-02 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
US20080017116A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US8652259B2 (en) * 2008-10-09 2014-02-18 Silevo, Inc. Scalable, high-throughput, multi-chamber epitaxial reactor for silicon deposition
US8298629B2 (en) * 2009-02-25 2012-10-30 Crystal Solar Incorporated High throughput multi-wafer epitaxial reactor
CN103088415B (zh) * 2011-11-03 2015-12-02 上海华虹宏力半导体制造有限公司 改善灯加热腔体内温度均匀性的方法
SG11201504342SA (en) * 2013-01-16 2015-08-28 Applied Materials Inc Quartz upper and lower domes
US9117670B2 (en) * 2013-03-14 2015-08-25 Sunedison Semiconductor Limited (Uen201334164H) Inject insert liner assemblies for chemical vapor deposition systems and methods of using same
CN111211074B (zh) * 2013-04-30 2023-09-22 应用材料公司 具有空间分布的气体通道的气流控制衬垫
US10145011B2 (en) * 2015-03-30 2018-12-04 Globalwafers Co., Ltd. Substrate processing systems having multiple gas flow controllers
KR101682155B1 (ko) * 2015-04-20 2016-12-02 주식회사 유진테크 기판 처리 장치
TWI723024B (zh) * 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
WO2020046567A1 (en) * 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector

Also Published As

Publication number Publication date
JP2024511917A (ja) 2024-03-18
WO2022240567A1 (en) 2022-11-17
KR20230122133A (ko) 2023-08-22
EP4337812A1 (en) 2024-03-20
TW202300692A (zh) 2023-01-01
WO2022240574A1 (en) 2022-11-17
KR20230122127A (ko) 2023-08-22
TW202245111A (zh) 2022-11-16
JP2024510364A (ja) 2024-03-07
WO2022240560A1 (en) 2022-11-17
EP4337814A1 (en) 2024-03-20
EP4337813A1 (en) 2024-03-20
TW202249208A (zh) 2022-12-16
WO2022240553A1 (en) 2022-11-17
JP2024510365A (ja) 2024-03-07
TW202245110A (zh) 2022-11-16
KR20230122128A (ko) 2023-08-22

Similar Documents

Publication Publication Date Title
JP6388876B2 (ja) 石英の上部ドーム及び下部ドーム
US10119192B2 (en) EPI base ring
US10269614B2 (en) Susceptor design to reduce edge thermal peak
US20240209544A1 (en) Chamber architecture for epitaxial deposition and advanced epitaxial film applications
US10727093B2 (en) Light pipe window structure for low pressure thermal processes
KR20230122130A (ko) 에피택셜 증착 및 고급 에피택셜 필름 애플리케이션들을위한 챔버 아키텍쳐
CN117043398A (zh) 用于外延沉积和先进外延膜应用的腔室架构

Legal Events

Date Code Title Description
E902 Notification of reason for refusal