KR20230122127A - 에피택시 프로세스 챔버에서의 다중 구역 램프 가열및 온도 모니터링 - Google Patents

에피택시 프로세스 챔버에서의 다중 구역 램프 가열및 온도 모니터링 Download PDF

Info

Publication number
KR20230122127A
KR20230122127A KR1020237024873A KR20237024873A KR20230122127A KR 20230122127 A KR20230122127 A KR 20230122127A KR 1020237024873 A KR1020237024873 A KR 1020237024873A KR 20237024873 A KR20237024873 A KR 20237024873A KR 20230122127 A KR20230122127 A KR 20230122127A
Authority
KR
South Korea
Prior art keywords
lamp
disposed
chamber
apertures
epitaxial
Prior art date
Application number
KR1020237024873A
Other languages
English (en)
Inventor
테츠야 이시카와
스와미나단 티. 스리니바산
카르틱 부펜드라 샤
알라 모라디안
만주나트 수반나
마티아스 바우어
피터 라이머
마이클 알. 라이스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US17/317,684 external-priority patent/US20220367216A1/en
Priority claimed from US17/317,565 external-priority patent/US20220364229A1/en
Priority claimed from US17/317,363 external-priority patent/US20220364261A1/en
Priority claimed from US17/317,342 external-priority patent/US12018372B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230122127A publication Critical patent/KR20230122127A/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/16Controlling or regulating
    • C30B25/165Controlling or regulating the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

본 개시내용은 일반적으로 반도체 기판들을 프로세싱하기 위한 에피택셜 챔버(epitaxial chamber)에 관한 것이다. 하나의 예에서, 에피택셜 챔버는 챔버 바디 어셈블리를 갖는다. 챔버 바디 어셈블리는 하부 윈도우 및 상부 윈도우를 포함하며, 챔버 바디 어셈블리, 하부 윈도우 및 상부 윈도우는 내부 볼륨을 둘러싼다. 서셉터 어셈블리가 내부 볼륨 내에 배치된다. 에피택셜 챔버는 또한, 복수의 온도 제어 엘리먼트들을 갖는다. 복수의 온도 제어 엘리먼트들은 상부 램프 모듈, 하부 램프 모듈, 상부 히터, 하부 히터, 또는 가열된 가스 통로(heated gas passage) 중 하나 이상을 포함한다.

Description

에피택시 프로세스 챔버에서의 다중 구역 램프 가열 및 온도 모니터링
[0001] 본 개시내용의 실시예들은 일반적으로 반도체 디바이스들을 제조하기 위한 장치 및 방법들에 관한 것이다. 더 구체적으로, 본원에서 개시되는 장치는 복수의 온도 제어 엘리먼트들을 갖는 에피택셜 증착 챔버(epitaxial deposition chamber)에 관한 것이다.
[0002] 반도체 기판들은, 통합 디바이스들 및 마이크로 디바이스들의 제조를 포함하는 아주 다양한 애플리케이션들을 위해 프로세싱된다. 하나의 그러한 프로세싱 디바이스는 에피택셜 프로세싱 챔버이다. 프로세싱 동안, 기판은 에피택셜 프로세싱 챔버 내의 서셉터(susceptor) 상에 포지셔닝된다. 서셉터는 중심 축을 중심으로 회전 가능한 지지 샤프트에 의해 지지된다. 기판 아래 및 위에 배치되는 복수의 가열 램프들과 같은 가열 소스에 대한 정확한 제어는 기판이 매우 엄격한 공차들 내에서 가열되는 것을 허용한다. 기판의 온도는 기판 상에 증착되는(deposited) 재료의 균일성에 영향을 미칠 수 있다.
[0003] 에피택셜 프로세싱 챔버 내에서 기판 온도들을 정밀하게 제어하는 능력은 스루풋 및 생산 수율들에 상당한 영향을 미친다. 종래의 에피택셜 프로세싱 챔버는, 개선된 생산 수율들 및 더 빠른 스루풋에 대한 계속 증가하는 요구들을 충족하면서, 차세대 디바이스들을 제조하는 데 필요로 되는 온도 제어 기준들을 충족하는 데 어려움을 갖는다.
[0004] 따라서, 에피택셜 프로세싱 챔버들에서 개선된 온도 제어에 대한 필요성이 존재한다.
[0005] 본 개시내용은 일반적으로 복수의 온도 제어 엘리먼트들을 갖는 반도체 기판들의 프로세싱을 위한 에피택셜 챔버에 관한 것이다. 하나의 예에서, 에피택셜 챔버는 챔버 바디 어셈블리를 갖는다. 챔버 바디 어셈블리는 하부 윈도우 및 상부 윈도우를 포함하며, 챔버 바디 어셈블리, 하부 윈도우 및 상부 윈도우는 내부 볼륨을 둘러싼다. 서셉터 어셈블리가 내부 볼륨 내에 배치된다. 에피택셜 챔버는 또한, 복수의 온도 제어 엘리먼트들을 갖는다. 복수의 온도 제어 엘리먼트들은 상부 램프 모듈, 하부 램프 모듈, 상부 히터, 하부 히터, 및 가열된 가스 통로(heated gas passage)로 구성되는 그룹으로부터 선택되는 2개 이상의 온도 제어 엘리먼트들을 포함한다.
[0006] 본 개시내용의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시내용의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 단지 예시적인 실시예들을 예시하는 것이므로 그 범위를 제한하는 것으로 간주되지 않아야 하며 다른 균등하게 유효한 실시예들을 허용할 수 있다는 것이 주목되어야 한다.
[0007] 도 1은, 본 개시내용의 실시예들에 따른, 프로세스 챔버의 개략적인 예시이다.
[0008] 도 2a는, 본 개시내용의 실시예들에 따른, 상부 램프 모듈의 개략적 저면도(bottom view)이다.
[0009] 도 2b는, 본 개시내용의 실시예들에 따른, 도 2a의 상부 램프 모듈의 개략적인 평면도이다.
[0010] 도 2c는, 본 개시내용의 실시예들에 따른, 도 2a의 섹션 라인 2C--2C를 통해 취해진 상부 램프 모듈의 개략적인 단면도이다.
[0011] 도 3a는, 본 개시내용의 실시예들에 따른, 하부 램프 모듈의 개략적인 저면도이다.
[0012] 도 3b는, 본 개시내용의 실시예들에 따른, 도 3a의 하부 램프 모듈의 개략적인 평면도이다.
[0013] 도 3c는, 본 개시내용의 실시예들에 따른, 도 3a의 섹션 라인 3C--3C를 통해 취해진 하부 램프 모듈의 개략적인 단면도이다.
[0014] 도 4a는, 본 개시내용의 실시예들에 따른, 상부 히터 및 하부 히터의 개략적인 단면도이다.
[0015] 도 4b는, 본 개시내용의 실시예들에 따른, 도 4a에 도시되는 히터들의 개략적인 등각 단면도(isometric sectional view)이다.
[0016] 도 5a는, 본 개시내용의 실시예들에 따른, 하부 히터의 다른 실시예에 대한 개략적인 단면도이다.
[0017] 도 5b는 도 5a의 하부 히터(152)의 추가적인 예들을 예시하는 개략적인 등각 투영도(isometric view)이다.
[0018] 도 6a는 광학 필터의 일 실시예에 대한 개략도이다.
[0019] 도 6b는 광학 필터의 다른 실시예에 대한 개략도이다.
[0020] 도 7a는 돔 히터(dome heater)의 개략도이다.
[0021] 도 7b는 상부 램프 모듈의 가열된 가스 통로의 개략적인 단면도이다.
[0022] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가의 언급 없이 다른 실시예들에 유익하게 통합될 수 있음이 고려된다.
[0023] 본 개시내용은 일반적으로 복수의 온도 제어 엘리먼트들을 갖는 반도체 프로세싱을 위한 장치에 관한 것이다. 더 구체적으로, 본원에서 개시되는 장치는 프로세스 챔버 및 그것의 컴포넌트들에 관한 것이다. 프로세스 챔버는 열 증착 챔버, 예컨대 에피택셜 증착 챔버로서 구성된다. 프로세스 챔버는 종래의 챔버들과 비교하여 더 저렴한 컴포넌트들을 가지며, 따라서 챔버 바디의 일부가 마모된 이후 또는 챔버 바디의 일부에 대한 개선된 설계가 이용 가능할 때 프로세스 챔버의 일부들의 교체에 대한 비용을 감소시킨다. 개시된 프로세스 챔버는, 증가된 프로세스 수율들과 함께 더 나은 스루풋을 가능하게 하는, 프로세싱 챔버를 사용한 개선된 균일한 열 제어를 포함하는 종래의 도전 과제들을 극복한다.
[0024] 개선된 온도 제어를 가능하게 하는 프로세스 챔버의 컴포넌트들이 본원에서 또한 개시된다. 개선된 온도 제어를 가능하게 하는 컴포넌트들은 상부 램프 모듈, 하부 램프 모듈, 및 하나 이상의 가열 엘리먼트들을 포함한다. 이들 온도 제어 개선 프로세스 챔버 컴포넌트들 각각은, 에피택셜 증착 필름 품질 및 프로세스 스루풋에 대한 실질적이고 유익한 영향을 미치는 에피택셜 증착에 의해 기판을 프로세싱하는 동안 프로세스 챔버의 온도를 제어하기 위해, 개별적으로, 또는 하나 이상의 다른 온도 제어 개선 챔버 컴포넌트들과 함께, 활용될 수 있다.
[0025] 도 1은, 본 개시내용의 실시예들에 따른, 에피택셜 챔버(100)의 개략적인 예시이다. 에피택셜 챔버(100)는 에피택셜 증착 챔버이고 클러스터 도구(도시되지 않음)의 일부로서 사용될 수 있다. 에피택셜 챔버(100)는 기판, 예컨대 기판(150) 상에 에피택셜 필름을 성장시키기 위해 활용된다.
[0026] 에피택셜 챔버(100)는 복수의 온도 제어 엘리먼트들(199), 챔버 바디 어셈블리(106), 서셉터 어셈블리(124), 하부 윈도우(120), 및 상부 윈도우(122)를 포함한다. 상부 윈도우(122), 챔버 바디 어셈블리(106) 및 하부 윈도우(120)는 에피택셜 챔버(100)의 내부 볼륨(110)을 둘러싼다. 복수의 온도 제어 엘리먼트들(199)은 램프 모듈들(101), 상부 히터(158), 하부 히터(152) 및 가열된 가스 통로(136)를 포함한다. 복수의 온도 제어 엘리먼트들(199) 각각은, 기판(150)을 프로세싱하는 동안 에피택셜 챔버(100)의 온도를 제어하기 위해, 개별적으로, 또는 하나 이상의 다른 복수의 온도 제어 엘리먼트들(199)과 함께 활용될 수 있다. 램프 모듈들(101)은 상부 램프 모듈(102) 및 하부 램프 모듈(104)을 포함한다.
[0027] 서셉터 어셈블리(124)는 내부 볼륨(110) 내에 배치되고 기판 지지 표면(151) 상에 기판(150)을 지지하도록 구성된다. 서셉터 어셈블리(124)는 상부 램프 모듈(102)과 하부 램프 모듈(104) 사이에 배치된다. 하부 윈도우(120)는 서셉터 어셈블리(124)와 하부 램프 모듈(104) 사이에 배치된다. 상부 윈도우(122)는 서셉터 어셈블리(124)와 상부 램프 모듈(102) 사이에 배치된다.
[0028] 상부 램프 모듈(102)은 서셉터 어셈블리(124) 위에 배치되고 서셉터 어셈블리(124) 상에 배치되는 기판, 예컨대 기판(150)을 가열하도록 구성된다. 상부 램프 모듈(102)은 상부 모듈 바디(126) 및 상부 모듈 바디(126)를 통해 배치되는 복수의 램프 애퍼처들(128)을 포함한다. 복수의 램프 애퍼처들(128) 각각은 단일의 램프(130)가 내부에 배치되는 램프 베이스(129), 또는 소켓을 포함한다. 램프(130)의 배향은 일반적으로 램프(130)의 필라멘트를 따라 램프(130)의 팁까지 연장되는 가상의 라인에 의해 정의된다. 예를 들면, 한 표면 상에 자신의 측면이 놓여 있는 램프(130)는, 필라멘트와 정렬되며 표면에 평행한 자신의 가상의 라인을 갖는다. 램프(130)의 배향은, 상부 램프 모듈의 중심을 통해 수직으로 연장되는 챔버 중심 라인('A')에 대해 또한 수직이다. 램프들(130) 각각은 램프 베이스(129) 중 하나에 커플링된다. 램프 베이스들(129) 각각은 램프들(130) 중 하나를 지지하고 램프들(130) 각각은 전력 소스(도시되지 않음)에 전기적으로 커플링한다. 램프들(129) 각각은 애퍼처들(128) 내에서 고정된다.
[0029] 상부 램프 모듈(102)은 가열된 가스 통로(136) 및 파이로미터 통로(pyrometer passage)(138)를 더 포함한다. 가열된 가스 통로(136)는 가열된 가스 공급 소스(heated gas supply source)(132)에 유동적으로(fluidly) 커플링된다. 가열된 가스 통로(136)는 상부 모듈 바디(126)의 최상부 표면으로부터 최하부 표면까지 연장된다. 가열된 가스 통로(136)는 가열된 가스, 예컨대 가열된 공기 또는 가열된 불활성 가스가 가열된 가스 공급 소스(132)로부터 상부 윈도우(122)의 최상부 표면으로 흘러서 상부 윈도우(122)를 대류적으로 가열하는 것을 허용하도록 구성된다. 상부 윈도우(122)를 가열하는 것은 더 많은 램프들(130) 에너지가 기판(150)으로 지향되고 상부 윈도우(122)를 가열하는 것으로 지향되지 않는 것을 보장한다.
[0030] 가열된 가스 통로는 도 7a 및 도 7b에 대해 이루어지는 추가적인 참조들과 함께 논의될 것이다. 도 7a는 돔 히터(750)에 대한 개략도이다. 도 7b는 상부 램프 모듈(102)의 가열된 가스 통로(136)의 개략적인 단면도이다. 가열된 가스 통로(136)는 유입구(inlet)(736)를 갖는다. 가열된 가스는 가열된 가스 통로(136)를 통해, 상부 램프 모듈(102)과 상부 윈도우(122) 사이에 정의되는 상부 플레넘(upper plenum)(180)으로 공급된다. 가열된 가스 통로(136)는 상부 램프 모듈(102)에서 중앙에 배치될 수 있다. 가열된 가스 통로(136)는 원뿔 형상을 가질 수 있다. 대안적으로, 가열된 가스 통로(136)는 상부 플레넘(180) 내로의 외향 흐름을 촉진하기 위해 원뿔대 형상(frustoconical shape)을 가질 수 있다. 대안적으로, 가열된 가스 통로(136)는 대안적인 가열된 가스 통로(730)에 의해 도시되는 바와 같이 반경 방향으로 로케이팅되는 하나보다 더 많은 통로를 가질 수 있다. 대안적인 가열된 가스 통로(730)는 가열된 가스를 균등하게 분배하기 위한 제1 통로(782), 제2 통로(784) 및 제3 통로(186)를 갖는다. 이러한 방식으로, 상부 윈도우(122)의 더 큰 온도 균일성이 유지될 수 있다. 이것은 유익하게는 프로세싱 온도들의 변동들을 감소시킨다.
[0031] 돔 히터(750)는 가스 통로(136)의 유입구(736)에 커플링된다. 돔 히터(750)는 전기 저항성, 세라믹, 복사성(radiant) 또는 다른 적절한 히터일 수 있고, 뜨거운 공기를 생성하고 이동시키기 위한 팬을 통합한다. 돔 히터(750)는 가스 통로(136) 내로 약 1,652 ℉의 공기 유출구 온도를 생성할 수 있다. 돔 히터(750)는 최소 약 4CFM의 공기와 최대 약 16CFM의 가열된 공기 사이의 가열된 공기 흐름을 가질 수 있다. 돔 히터(750)는 제어기(752)에 커플링된다. 제어기(752)는, 돔 히터(750)로부터의 열을 조절하는 것에 의해 상부 램프 모듈(102) 및 상부 윈도우(122)의 온도를 유지하기 위해, 센서들로부터의 피드백을 활용할 수 있다. 예를 들면, 제어기(752)는 상부 램프 모듈에서의 온도가 임계치 미만인 것에 응답하여 뜨거운 공기를 공급하도록 돔 히터(750)의 히터 및/또는 팬을 제어할 수 있다. 또는 반대로, 제어기(752)는 상부 램프 모듈에서의 온도가 임계치를 초과하는 것에 응답하여 뜨거운 공기의 공급을 감소시키거나 또는 중단하도록 돔 히터(750)의 히터 및/또는 팬을 제어할 수 있다.
[0032] 돔 히터(750)는 유익하게는 상부 윈도우(122)를 가열하여 기생 증착물들로부터 상부 윈도우(122)를 세정하는 데, 이들 증착물들이 기판의 램프 가열에 악영향을 미칠 수 있는 코팅을 생성할 수 있기 때문이다. 그러나, 돔 히터(750) 및 가스 통로(136)는 하부 윈도우(120)에 대해 또한 구현될 수 있다는 것이 인식되어야 한다. 하부 윈도우(122)는 위에서 개시된 것과 유사한 가열을 위한 장치 및 방법을 이용할 수 있다는 것이 구상된다.
[0033] 다시 도 1로 되돌아가서, 가열된 가스 배기 통로(142)가 또한, 상부 모듈 바디(126)를 통해 배치된다. 가열된 가스 배기 통로(142)는 가열된 배기 펌프(140)에 커플링된다. 가열된 배기 펌프(140)는 가열된 가스 배기 통로(142)를 통해 상부 플레넘(180)으로부터 가스를 제거한다. 가열된 배기 펌프(140)는 프로세스 볼륨에 대한 배기 펌프로서 또한 기능할 수 있다. 가열된 가스 배기 통로(142)는, 일부 실시예들에서, 상부 모듈 바디(126)의 가장자리를 따라 형성되는 홈(groove) 또는 채널일 수 있거나 또는 상부 플레넘(180)과 유체 연통하는 별개의 챔버 컴포넌트를 통해 또는 별개의 챔버 컴포넌트에서 형성될 수 있다.
[0034] 파이로미터 통로(138)는 파이로미터(134), 예컨대 스캐닝 파이로미터(scanning pyrometer)가 기판(150)의 온도를 측정하는 것을 가능하게 하기 위해 상부 모듈 바디(126)를 통해 배치된다. 이 파이로미터(134)는 챔버 벽들, 라이너들, 등의 온도를 측정하기 위해 또한 사용될 수 있다. 파이로미터(134)는 파이로미터 통로(138)에 인접한 상부 모듈 바디(126)의 최상부 상에 배치된다. 파이로미터 통로(138)는 상부 모듈 바디(126)의 최상부 표면으로부터 상부 윈도우(122)에 인접한 상부 모듈 바디(126)의 최하부 표면까지 연장된다.
[0035] 하부 램프 모듈(104)은 서셉터 어셈블리(124) 아래에 배치되고 기판이 서셉터 어셈블리(124) 상에 배치될 때 기판(150)의 최하부 면(bottom side)을 가열하도록 구성된다. 하부 램프 모듈(104)은 하부 모듈 바디(182)를 포함한다. 복수의 램프 애퍼처들(186)은 하부 모듈 바디(182)를 통해 배치된다. 복수의 램프 애퍼처들(186) 각각은 내부에 배치되는 램프(188)를 갖는다. 각각의 램프(188)는 램프 베이스(184)에 커플링된다. 각각의 램프 베이스(184)는 램프들(188) 중 대응하는 하나를 지지하고 램프들(188)을 전력 소스(도시되지 않음)에 전기적으로 커플링한다. 램프들(188)의 배향은 일반적으로 에피택셜 챔버(100)의 수직 중심 라인에 수직이다. 예를 들면, 램프들(188)의 배향은 필라멘트를 통해 램프(188)의 팁까지 연장되는 가상의 라인에 의해 일반적으로 정의된다. 램프들(188)은 기판(150)에 일반적으로 수직인 배향으로 배치될 수 있다.
[0036] 하부 램프 모듈(104)은 서셉터 샤프트 통로(195) 및 파이로미터 통로(192)를 더 포함한다. 서셉터 샤프트 통로(195)는 하부 모듈 바디(182)의 중앙을 통해 배치된다. 지지 샤프트가 서셉터 샤프트 통로(195)를 통해 배치되고 서셉터(124)에 커플링된다. 서셉터 샤프트 통로(195)는 서셉터(124)의 지지 샤프트가 하부 모듈 바디(182)를 통과하는 것을 허용하도록 사이즈가 조정된다.
[0037] 파이로미터 통로(192)는 파이로미터(190), 예컨대 스캐닝 파이로미터가, 기판(150)의 최하부 표면 또는 기판 지지부의 최하부 표면의 온도를 측정하는 것을 가능하게 하기 위해 하부 모듈 바디(182)를 통해 배치된다. 파이로미터(190)는 하부 모듈 바디(182) 아래에 배치되며, 파이로미터 통로(192)와 정렬되고 파이로미터 통로(192)에 인접한다. 파이로미터 통로(192)는 하부 모듈 바디(182)의 최하부 표면으로부터 하부 윈도우(120)에 인접한 하부 모듈 바디(182)의 최상부 표면까지 배치된다.
[0038] 챔버 바디 어셈블리(106)는 주입 링(inject ring)(116) 및 베이스 링(base ring)(114)을 포함한다. 주입 링(116)은 베이스 링(114)의 최상부 상에 배치된다. 주입 링(116)은 자신을 관통하여 배치되는 하나 이상의 가스 인젝터들(108)을 포함한다. 베이스 링(114)은 기판 이송 통로(162), 하나 이상의 상부 챔버 배기 통로들, 및 하부 챔버 배기 통로(164)를 포함한다. 기판 이송 통로(162)는 하나 이상의 상부 챔버 배기 통로들 및 하부 챔버 배기 통로(164) 반대편에서 베이스 링(114)을 통해 배치된다. 하나 이상의 상부 챔버 배기 통로들 각각은 베이스 링(114)을 통해 배치되고 배기 모듈에 커플링된다. 하부 챔버 배기 통로(164)도 베이스 링(114)을 통해 또한 배치된다.
[0039] 상부 챔버(111)는, 기판(150)이 프로세싱되고 프로세스 가스들이 가스 인젝터들(108)을 통해 주입되는 내부 볼륨(110)의 한 부분이다. 하부 챔버(113)는 기판(150)이 서셉터 어셈블리(124) 상으로 로딩되는 내부 볼륨(110)의 한 부분이다. 상부 챔버(111)는, 서셉터 어셈블리(124)가 프로세싱 포지션에 있는 동안, 서셉터 어셈블리(124)의 기판 지지 표면(151) 위의 볼륨으로서 또한 설명될 수 있다. 하부 챔버(113)는, 서셉터 어셈블리(124)가 프로세싱 포지션에 있는 동안, 서셉터 어셈블리(124)의 기판 지지 표면(151) 아래의 볼륨이다. 프로세싱 포지션(도시되지 않음)은, 기판(150)이 수평 평면 기준(125)과 같은 높이에 또는 그 위에 배치되는 포지션이다. 수평의 기준 평면(125)은 주입 링(116) 및 베이스 링(114)이 통과하여 서로 접촉하는 평면이다. 수평의 기준 평면(125)은 에피택셜 챔버(100)의 수직 중심 라인에 수직이다.
[0040] 하나 이상의 상부 챔버 배기 통로들 및 하부 챔버 배기 통로(164)는 하나 이상의 배기 펌프들(도시되지 않음)에 커플링된다. 하나 이상의 배기 펌프들은 하나 이상의 상부 챔버 배기 통로들 및 하부 챔버 배기 통로(164)를 통해 내부 볼륨(110)으로부터 배기 가스들을 제거하도록 구성된다. 일부 실시예들에서, 상부 챔버 배기 통로들 각각 및 하부 챔버 배기 통로(164)는 복수의 도관들을 사용하여 단일의 배기 펌프에 커플링된다. 다른 실시예에서, 상부 챔버 배기 통로들은 하부 챔버 배기 통로(164)와는 상이한 배기 펌프에 커플링된다.
[0041] 기판 이송 통로(162)는 베이스 링(114)을 통해 형성된다. 기판 이송 통로(162)는 클러스터 도구(도시되지 않음)의 이송 챔버로부터 기판이 자신을 관통하여 통과하는 것을 허용하도록 구성된다. 클러스터 도구(도시되지 않음)에 대한 에피택셜 챔버(100)의 부착을 가능하게 하기 위해 플랜지(168)가 베이스 링(114)의 한쪽 단부에 부착된다. 기판 이송 통로(162)는 플랜지(168)를 통과한다.
[0042] 상부 냉각 링(118) 및 하부 냉각 링(112)이 챔버 바디 어셈블리(106)의 반대 측들 상에 배치된다. 상부 냉각 링(118)은 주입 링(116)의 최상부 상에 배치되고 주입 링(116)을 냉각하도록 구성된다. 하부 냉각 링(112)은 베이스 링(114) 아래에 배치되며 베이스 링(114)을 냉각시키도록 구성된다. 상부 냉각 링(118)은 자신을 관통하여 배치되는 냉각제 통로(146)를 포함한다. 냉각제 통로(146)를 통해 순환되는 냉각제는 물, 오일 또는 다른 적절한 열 전달 유체를 포함할 수 있다. 하부 냉각 링(112)은 자신을 관통하여 배치되는 냉각제 통로(148)를 포함한다. 냉각제 통로(148)를 통해 순환되는 냉각제는 상부 냉각 링(118)의 냉각제 통로(146)를 통해 순환되는 냉각제와 유사하다. 일부 실시예들에서, 상부 냉각 링(118) 및 하부 냉각 링(112)은 주입 링(116) 및 베이스 링(114)을 에피택셜 챔버(100)에서 고정하는 것을 돕는다. 상부 냉각 링(118)은 상부 램프 모듈(102)을 부분적으로 지지할 수 있고, 한편, 하부 냉각 링(112)은 베이스 링(114) 및 주입 링(116)을 부분적으로 지지할 수 있다.
[0043] 상부 냉각 링(118)과 하부 냉각 링(112)의 사용은 온도를 낮추어, O-링 또는 진공 밀봉 영역을 250 ℃ 미만으로 냉각시키도록 고안된다. 이것은 유지 보수를 위한 가동 중단 시간을 감소시키면서 양호한 진공 밀봉을 유지하기 위한 O-링의 수명을 증가시킨다.
[0044] 주입 링(116)의 가스 인젝터들(108)은 주입 링(116)을 통해 형성되는 개구들을 통해 배치된다. 도 1에 예시되는 예에서, 복수의 가스 인젝터들(108)이 주입 링(116)을 통해 배치된다. 각각의 가스 인젝터(108)는 하나 이상의 가스 유출구(outlet)들(178)을 통해 프로세스 가스들을 내부 볼륨(110)에 공급하도록 구성된다. 논의의 간략화를 위해, 단일의 가스 인젝터(108)가 도 1에 도시되어 있다. 가스 인젝터(108)는 수평 평면에 대해 예각으로 배치되는 것으로 도시되고 따라서 하나 이상의 가스 유출구들(178)은 가스 인젝터들(108) 아래에 놓이는 서셉터(124) 및 기판(150)을 향하여 하방을 가리킨다. 가스 인젝터(108) 각각은 하나 이상의 프로세스 가스 공급 소스들, 예컨대 제1 프로세스 가스 공급 소스(174) 또는 제2 프로세스 가스 공급 소스(176)에 유동적으로 커플링된다. 일부 실시예들에서, 제1 프로세스 가스 공급 소스(174)만이 활용된다. 제1 프로세스 가스 공급 소스(174) 및 제2 프로세스 가스 공급 소스(176) 둘 모두가 활용되는 일부 실시예에서, 각각의 가스 인젝터(108) 내에 2개의 가스 유출구들(178)이 있다. 2개의 가스 유출구들(178)은 단일의 가스 인젝터(108)에서 형성되고 가스들이 가스 유출구들(178)을 통해 가스 인젝터(108)를 빠져나가고 내부 볼륨(110)에 진입한 이후에만 가스들의 혼합을 가능하게 하도록 적층된 양식으로 배치된다.
[0045] 상부 윈도우(122)는 주입 링(116)과 상부 램프 모듈(102) 사이에 배치된다. 상부 윈도우(122)는 광학적으로 투명한 윈도우이고, 그 결과, 상부 램프 모듈(102)에 의해 생성되는 복사 에너지는 그들을 통과한다. 일부 실시예들에서, 상부 윈도우(122)는 석영 또는 유리 재료로 형성된다. 상부 윈도우(122)는 돔 형상을 가지며, 일부 실시예들에서, 상부 돔으로서 또한 칭해진다. 상부 윈도우(122)의 외부 가장자리들은 주변 지지부들(172)을 형성한다. 주변 지지부들(172)은 상부 윈도우(122)의 중앙 부분보다 더 두껍다. 주변 지지부들(172)은 주입 링(116)의 최상부 상에 배치된다. 주변 지지부들(172)은 상부 윈도우(122)의 중앙 부분에 연결되며, 상부 윈도우(122) 중앙 부분의 광학적으로 투명한 재료로 형성된다.
[0046] 하부 윈도우(120)는 베이스 링(114)과 하부 램프 모듈(104) 사이에 배치된다. 하부 윈도우(120)는 광학적으로 투명하고, 그 결과, 하부 램프 모듈(104)에 의해 생성되는 복사 에너지는 그것을 통과할 수 있다. 일부 실시예들에서, 하부 윈도우(120)는 석영 또는 유리 재료로부터 형성된다. 하부 윈도우(120)는 돔 형상을 가지며, 일부 실시예들에서, 하부 돔으로서 또한 칭해진다. 하부 윈도우(120)의 외부 가장자리들은 주변 지지부들(170)을 형성한다. 주변 지지부들(170)은 하부 윈도우(120)의 중앙 부분보다 더 두껍다. 주변 지지부들(170)은 하부 윈도우(120)의 중앙 부분에 연결되며, 동일한 광학적으로 투명한 재료로 형성된다.
[0047] 챔버 바디 어셈블리(106) 내부에 그리고 내부 볼륨(110) 내에 다양한 라이너들 및 히터들이 배치된다. 도 1에 도시되는 바와 같이, 상부 라이너(156) 및 하부 라이너(154)가 챔버 바디 어셈블리(106) 내에 배치된다. 상부 라이너(156)는 하부 라이너(154) 위에 그리고 주입 링(116)의 내부에 배치된다. 하부 라이너(154)는 베이스 링(114)의 내부에 배치된다. 상부 라이너(156) 및 하부 라이너(154)는, 프로세스 볼륨에 있는 동안, 함께 커플링된다. 상부 라이너(156) 및 하부 라이너(154)는 프로세스 볼륨 내의 프로세스 가스들로부터 베이스 링(114) 및 주입 링(116)의 내부 표면들을 차폐한다. 상부 라이너(156) 및 하부 라이너(154)는 프로세스 볼륨으로부터 주입 링(116) 및 베이스 링(114)으로의 열 전달을 감소시키도록 추가로 역할을 한다. 감소된 열 전달은 기판(150)의 균일한 가열을 개선하고 프로세싱 동안 기판(150) 상에서의 더욱 균일한 증착을 가능하게 한다.
[0048] 하부 챔버 배기 통로(164)는 기판 이송 통로(162)의 맞은편에 배치되고 하부 챔버 배기 통로(164)를 배기 펌프(도시되지 않음)와 연결한다. 배기 펌프는 또한 상부 챔버 배기 통로 개구들 둘 모두에 커플링되어 그들과 유체 연통할 수 있다.
[0049] 하부 라이너(154)는 베이스 링(114)의 개구의 내측에 로케이팅된다. 하부 라이너(154)는 링 형상이고 하부 라이너 바디를 갖는다. 하부 라이너(154)는 베이스 링(114)의 내부 표면을 내부 볼륨(110)으로부터 분리하도록 구성된다. 상부 라이너(156)는 내부 볼륨(110) 내의 프로세스 가스들로부터 베이스 링(114)의 내부 표면을 차폐하고, 베이스 링(114) 및 하부 히터(152)에 의해 방출되는 입자들 또는 다른 오염 물질들로부터 내부 볼륨(110)을 추가로 보호한다.
[0050] 상부 히터(158) 및 하부 히터(152)는 또한, 챔버 바디 어셈블리(106) 및 내부 볼륨(110) 내에 배치된다. 상부 히터(158)는 상부 라이너(156)와 주입 링(116) 사이에 배치되고, 한편, 하부 히터(152)는 하부 라이너(154)와 베이스 링(114) 사이에 배치된다. 상부 히터(158) 및 하부 히터(152) 둘 모두는, 기판(150)이 에피택셜 챔버(100) 내에 있는 동안 기판(150)의 더욱 균일한 가열을 가능하게 하기 위해, 챔버 바디 어셈블리(106)의 내부에 배치된다. 상부 히터(158) 및 하부 히터(152)는 벽들을 가열하는 것 및 프로세싱 동안 벽들이 히트 싱크가 되는 것을 방지하는 것에 의해 챔버 바디 어셈블리(106)의 벽들로의 열 손실을 감소시킨다. 따라서, 상부 히터(158) 및 하부 히터(152)는 내부 볼륨(110)을 형성하는 표면들 주위에서 더욱 균일한 온도 분포를 생성한다. 상부 라이너(156), 하부 라이너(154), 상부 히터(158), 및 하부 히터(152) 각각은 내부 볼륨(110) 내에 배치되는 플랜지(160)에 커플링된다. 플랜지(160)는, 상부 라이너(156), 하부 라이너(154), 상부 히터(158), 및 하부 히터(152) 각각을 고정하기 위해, 주입 링(116)의 일부와 베이스 링(114) 사이에 고정되는, 예를 들면, 클램핑되는 수평의 표면이다. 본원에서 설명되는 실시예들에서, 상부 히터(158)는, 다른 타입들의 히터들 중에서, 램프, 적외선 히터, 열 전달 유체 도관들 또는 저항성 가열 엘리먼트들과 같은 임의의 적절한 히터를 포함할 수 있다. 상부 히터(158)는 주입 링(116) 및 베이스 링(114)을 통해 개구들을 수용하도록 추가로 성형된다. 유사하게, 하부 히터(152)는 상부 히터(158)와 유사하게 구성될 수 있다. 하부 히터(152)는 주입 링(116) 및 베이스 링(114)을 통해 개구들을 수용하도록 추가로 성형된다.
[0051] 서셉터 어셈블리(124)는 내부 볼륨(110) 내에 배치되고 프로세싱 동안 기판(150)을 지지하도록 구성된다. 서셉터 어셈블리(124)는 기판(150)을 지지하기 위한 평면의 상부 표면 및 하부 윈도우(120) 및 하부 램프 모듈(104)의 일부를 통해 연장되는 샤프트를 포함한다. 서셉터 어셈블리(124)는 샤프트에 의해 이동 어셈블리(movement assembly)(194)에 커플링된다. 이동 어셈블리(194)는 회전 어셈블리(196) 및 리프트 어셈블리(lift assembly)(198)를 포함한다. 회전 어셈블리(196)는 서셉터 어셈블리(124)를 중심 축(A)을 중심으로 회전시키도록 구성되고, 한편, 리프트 어셈블리(198)는 서셉터 어셈블리(124)를 중심 축(A)을 따라 내부 볼륨(110) 내에서 선형적으로 이동시키도록 구성된다. 중심 축(A)은 또한 에피택셜 챔버(100)의 수직 중심 라인이다.
[0052] 도 2a는, 본 개시내용의 실시예들에 따른, 상부 램프 모듈(102)의 개략적인 저면도이다. 상부 램프 모듈(102)의 상부 모듈 바디(126)는 최하부 표면(202), 최상부 표면(214)(도 2b) 및 최하부 표면(202)의 외부 가장자리 주위에 배치되는 지지 융기부(support ridge)(204)를 더 포함한다. 지지 융기부(204)는, 최하부 표면(202)의 나머지와 상부 윈도우(122) 사이의 분리를 제공하면서, 상부 윈도우(122)(도 1에 도시됨)의 일부와 접촉하는 것에 의해 상부 모듈 바디(126)를 지지한다. 최하부 표면(202)의 외측(outside) 상에 배치되는 지지 융기부(204)는, 상부 윈도우(122)의 중앙 부분에 의해 단독으로 지지되는 대신, 챔버 바디 어셈블리(106)의 한 섹션 또는 주변 지지부들(172) 주위에 상부 모듈 바디(126)의 중량을 분배한다. 중량을 분배하는 것은 상부 윈도우(122)가 파손될 확률을 감소시킨다. 상부 모듈 바디(126)로부터 상부 윈도우(122)를 이격시키는 것에 의해 생성되는 상부 플레넘(180)은, 상부 윈도우(122)가, 상부 플레넘(180)을 통해 흐르는 가스를 사용하여 가열되는 것 또는 냉각되는 것을 허용한다. 가스는 가스 소스, 예컨대 가열된 가스 소스(132)로부터 상부 플레넘(180)에 제공될 수 있다. 최하부 표면(202)은 만곡되며 상부 윈도우(122)의 중앙 부분과 유사한 형상을 갖는다. 최하부 표면(202)은 오목하다.
[0053] 램프 애퍼처들(128) 각각은 내부 벽(206)을 포함한다. 내부 벽들(206) 각각은 최하부 표면(202)에서 원형 또는 타원형 개구를 형성한다. 내부 벽들(206)은 복사 에너지를 반사하도록 그리고 램프들(130)(도 1에 도시됨)의 복사 에너지를 집속하도록 그리고 기판(150)에 걸쳐 복사 에너지의 제어된 에너지 분포를 허용하도록 구성된다. 본원에서 설명되는 실시예들에서, 내부 벽들(206) 각각은 만곡되고, 그 결과, 내부 벽들(206)은 타원의 일부들을 형성한다. 다른 실시예들에서, 내부 벽들(206)은 수직이다. 내부 벽들(206)은 약 700 nm 내지 약 15000 nm, 예컨대, 약 700 nm 내지 약 1000 nm 또는 약 1000 nm 내지 약 15000 nm 사이의 파장들에 대해 약 90%보다 더 큰, 예컨대, 약 98%보다 더 큰 반사도(reflectance)를 갖는다. 내부 벽들(206)은 반사성 코팅, 예컨대 금, 연마된 알루미늄, 또는 적외선 파장들에 대해 높은 반사율(reflectivity)을 갖는 다른 연마된 재료들의 코팅을 가질 수 있다. 일부 실시예들에서, 상부 모듈 바디(126)는 반사성 재료, 예컨대 알루미늄 또는 강철로 형성된다. 일부 실시예들에서, 상부 모듈 바디(126)는 제1 재료, 예컨대 알루미늄 또는 강철로부터 형성되고, 제2 재료로 도금된다. 제2 재료는 구리, 니켈, 황동, 청동, 은, 금, 알루미늄, 또는 이들의 합금 중 임의의 하나일 수 있다. 제2 재료는 반사율을 증가시키기 위해 연마될 수 있다. 최하부 표면(202)은 일부 실시예들에서 또한 반사성이다. 최하부 표면(202)은 약 700 nm 내지 약 15000 nm, 예컨대, 약 700 nm 내지 약 1000 nm 또는 약 1000 nm 내지 약 15000 nm 사이의 파장들에 대해 약 90%보다 더 큰, 예컨대, 약 98%보다 더 큰 반사도를 가질 수 있다. 최하부 표면(202)은 내부 벽들(206)과 유사한 재료로부터 제조되거나 또는 그 유사한 재료로 코팅된다.
[0054] 내부 벽들(206)은 상부 모듈 바디(126)를 통해 수직으로 연장되고, 그 결과 내부 벽들(206)은 최하부 표면(202)으로부터 멀어지게 그리고 최상부 표면(214)을 향해 연장된다. 내부 벽들(206) 및 따라서 램프 애퍼처들(128)의 일반적으로 수직인 배향은 기판 상에서 복사 에너지의 더욱 집속된 분포를 가능하게 한다. 램프 애퍼처들(128)의 일반적으로 수직인 배향은 상부 모듈 바디(126)에 의해 흡수되는 복사 에너지를 추가로 감소시킨다. 내부 벽들(206)은 회전 타원체의 일부를 형성한다. 램프 애퍼처들(128) 각각은 내부 벽들(206)이 중심으로 하여 형성되는 중심 축(A)과 일치하는 중심 축을 포함한다. 램프 애퍼처들(128) 각각을 통과하는 중심 축은 상부 램프 모듈(102)의 최하부 표면(202) 아래에서 공통의 교차 포인트를 가지며, 그 결과, 램프 애퍼처들(128) 각각은 중심 축(A)을 향하여 안쪽으로 향한다.
[0055] 본원에 도시되는 바와 같이, 파이로미터 통로(138)는 상부 모듈 바디(126)를 통해 배치되는 슬릿이다. 파이로미터 통로(138)는 최하부 표면(202)에서 제1 길이(L1)를, 그리고 최상부 표면(214)(도 2b)에서 제2 길이(L2)를 갖는다. 제1 길이(L1)는 제2 길이(L2)보다 더 길다. 제1 길이(L1)는, 스캐닝 파이로미터, 예컨대 파이로미터(134)에 의한 기판(150)의 최상부의 전체 스캐닝을 허용하면서, 최상부 표면(214)에서 개구를 감소시키기 위해 제2 길이(L2)보다 더 길다. 가열된 가스 통로(136)는 상부 모듈 바디(126)의 중앙을 통해 배치된다.
[0056] 도 2b는 도 2a의 상부 램프 모듈(102)의 개략적인 평면도이다. 도 2b에 도시되는 바와 같이, 복수의 램프 애퍼처들(128) 각각은 램프 베이스 지지부(212) 및 램프 베이스 지지부들(212) 각각을 통해 배치되는 전구 개구(bulb opening)(210)를 더 포함한다. 전구 개구들(210)은 램프 베이스 지지부들(212) 및 반사성 내부 벽들(206)을 연결한다. 램프 베이스 지지부들(212)은 전구 개구들(210) 주위에 배치되는 계단식으로 형성된 표면(stepped surface)들이다. 각각의 램프 베이스 지지부(212)는 중심 보어(center bore)(211) 및 중심 보어를 둘러싸는 원호(arc) 형상의 리세스(recess)(213)를 포함한다. 램프 베이스 지지부들(212)은 램프 베이스(129)를 지지하도록 구성된다. 전구 개구들(210)은 램프 베이스 지지부들(212)의 최하부 표면(215)을 통해 배치되는 원형 개구들이다. 전구 개구들(210)은 램프들(130)의 전구가 자신을 관통하여 통과하는 것을 허용하도록 사이즈가 조정된다.
[0057] 상부 램프 모듈(102)의 최상부 표면(214)은 융기된 부분(raised portion)(216)을 포함한다. 융기된 부분(216)은 최상부 표면(214)의 외부 부분에 대해 약간 융기된다. 융기된 부분(216)은 상부 램프 모듈(102)의 구조적 강도를 증가시키고 램프들(130) 및 측정 도구들, 예컨대 파이로미터(134)를 지지할 때 상부 램프 모듈(102)의 편향을 감소시킨다.
[0058] 도 2c는 섹션 라인 2C--2C를 통한 도 2a 및 도 2b의 상부 램프 모듈(102)의 개략적인 단면도이다. 중심 축(A)은 상부 램프 모듈(102)의 최상부 표면 및 최하부 표면을 통해 연장된다. 상부 모듈 바디(126)는 중심 축(A)을 중심으로 한다. 반사성 내부 벽들(206) 각각은 전구로부터의 램프 애퍼처들(128) 주위의 광을 반사하도록 그리고 내부 벽들(206)에 의해 형성되는 개구(217)를 통해 기판(150)(도 1에 도시됨)을 향하여 광을 지향시키도록 구성된다. 램프 애퍼처들(128)의 개구들(217)은 내부 벽들(206)과 최하부 표면(202)의 교선에 배치된다. 내부 벽(206) 및 각각의 램프 애퍼처들(128)의 개구(217)는 램프 애퍼처 축(E)을 둘러싸고 있다. 램프 개구 축(E)은 램프 애퍼처(128)를 통과하는 중심 라인이고 중심 축(A)과 관련하여 일정 각도()로 배치된다. 각도()는 약 45° 미만, 예컨대 약 30° 미만, 예컨대 약 20° 미만이다. 램프 애퍼처들(128) 각각은 유사한 램프 애퍼처 축(E)을 포함하고 중심 축(A)과 관련하여 일정 각도()로 배치된다. 모든 램프 애퍼처 축들(E)이 동일한 각도()를 갖는 것은 아니지만, 그러나 위에서 설명된 각도() 범위 내에서 기울어진다.
[0059] 램프 애퍼처들(128)의 중심 라인(272)은 상부 램프 모듈(102)의 측면(252)에 수직으로 묘화되는 수평선(260)에 대해 일정 각도(262)로 배향될 수 있다. 램프 애퍼처들(128)의 중심 라인(272)은 상부 램프 모듈(102)의 중심 라인(299)에 대해 일정 각도(269)로 배향될 수 있다. 각각의 램프 애퍼처(128)의 각각의 중심 라인(272)은 각각의 램프 애퍼처(128) 중심 라인(272)이 상부 램프 모듈(102)의 중심 라인(299)에서 교차하도록 반경 방향으로 정렬될 수 있다. 중심 라인들(272)의 교차점은 기판 지지 표면(151)보다 훨씬 아래에 있다는 것을 유의해야 한다. 램프 애퍼처들(128)의 중심 라인(272)은 상부 램프 모듈(102)의 최하부 표면(202)에 대해 일정 각도(269)로 배향될 수 있다. 최하부 표면(202)은, 중심 라인(272)의 각도(269)가 최하부 표면(202)과의 중심 라인(272)의 교차점에서 최하부 표면(202)의 접선에 직교하도록 만곡될 수 있다. 각각의 램프 애퍼처(128)에 대한 배향 각도(262)에 대한 위의 다양한 설명들은 설명들의 조건들을 충족하기 위해 약간 상이할 수 있다는 것이 인식되어야 한다. 예를 들면, 램프 애퍼처들(128)은, 외부 링의 램프 애퍼처들(128)의 각도(262)가 내부 링의 램프 애퍼처들(128)의 각도(262)와는 상이한 상태에서, 2개 또는 3개의 동심의 원형 링들로 배열될 수 있다. 램프 애퍼처들(128)을 포함하는 각도(262)의 비교에서, 외부 링의 램프 애퍼처들(128)은 내부 링의 램프 애퍼처들(128)보다 더 얕은, 또는 더 작은 각도(262)를 가질 수 있다.
[0060] 개구들(217) 각각은 최하부 표면(202)에서 제1 직경(D1)을 갖는다. 제1 직경(D1)은 약 10 mm 내지 약 50 mm, 예컨대 약 20 mm 내지 약 40 mm이다. 제1 직경(D1)은 복사 에너지의 분포 및 복수의 램프 애퍼처들(128) 각각을 떠나는 복사 에너지의 초점을 제어하도록 선택된다. 전구 개구들(210) 각각은 제2 직경(D2)을 갖는다. 램프 애퍼처 축(E)은 전구 개구들(210)의 중심을 유사하게 통과하고, 그 결과, 개구(217) 및 전구 개구(210)는 램프 애퍼처 축(E)을 중심으로 동심이다(concentric). 제2 직경(D2)은 약 5 mm 내지 약 40 mm, 예컨대 약 10 mm 내지 약 30 mm이다. 제2 직경(D2)은 램프들(130) 중 하나의 램프의 전구가 자신을 관통하여 통과하는 것을 허용할 만큼 충분히 크지만, 그러나 전구 개구들(210)을 통한 열 손실을 감소시킬 만큼 충분히 작다. 일부 실시예들에서, 제1 직경(D1)의 사이즈 대 제2 직경(D2)의 사이즈의 비(ratio)는 약 2:1 내지 약 5:4, 예컨대 약 2:1 내지 약 4:3, 예컨대 약 2:1 내지 약 3:2이다. 제1 직경(D1) 대 제2 직경(D2)의 비는 상부 모듈 바디(126) 아래에 배치되는 기판 상에 소망되는 에너지 분포를 생성하도록 구성된다. 일부 실시예들에서, 램프들(130) 각각의 전구의 최대 직경은 제2 직경(D2)보다 1 mm 미만 더 작다.
[0061] 복수의 램프 애퍼처들(128)은 별개의 구역들에 배치된다. 본원에 도시되는 바와 같이, 복수의 램프 애퍼처들(128)은 3개의 구역들에 배치된다. 3개의 구역들 각각은 대략적으로 파이 형상일(pie-shaped) 수 있고, 구역들 각각은 원의 부채꼴을 형성한다. 구역들이 원의 부채꼴들로 배열되는 예에서, 각각의 부채꼴은 상부 램프 모듈(102)의 약 120°를 둘러쌀 수 있다. 대안적으로, 3개의 구역들이 동심으로 배열될 수 있다. 또 다른 예들에서, 구역들은 나선형으로 배열될 수 있다. 각각의 구역은 램프 애퍼처들(128)의 별개의 그룹을 포함한다.
[0062] 각각의 구역은 약 5개 내지 10개의 램프 애퍼처들(128), 예컨대 약 6개 내지 8개의 램프 애퍼처들(128)을 포함한다. 구역들 각각은 기판의 상이한 부분들을 가열하도록 배열된다. 복수의 램프 애퍼처들(128)의 구역들 각각은 램프 애퍼처들(128)의 내부 서브세트 및 램프 애퍼처들(128)의 외부 서브세트를 포함한다. 램프 애퍼처들(128)의 내부 서브세트 내에는 다수의 램프 애퍼처들(128)이 있다. 램프 애퍼처들(128)의 내부 서브세트 내의 램프 애퍼처들(128)은 서로 사이에 작은 거리를 갖는다. 작은 거리는 내부 서브세트 내의 램프 애퍼처들(128) 중 하나로부터 인접한 구역 내의 램프 애퍼처들(128)의 제2 내부 서브세트 내의 임의의 램프 애퍼처(128)까지의 거리보다 더 작다. 램프 애퍼처들(128)의 외부 서브세트 내의 램프 애퍼처들(128)은 상부 램프 모듈(102) 상에서 균일하게 이격된다. 램프 애퍼처들(128)의 외부 서브세트 내의 각각의 램프 애퍼처(128)는, 제2 외부 서브세트 내의 인접한 램프 애퍼처(128)로부터, 동일한 외부 서브세트 내의 인접한 램프 애퍼처(128)와 동일한 거리에 있다.
[0063] 복수의 램프 애퍼처들(128)의 다른 어레인지먼트들이 또한 고려된다. 복수의 램프 애퍼처들(128)에 대한 하나의 대안적인 어레인지먼트는 복수의 동심 링들, 예컨대 2개 또는 3개의 동심 링들에서 복수의 램프 애퍼처들(128)을 배열하는 것, 또는 다른 방식에서는 상부 램프 모듈(102)에 걸쳐 램프 애퍼처들(128)의 균일한 분포를 갖는 것을 포함할 수 있다.
[0064] 램프들(130)의 일반적인 배향은 각각의 램프 애퍼처(128)에 대한 형상 및 어레인지먼트에 의해 좌우될 수 있다. 각각의 램프 애퍼처(128)에서의 램프들(130)의 일반적인 배향은 중심 축(A)으로부터 일정 각도(261)로 있을 수 있다. 각도(261)는 서셉터 어셈블리(124)의 기판 지지 표면(151)에 대한 램프들(130)의 수직 배향을 초래할 수 있다. 기판 지지 표면(151)에 대한 각도(261)는 중심 축(A)과 관련하여 약 +/-60°, 예컨대, 중심 축(A)과 관련하여 약 +/-45°, 예컨대, 중심 축(A)과 관련하여 약 +/-20°에 있을 수 있다. 일부 예들에서, 최하부 표면(202)은 만곡될 수 있고, 예컨대 포물선일 수 있고, 각각의 램프(130)는 최하부 표면(202)에 대해 직각으로 배향될 수 있다. 또 다른 예들에서, 개개의 제1 구역에 있는 각각의 램프(130)는 제1 각도에 있고 개개의 제2 구역에 있는 각각의 램프(130)는 제2 각도로 배향되며, 제1 각도는 제2 각도와 동일하지 않다. 그러한 구역 어레인지먼트에서, 중심 축(A)로부터 더 멀리 있는 구역들의 램프들(130)은 중심 축(A)에 더 가까운 구역들의 램프들(130)보다 더 큰 각도에 있을 것이라는 것이 추가로 고려된다.
[0065] 도 3a는 하부 램프 모듈(104)의 개략적인 평면도이다. 하부 램프 모듈(104)의 하부 모듈 바디(182)는 최상부 표면(302), 최하부 표면(314)(도 3b에 도시됨) 및 최상부 표면(302)의 외부 가장자리 주위에 배치되는 지지 융기부(304)를 더 포함한다. 지지 융기부(304)는 하부 모듈 바디(182)의 최상부 표면(302) 주위에 배치되며 하부 모듈 바디(182)로부터 바깥쪽으로(outward) 연장되는 링이다. 지지 융기부(304)는, 최하부 표면(202)의 나머지와 하부 윈도우(120) 사이의 분리를 제공하면서, 하부 윈도우(120)(도 1에 도시됨)의 일부와 접촉하는 것에 의해 하부 윈도우(120)로부터 하부 모듈 바디(182)의 최상부 표면(302)을 분리하도록 구성된다. 지지 융기부(304)는 하부 모듈 바디(182)가 하부 윈도우(120)의 중앙 부분 대신 챔버 바디 어셈블리(106)의 한 섹션 또는 주변 지지부들(170)과만 접촉하는 것을 가능하게 한다. 이것은 하부 윈도우(120)가 파손될 가능성을 감소시키고 하부 플레넘(181)을 형성한다. 최상부 표면(202)은 하부 윈도우(120)의 중앙 부분과 유사한 형상을 갖는다. 본원에서 설명되는 실시예들에서, 최상부 표면(202)은 오목하다.
[0066] 램프 애퍼처들(186) 각각은 내부 벽(306)을 포함한다. 내부 벽들(306) 각각은 상부 램프 모듈(102)의 내부 벽들(206)과 유사하다. 램프 애퍼처들(186)의 내부 벽들(306)은 램프들(188)(도 1에 도시됨)로부터의 복사 에너지의 집속을 가능하게 하게끔 그리고 기판(150)에 걸쳐 제어된 에너지 분포를 허용하게끔 복사 에너지를 반사하도록 구성된다. 내부 벽들(306) 각각은 최상부 표면(302)에서 램프 애퍼처들(186)에 대한 원형 또는 타원형 개구를 형성한다.
[0067] 내부 벽들(306)은 하부 모듈 바디(182)를 통해 수직으로 연장되고, 그 결과 내부 벽들(306)은 최상부 표면(302)으로부터 멀어지게 그리고 최하부 표면(314)을 향해 연장된다. 내부 벽들(306) 및 따라서 램프 애퍼처들(186)의 수직인 배향은 기판 상에서 복사 에너지의 더욱 집속된 분포를 가능하게 한다. 램프 애퍼처들(186)의 수직인 배향은 하부 모듈 바디(182)에 의해 흡수되는 복사 에너지를 추가로 감소시킨다.
[0068] 일부 실시예들에서, 하부 모듈 바디(182)는 제1 재료, 예컨대 알루미늄 또는 강철로부터 형성되고, 제2 재료를 사용하여 도금된다. 제2 재료는 구리, 황동, 청동, 은, 금, 알루미늄, 또는 이들의 합금 중 임의의 하나일 수 있다. 일부 실시예들에서, 하부 모듈 바디(182)는 제2 재료 코팅을 포함하지 않고, 대신, 단일의 재료이다. 하부 모듈 바디(182)는 연마된 최상부 표면(302)을 가질 수 있다. 최상부 표면(302)은 일부 실시예들에서 또한 반사성이다. 최상부 표면(302)은 약 700 nm 내지 약 15000 nm, 예컨대, 약 700 nm 내지 약 1000 nm 또는 약 1000 nm 내지 약 15000 nm 사이의 파장들에 대해 약 90%보다 더 큰, 예컨대, 약 98%보다 더 큰 반사도를 가질 수 있다. 최상부 표면(302)은 반사성 내부 벽들(306)과 유사한 재료로부터 제조되거나 또는 그 유사한 재료로 코팅된다.
[0069] 본원에 도시되는 바와 같이, 파이로미터 통로(192)는 하부 모듈 바디(182)를 통해 배치되는 슬릿이다. 파이로미터 통로(192)는 최상부 표면(302)에서 제3 길이(L3)를, 그리고 최하부 표면(314)(도 3b)에서 제4 길이(L4)를 갖는다. 제3 길이(L3)는 제4 길이(L4)보다 더 길다. 제3 길이(L3)는, 스캐닝 파이로미터, 예컨대 파이로미터(190)에 의해, 기판(150)의 최하부, 또는 서셉터의 최하부의 전체 스캐닝을 허용하면서, 최하부 표면(314)에서 개구를 감소시키기 위해 제4 길이(L4)보다 더 길다.
[0070] 도 1에 도시되는 바와 같이, 서셉터 샤프트 통로(195)는 하부 모듈 바디(182)의 중심을 통해 중심 축(A)을 따라 배치된다. 서셉터 샤프트 통로(195)는 하부 모듈 바디(182)의 최상부 표면(302)과 최하부 표면(314) 사이에 배치되어 그들을 연결한다. 최상부 표면(302)에 인접한 서셉터 샤프트 통로(195)의 부분은 만곡된 표면(208)을 포함한다. 만곡된 표면(208)은 하부 윈도우(120)가 서셉터 샤프트 통로(195)를 통과하도록 만곡될 때 하부 윈도우(120)의 형상을 따르도록 구성된다. 만곡된 표면(208)은 서셉터 샤프트 통로(195)의 내부 표면과 최하부 표면(314)을 연결한다.
[0071] 도 3b는, 본 개시내용의 실시예들에 따른, 도 3a의 하부 램프 모듈(104)의 개략적인 평면도이다. 도 3b에 도시되는 바와 같이, 복수의 램프 애퍼처들(186) 각각은 램프 베이스 지지부(312) 및 램프 베이스 지지부들(312) 각각을 통해 배치되는 전구 개구(310)를 더 포함한다. 전구 개구들(310)은 램프 베이스 지지부들(312) 및 내부 벽들(306)을 연결한다. 램프 베이스 지지부들(312)은 전구 개구들(310) 주위에 배치되는 계단식으로 형성된 표면들이다. 각각의 램프 베이스 지지부(312)는 중심 보어(311) 및 중심 보어를 둘러싸는 원호 형상의 리세스(313)를 포함한다. 램프 베이스 지지부들(312)은 램프 베이스(184)를 지지하도록 및/또는 램프 베이스(184)에 커플링되도록 구성된다. 전구 개구들(310)은 램프 베이스 지지부들(312)의 최상부 표면을 통해 배치되는 원형 개구들이다. 전구 개구들(310)은 램프들(188)의 전구가 자신을 관통하여 통과하는 것을 허용하도록 사이즈가 조정된다.
[0072] 도 3c는 평면 3C--3C를 통한 도 3a의 하부 램프 모듈(104)의 개략적인 단면도이다. 도 3c에 도시되는 바와 같이, 하부 모듈 바디(182)는 중심 축(B)을 중심으로 한다. 일부 실시예들에서, 내부 벽들(306)과 최상부 표면(302)의 교선은 개구들(317)을 형성한다. 개구(317)는 제1 직경(D1)을 갖는다. 일부 실시예들에서, 개구(317)는 타원형 또는 달걀 모양이다. 이들 실시예들에서, 제1 직경(D1)은 개구(317)의 장축 길이일 것이다. 제1 직경(D1)은 상부 모듈 바디(126)를 참조하여 설명되는 바와 같이 제1 직경(D1)과 유사하다. 전구 개구들(310) 각각은 제2 직경(D2)을 갖는다. 제2 직경(D2)은 상부 모듈 바디(126)를 참조하여 설명되는 바와 같이 제2 직경(D2)과 유사하다. 일부 실시예들에서, 램프들(188) 각각의 전구의 최대 직경은 제2 직경(D2)보다 1 mm 미만 더 작다.
[0073] 반사성 내부 벽들(306) 각각은 전구로부터의 램프 애퍼처들(186) 주위의 광을 반사하도록 그리고 내부 벽들(306)에 의해 형성되는 개구(317)를 통해 기판(150)(도 1)을 향하여 광을 지향시키도록 구성된다. 개구(317)는 내부 벽들(306)과 최상부 표면(302)의 교선에 배치된다. 내부 벽(306)의 벽들 및 램프 애퍼처들(186) 중 하나의 애퍼처의 개구(317)는 램프 애퍼처 축(F)을 둘러싸고 있다. 램프 개구 축(F)은 램프 애퍼처(186)를 통과하는 중심 라인이다. 램프 애퍼처 축(F)은 전구 개구들(310)의 중심을 유사하게 통과하고, 그 결과, 개구(317) 및 전구 개구(310)는 램프 애퍼처 축(F)을 중심으로 동심이다.
[0074] 하부 램프 모듈(104)의 복수의 램프 애퍼처들(186)은 구역들에 배치된다. 구역들은 상부 램프 모듈(102)과 관련하여 위에서 설명된 것들과 유사하게 구성될 수 있다. 본원에 도시되는 바와 같이, 복수의 램프 애퍼처들(186)은 2개의 동심 구역들에 배치된다. 각각의 구역은 서셉터 샤프트 통로(195)의 중심 라인을 중심으로 공통 직경 상에 배치되는 램프 애퍼처들(186)의 링을 포함하며, 서셉터 샤프트 통로(195)의 중심 라인은 또한 에피택셜 챔버(100)의 중심 라인이다. 램프 애퍼처들(186)의 각각의 링은 적어도 3개의 램프 애퍼처들(186)을 포함한다. 본원에서 설명되는 실시예들에서, 내부 구역은 8개 내지 16개의 램프 애퍼처들(186), 예컨대 10개 내지 14개의 램프 애퍼처들(186)을 갖는 링을 포함한다. 외부 구역은 12개 내지 20개의 램프 애퍼처들(186), 예컨대 14개 내지 18개의 램프 애퍼처들을 갖는 링을 포함한다. 본원에서 설명되는 바와 같이, 외부 구역은 내부 구역보다 더 많은 램프 애퍼처들(186)을 포함한다.
[0075] 램프들(188)의 배향은 중심 축(A)과 관련하여 정의될 수 있다. 중심 축(A)은 하부 램프 모듈(104)의 최상부 표면(302) 및 최하부 표면(314)을 통해 연장된다. 상부 모듈 바디(126)는 중심 축(A)을 중심으로 한다. 램프들(188)의 배향은 중심 축(A)에 평행할 수 있다. 대안적으로, 램프들(188)의 배향은 하부 램프 모듈(104)의 최하부 면(314)에 수직인 것으로 설명될 수 있다. 일부 예들에서, 램프들(188)의 배향은 중심 축(A)과 관련하여 0°보다 더 큰 각도, 예컨대 중심 축(A)과 관련하여 약 +/-60°, 예컨대 중심 축(A)과 관련하여 약 +/-45°의 각도, 예컨대 중심 축(A)과 관련하여 약 +/-20°의 각도에 있을 수 있다. 하나의 예에서, 램프들(188)의 배향은 중심 축(A)과 관련하여 각도 0°에 있다. 다른 예에서, 개개의 제1 구역에 있는 각각의 램프(188)는 개개의 제2 구역에 있는 각각의 램프(188)에 대한 제2 각도와는 상이한 제1 각도에 있다.
[0076] 도 4a는, 본 개시내용의 제1 실시예에 따른, 상부 히터(158) 및 하부 히터(152)의 개략적인 단면도이다. 하부 히터(152)는 플랜지(160)에 커플링된다. 상부 히터(158)는 플랜지(160) 위에 배치된다. 하부 히터(152)는 하부 라이너(154) 및 베이스 링(114)으로부터 플랜지(160)에 의해 이격된다. 하나의 예에서, 하부 히터(152)는 하부 라이너(154) 및 베이스 링(114) 중 하나 이상과 접촉하지 않는다. 따라서, 하부 히터(152)는 하부 라이너(154)와 베이스 링(114), 특히 베이스 링(114) 사이의 공간을 가열한다. 어레인지먼트는 유익하게는 하부 히터(152)가 하부 라이너(154) 및 베이스 링(114)과는 독립적으로 교체되고 서비스되는 것을 허용한다.
[0077] 하부 히터(152)는 하나 이상의 가열 엘리먼트들을 가질 수 있다. 하나의 예에서, 가열 엘리먼트들은 저항성 가열 엘리먼트(402)이다. 다른 타입들의 히터들도 또한 고려된다. 하부 히터(152)는 프로세싱 동안 벽들이 히트 싱크들이 되는 것을 방지하고 에피택셜 챔버(100)의 벽들로의 열 손실들을 감소시킨다. 특히, 하부 히터(152)는 내부 볼륨(110)으로부터 베이스 링(114)으로의 열 손실들을 보상하도록 구성된다. 베이스 링(114)으로 손실되는 열을 보상하는 것은 내부 볼륨(110)의 온도가 소망되는 온도에서 더욱 쉽게 유지되는 것을 허용한다.
[0078] 하부 히터(152)는 저항성 가열 엘리먼트(402)를 통해 배치되는 기판 통로 개구(404)를 포함한다. 기판 통로 개구(404)는 기판 이송 통로(162)와 정렬되도록 구성된다. 기판 통로 개구(404)는 기판, 예컨대 도 1에 묘사되는 기판(150)이, 자신을 관통하여 통과하는 것을 허용하도록 사이즈가 조정된다. 기판 통로 개구(404)의 폭(W8)은 약 305 mm 내지 약 350 mm, 예컨대 약 305 mm 내지 약 315 mm이다(도 4b).
[0079] 하나의 예에서, 저항성 가열 엘리먼트(402)는 링 형상을 갖는다. 링 형상 내에서, 저항성 가열 엘리먼트(402)는 사행형 어레인지먼트(serpentine arrangement)로 배치되고, 그 결과, 저항성 가열 엘리먼트(402)는 복수의 턴(turn)들 및 굴곡부들을 포함한다. 저항성 가열 엘리먼트(402)는 서로 평행하게 배치되는 수직 부분들(411) 및 서로 평행하게 배치되는 수평 부분들(412)을 포함한다. 수직 부분들(411)은 높이(491)를 갖는다. 수직 부분들(411) 각각은 하나의 단부에서 수평 부분들(412) 중 하나에 의해 인접한 수직 부분(411)에 연결되고, 그리고 다른 단부에서 수평 부분들(412) 중 다른 하나에 의해 수직 부분(411)의 다른 측 상의 인접한 수직 부분(411)에 연결된다. 전력 소스(도시되지 않음)로부터의 전류는 저항성 가열 엘리먼트(402), 즉, 사행 형상의 저항성 가열 엘리먼트의 코일들을 통해 흐르고, 저항성 가열 엘리먼트(402)를 저항적으로 가열한다.
[0080] 하나의 예에서, 저항성 가열 엘리먼트(402)는 탄소 기반의 재료이며, 그 결과, 코일 재료의 저항률은 약 500 μΩ·cm 내지 약 1500 μΩ·cm, 예컨대, 약 750 μΩ·cm 내지 약 1250 μΩ·cm이다. 일부 실시예들에서, 저항성 가열 엘리먼트(402)는 흑연 재료로 형성된다. 저항성 가열 엘리먼트(402)를 형성하는 다른 재료들은 마비성 흑연(paralytic graphite) 및 실리콘 탄화물을 포함할 수 있다. 마비성 흑연 및 실리콘 탄화물은 대안적인 저항률 범위들을 포함할 수 있다. 인접한 수직 부분들(411) 각각 사이에 갭들(408)이 형성된다. 갭들(408)은 저항성 가열 엘리먼트(402)의 열적 팽창을 가능하게 하고, 퍼지 가스들 또는 다른 가스들이 자신을 관통하여 통과하는 것을 또한 허용할 수 있다. 갭들(408)은, 배기 가스들, 예컨대 배기 가스들이 자신을 관통하여 통과하는 것을 허용하기 위해 기판 통로 개구(404) 반대편에서 저항성 가열 엘리먼트(402)의 측면을 따라 더 클 수 있다. 대안적으로, 저항성 가열 엘리먼트(402)의 개구 또는 갈라진 틈(break)이 하부 챔버 배기 통로(164)에 인접하게 배치된다. 배기 가스들은 갭들(408)을 통해 하부 챔버 배기 통로(164)(도 1)까지 통과할 것이다.
[0081] 저항성 가열 엘리먼트(402)는 만곡된 또는 중공(hollow) 원통형 형상을 가지며 하부 라이너(154)와 베이스 링(114)의 내부 벽(404) 사이에 배치된다. 저항성 가열 엘리먼트(402)는 적어도 부분 링(partial ring)을 형성한다. 일부 실시예들에서, 저항성 가열 엘리먼트(402)는 내부 볼륨(110) 및 하부 라이너(154)를 완전히 또는 부분적으로 둘러싼다. 저항성 가열 엘리먼트(402)의 각각의 코일(406)은 각각의 수직 부분(411)의 제1 원위 단부에 있는 수평 부분(412) 및 수직 부분들(411) 각각의 반대쪽 원위 단부에 커플링되는 수평 부분(412)의 절반에 의해 연결되는 2개의 수직 부분들(411)을 포함한다. 저항성 가열 엘리먼트(402) 내에 배치되는 복수의 코일들(406)이 있다.
[0082] 상부 히터(158)는 주입 링(116)의 내부 둘레와 상부 라이너(156)의 외부 둘레 사이에 배치된다. 하나의 예에서, 상부 히터(158)는 주입 링(116)과 접촉하면서 상부 라이너(156)로부터 이격된다. 다른 예에서, 상부 히터(158)는 상부 라이너(156) 및 주입 링(116) 둘 모두로부터 이격된다. 상부 히터(158)는 하부 히터(152)와 유사한 저항성 가열 엘리먼트(402)로부터 형성될 수 있다. 예를 들면, 상부 히터(158)는 사행형 어레인지먼트로 배치된다. 상부 히터(158)는 높이(492)를 갖는 수직 부분들을 포함한다. 수직 부분들은 서로 평행하게 배치된다. 하나의 예에서, 수직 부분들은 모두 동일한 높이들(492)을 갖는다. 상부 히터(158)는 폭(426)을 갖는 수평 부분들을 추가적으로 포함한다. 상부 히터(158)는 서로 평행하게 배치되는 수평 부분들을 추가적으로 포함한다. 하나의 예에서, 수평 부분들 모두는 동일한 폭(426)을 갖는다. 그러나, 수평 부분들의 폭(462)은 모두 동일하지는 않을 수 있다는 것이 인식되어야 한다. 수직 부분들 각각은 하나의 단부에서 수평 부분들 중 하나에 의해 인접한 수직 부분에 연결되고, 그리고 다른 단부에서 수평 부분들 중 다른 하나에 의해 수직 부분의 다른 측 상의 인접한 수직 부분에 연결되어 가열 엘리먼트(402)의 사행형 어레인지먼트를 형성한다.
[0083] 하나의 예에서, 하부 히터(152)에 대한 수직 부분들(411)의 높이(491)는 상부 히터(158)의 높이(492)보다 더 크다. 그러나, 하부 히터들(152) 및 상부 히터(158)에 대한 개개의 높이들(491, 492)은 챔버 컴포넌트들에 의해 정의된다는 것이 인식되어야 한다.
[0084] 도 4b는 도 4a에 도시되는 히터들(152, 158)의 개략적 평면 등각 단면도(plan isometric sectional view)이다. 상부 히터(158) 및 하부 히터(152)는 베이스 링(114) 및 주입 링(116)과 부분적으로 조립되어 도시되어 있다. 부분적 어셈블리는 상부 라이너(156) 또는 하부 라이너(154)를 나타내지 않는다. 상부 히터(158) 및 하부 히터(152)의 곡률은 에피택셜 챔버(100)의 내부 볼륨(110) 내에 배치되는 개구(410)를 형성한다. 플랜지(160)는 하부 히터(152)의 최상부 단부(top end)에 연결되며 하부 히터(152)로부터 반경 방향 바깥쪽으로 연장된다. 플랜지(160)는 주입 링(116)의 베이스 바디(114)의 홈 또는 디봇(divot)에 연결되도록 또는 그 안에 놓이도록 구성될 수 있다. 일부 실시예들에서, 플랜지(160)는 베이스 바디(114)와 주입 링(116) 사이에서 연장될 수 있다. 플랜지(160)는 편평한 링 형상을 갖는다.
[0085] 하부 히터(152)의 저항성 가열 엘리먼트(402)는 제1 전기 연결부(406a)(도 4a에 도시됨) 및 제2 전기 연결부(452)에 전기적으로 커플링된다. 제1 전기 연결부(406a) 및 제2 전기 연결부(452)는 전력 소스에 연결되도록 구성된다. 제1 전기 연결부(406a) 및 제2 전기 연결부(406b)는, 하부 히터(152)의 온도가 제어될 수 있도록, 하부 히터(152)의 저항성 가열 엘리먼트(402)에 전력을 제공한다. 유사하게, 상부 히터(158)의 저항성 가열 엘리먼트(402)는 제1 전기 연결부(451A) 및 제2 전기 연결부(451B)에 전기적으로 커플링된다. 제1 전기 연결부(451A) 및 제2 전기 연결부(451B)는 전력 소스에 연결되도록 구성된다. 제1 전기 연결부(451A) 및 제2 전기 연결부(451B)는, 상부 히터(158)의 온도가 제어될 수 있도록, 상부 히터(158)의 저항성 가열 엘리먼트(402)에 전력을 제공한다.
[0086] 도 5a는, 본 개시내용의 제2 실시예(500)에 따른, 하부 히터(152)의 개략적인 단면도이다. 도 5b는 도 5a의 하부 히터(152)의 추가적인 예들을 예시하는 개략적인 등각 투영도이다. 하부 히터(152)의 가열 엘리먼트들은 튜빙(tubing)(510)으로 형성될 수 있다. 하나의 예에서, 튜빙(510)은 주변 온도를 제어하기 위한 튜브들로 성형되는 램프, 즉 전구(bulb)이다. 튜빙(510)은 석영, 또는 다른 높은 온도에 내성이 있는 투명 재료로부터 제조될 수 있다. 필라멘트, 예컨대 텅스텐이 튜빙(510)에 배치된다. 필라멘트는 상부 및 하부 램프 모듈들에서 사용되는 램프들과 유사한 방식으로 가열되고 복사 가열을 생성한다. 하부 히터(152)는 챔버 벽들 및/또는 챔버 라이너들로 열을 복사하는 하나의 또는 다수의 곡선형 램프(curvilinear lamp)들일 수 있다. 다른 예에서, 튜빙(510)은 주변 온도를 제어하기 위한 튜빙(510) 내에서 흐르는 온도 제어 유체를 갖는다.
[0087] 하부 히터(152)의 튜빙(510)은 제1 연결부(551) 및 제2 연결부(552)를 가질 수 있다. 제1 연결부(551) 및 제2 연결부(552)는 전기적일 수 있고 하부 히터(152)의 온도를 제어하기 위한 전력을 튜빙에 제공할 수 있다. 예를 들면, 제1 연결부(551) 및 제2 연결부(552)에 공급되는 전력은 튜빙(510) 내의 텅스텐 필라멘트를 가열할 수 있다. 하나의 예에서, 하부 히터(152)는 텅스텐 필라멘트를 갖는 하나의 연속적인 석영 튜브(550)이다. 하부 히터(152)는 열을 복사하기 위해 다른 방법들을 이용할 수 있다는 것이 인식되어야 한다. 예를 들면, 하부 히터(152)는 탄소 기반의 저항성 코일, 또는 유체일 수 있다.
[0088] 다른 예에서, 하부 히터(152)는 단일의 가열 엘리먼트보다 더 많은 것, 즉 도 5b에 도시되는 바와 같은 튜빙(510)으로부터 형성될 수 있다. 하부 가열 엘리먼트(152)는 하나보다 더 많은 제1 연결부들(551) 및 하나보다 더 많은 제2 연결부들(552)이 있을 수 있도록 또는 다수의 튜브들로부터 형성될 수 있다. 예를 들면, 제1 튜브(550)의 제1 연결부들(551) 및 제2 연결부들(552)은 제2 튜브의 제3 연결부 및 제4 연결부와는 상이할 수 있다. 그러한 예에서, 하부 가열부(152)의 각각의 튜브(550)는 개별적으로 그리고 독립적으로 제어될 수 있다.
[0089] 또 다른 예에서, 하부 히터(152)는 3개 이상의 별개의 튜브들(510)로부터 형성될 수 있다. 하부 히터(152)는 제1 유입구 커넥터(571) 및 제1 유출구 커넥터(572)를 갖는 제1 튜브(570), 제2 유입구 커넥터(581) 및 제2 유출구 커넥터(582)를 갖는 제2 튜브(580), 및 제3 유입구 커넥터(591) 및 제3 유출구 커넥터(592)를 갖는 제3 튜브(590)를 가질 수 있다. 제1 튜브(570), 제2 튜브(580), 및 제3 튜브(590) 각각을 통한 전력의 흐름은 독립적으로 제어될 수 있어서, 유익하게는 더 나은 프로세스 제어 및 균일성을 위해 주입 링(116)의 상이한 영역들을 따라 국소화된 온도들의 더 나은 제어를 가능하게 할 수 있다.
[0090] 위에서 언급된 예들에서, 튜빙(510)은, 튜빙(510)의 열팽창의 효과들을 무효화하면서 튜빙(510)의 온도 출력이 튜빙(510) 내부에서 흐르는 유체의 온도와 일치하는 것을 보장하기 위해, 교차부(cross over)(542), 또는 트위스트를 가질 수 있다. 예를 들면, 제2 튜브(580)에서 도시되는 바와 같이, 필라멘트는 제1 최상부 부분(521)에 진입하기 이전에 제2 유입구(581)를 그리고 제2 하부 부분(522)을 따라 거슬러 진행하기 이전에 90° 굴곡부(524)를 통해 이동한다. 제2 하부 부분(522)을 따라 튜브(510)에 배치되는 필라멘트는, 90° 굴곡부(525)가 하부 제4 부분(554)을 따라 거슬러 진행하고 제2 튜브(580)의 제2 유출구 커넥터(582)에 연결되는 필라멘트를 가지기 이전에 상부 제3 부분(553)에 진입하기 이전에 교차부(542)에 진입한다. 제2 튜브(580)의 사행형 경로는 튜브(510)의 바인딩(binding) 또는 파손을 방지하기 위해 열팽창을 보상하면서 하부 히터(152)의 용이한 설치를 허용하면서 열을 더욱 균등하게 분배한다. 예컨대 기판 전달을 위한 슬롯 주위에 로케이팅되는 제3 튜브(590)와 함께 도시되는 일부 로케이션들에서, 튜빙(510) 가열은 90° 굴곡부(503)에 의해 연결되는 상부 부분(501) 및 하부 부분(502)만을 갖는다. 그러나, 튜빙(510)의 열팽창이 무시될 수 있는 경우, 예컨대 튜빙(510)이 로케이션에 대해 사이즈가 작은 경우 간략화를 위해 교차부(542)를 통합하지 않는 튜빙(510)이 전반에 걸쳐 활용될 수 있다는 것이 인식되어야 한다.
[0091] 유익하게는, 튜빙(510)을 가지고 구성되는 하부 히터(152)는 하부 히터(152)가 에피택셜 챔버(100)의 가열 장치에 쉽게 통합되는 것을 허용한다.
[0092] 도 6a 및 도 6b는 파이로미터 통로(138/192)에 대한 광학 필터들(600)의 2가지 예들을 예시한다. 광학 필터(600)는 각각의 파이로미터 통로(138/192)를 덮기 위해 상부 돔(102) 또는 하부 돔(104)에서 사용될 수 있다. 광학 필터(600)는 파이로미터 측정치들을 개선하기 위해 램프들(130)로부터 엄선된 IR 주파수들을 필터링한다.
[0093] 에피택시 챔버(100)에서 기판의 온도를 측정하기 위해 IR 파이로미터가 사용된다. 전형적으로, 파이로미터에 의해 기판으로부터의 IR 조사를 모니터링하고 플랑크의 법칙(Plank's law)을 사용하여 그것을 (타깃의 광학 속성들의 지식과 함께) 온도로 변환하기 위해, 단일의 파장(또는 "컬러")이 선택된다. 모니터링할 파장의 선택은 상부 윈도우(122) 또는 하부 윈도우(120)에 의해 차단되지 않도록 하는 그러한 것이다. 예를 들면, 석영 윈도우(120,122)의 경우, 4 ㎛를 초과하는 파장들은 필터링될 것이고, 따라서, 파이로미터에 의해 검출되는 파장은 4 ㎛의 이 제한보다 더 작다. IR 신호 검출의 관점들에서 파장이 더 낮을수록 더 높은 해상도가 달성될 수 있지만, 그러나, 더 낮은 파장은 신호 대 노이즈 비가 더 약하다는 것을 또한 의미한다. 에피택시 프로세스 챔버(~1200C만큼 높은 온도)에서 온도 측정에서의 다른 복잡도는, IR 검출기에 의해 수신되는 신호가 관심 타깃 및 (램프 가열 시스템의) 가열 필라멘트들로부터의 복사 둘 모두로부터의 매우 복잡한 신호라는 것이다. 따라서, 광학 필터들(600)은 스펙트럼으로부터 특정한 파장(예를 들면, 2.7 ㎛)의 피크를 필터링하는 적절한 OH 함량을 갖는 석영의 플랫 플레이트들 또는 슬리브들의 형태로 개시된다. 선택된 파장은 램프들(130)로부터의 복사 조도(irradiance)에 기인하는 최소 노이즈를 가지고 파이로미터 검출을 위해 사용될 수 있다. 대안적인 구현예는 램프 전구 필터(600)에 대한 재료로서 조정된 OH 함량을 갖는 석영 재료(예를 들면, 원하지 않는 방출을 완전히 차단하기 위해 ~5 mm 두께를 갖는 낮은 OH, 낮은 Fe 불순물 석영)를 사용하는 것일 수 있다. 하나의 예에서, 2.7 ㎛를 필터링하여 챔버에서 열의 양호한 IR 검출을 허용하기 위해 낮은 OH 함량 석영이 사용된다.
[0094] 파이로미터 통로(138)는 개구(626), 측벽들(628), 및 상부 벽(638)을 갖는다. 상부 벽(638)은 형상이 원추형일 수 있고 중앙에서 개구(630)를 가질 수 있다. 개구(630)는 파이로미터(130)가 상부 벽(638)을 통해 파이로미터 통로(138) 내로 연장되는 것을 허용하도록 구성된다.
[0095] 하나의 예에서, 광학 필터(600)는 컵 형상 렌즈를 가지며 파이로미터 통로(138)의 상부 벽(638)에 커플링된다. 광학 필터(600)는 상부 벽(638)에 나사 결합될 수 있다. 대안적으로, 광학 필터(600)는 상부 벽(638)에서 형성되는 개구들 내로 고정되는 탱(tang)들을 가질 수 있다. 그러나, 광학 필터(600)가 원하지 않는 IR 파장들을 차단(screen out)할 수 있는 경우 상부 벽(638)에 대한 광학 필터(600)의 임의의 방식의 부착이 적합하다는 것이 인식되어야 한다.
[0096] 다른 예에서, 광학 필터(600)는 편평한 형상을 가지며 파이로미터 통로(138)의 측벽(628)에 커플링된다. 광학 필터(600)는 측벽(628)에 체결될 수 있다. 대안적으로, 광학 필터(600)는, 예를 들면, 1/4 회전을 통해, 측벽(628)으로부터 연장되는 피처들과 맞물리는 탱들을 가질 수 있다. 그러나, 광학 필터(600)를 측벽(628)에 커플링하기 위한 임의의 적절한 기술이 활용될 수 있다는 것이 인식되어야 한다.
[0097] 유익하게는, 광학 필터(600)는 온도를 모니터링할 때 개선된 신호 대 노이즈 비를 위해 램프 복사선을 필터링하는 것에 의해 에피택셜 챔버(100) 내부의 온도의 더욱 정확한 검출을 허용한다. 광학 필터(600)는 에피택셜 챔버(100) 내의 온도의 양호한 IR 검출을 위해 외부 파장들의 간섭을 감소시킨다. 위에서 개시된 다양한 온도 제어 엘리먼트들(199)은 주변 챔버 컴포넌트들로부터 온도 제어들의 분리를 허용하며, 이는 더욱 신속하고 신뢰할 수 있는 온도 제어를 가능하게 한다. 따라서, 온도 제어 엘리먼트들(199)은 동심으로 분할된 구역들에서 기판의 온도 프로파일을 관리 및 유지하기 위한 개선된 방법들을 제공하여, 더 나은 품질의 필름들, 더 높은 스루풋 및 감소된 서비스 및 세정 부담들을 야기한다.
[0098] 본원에서 설명되는 컴포넌트들은 프로세스 챔버, 예컨대 에피택셜 챔버(100) 내에서 더 큰 균일성 및 증착 제어를 허용한다. 본원에서는 하나의 에피택셜 챔버(100)에서 함께 예시되지만, 본원에서 설명되는 컴포넌트들은 현존하는 또는 대안적인 증착 프로세스 챔버들과 함께 별개로 활용될 수 있다.
[0099] 전술한 바가 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들이, 본 개시내용의 기본적인 범위를 벗어나지 않으면서 안출될 수 있으며, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (20)

  1. 에피택셜 챔버(epitaxial chamber)로서,
    챔버 바디 어셈블리;
    하부 윈도우;
    상부 윈도우 ― 상기 챔버 바디 어셈블리, 상기 하부 윈도우 및 상기 상부 윈도우는 내부 볼륨을 둘러쌈 ―;
    상기 내부 볼륨 내에 배치되는 서셉터 어셈블리(susceptor assembly); 및
    복수의 온도 제어 엘리먼트들을 포함하고,
    상기 복수의 온도 제어 엘리먼트들은,
    상부 램프 모듈을 포함하고,
    상기 상부 램프 모듈은,
    최상부 표면 및 최하부 표면을 갖는 상부 모듈 바디;
    중심 축;
    상기 최하부 표면으로부터 상기 최상부 표면을 향해 배치되는 복수의 램프 애퍼처들;
    복수의 램프 애퍼처들을 포함하고,
    상기 복수의 램프 애퍼처들은,
    램프 베이스 지지부; 및
    상기 최하부 표면에 있는 전구 개구(bulb opening) ― 각각의 램프 애퍼처는 상기 중심 축과 관련하여 0°보다 더 큰 각도로 전구를 배향시키도록 구성됨 ― 를 포함하는,
    에피택셜 챔버.
  2. 제1 항에 있어서,
    상기 내부 볼륨의 내부에 그리고 주입 링(inject ring)에 인접하게 배치되는 상부 라이너; 및
    상기 내부 볼륨의 내부에 그리고 베이스 링(base ring)에 인접하게 배치되는 하부 라이너를 더 포함하는,
    에피택셜 챔버.
  3. 제2 항에 있어서,
    상기 복수의 온도 제어 엘리먼트들은,
    상기 하부 라이너와 상기 베이스 링 사이에 배치되는 하부 히터를 더 포함하는,
    에피택셜 챔버.
  4. 제1 항에 있어서,
    상기 복수의 온도 제어 엘리먼트들은,
    하부 램프 모듈을 더 포함하고,
    상기 하부 램프 모듈은,
    최상부 표면 및 최하부 표면을 갖는 하부 모듈 바디;
    중심 축;
    상기 최하부 표면으로부터 상기 최상부 표면을 향해 배치되는 복수의 램프 애퍼처들;
    복수의 램프 애퍼처들을 포함하고,
    상기 복수의 램프 애퍼처들은,
    램프 베이스 지지부; 및
    상기 최하부 표면에 있는 전구 개구 ― 각각의 램프 애퍼처는 상기 중심 축과 관련하여 0°보다 더 큰 각도와 상기 중심 축으로부터 45° 사이의 각도로 전구를 배향시키도록 구성됨 ― 를 포함하는,
    에피택셜 챔버.
  5. 제1 항에 있어서,
    상기 복수의 램프 애퍼처들은 3개의 별개의 구역들에 배치되고, 각각의 구역은 5개 내지 10개의 램프 애퍼처들을 포함하는,
    에피택셜 챔버.
  6. 제1 항에 있어서,
    상기 상부 램프 모듈에 배치되는 가열된 가스 통로(heated gas passage); 및
    상기 가열된 가스 통로에 커플링되고, 그리고 상기 상부 램프 모듈과 상기 상부 윈도우 사이에서 경계가 형성되는 플레넘 내로 뜨거운 공기를 공급하도록 구성되는 돔 히터(dome heater)를 더 포함하는,
    에피택셜 챔버.
  7. 제6 항에 있어서,
    상기 챔버 바디 어셈블리는,
    베이스 링;
    상기 베이스 링의 최상부 상에 배치되는 주입 링;
    상기 주입 링의 내부 둘레와 상부 라이너의 외부 둘레 사이에 배치되는 상부 히터; 및
    하부 라이너와 상기 베이스 링 사이에 배치되는 하부 히터를 더 포함하는,
    에피택셜 챔버.
  8. 에피택셜 프로세스 챔버를 위한 램프 모듈로서,
    최상부 표면 및 최하부 표면을 갖는 모듈 바디;
    중심 축;
    상기 최하부 표면으로부터 상기 최상부 표면을 향해 배치되는 복수의 램프 애퍼처들;
    복수의 램프 애퍼처들을 포함하고,
    상기 복수의 램프 애퍼처들은,
    전구 개구 ― 각각의 램프 애퍼처는 중심 축으로부터 0°보다 더 큰 그리고 약 45° 이하의 각도로 전구를 배향시키도록 구성됨 ― 를 포함하는,
    에피택셜 프로세스 챔버를 위한 램프 모듈.
  9. 제8 항에 있어서,
    상기 복수의 램프 애퍼처들은 3개의 별개의 구역들에 배치되고, 각각의 구역은 5개 내지 10개의 램프 애퍼처들을 포함하는,
    에피택셜 프로세스 챔버를 위한 램프 모듈.
  10. 제9 항에 있어서,
    반사성 내부 벽들은 적외선 파장들에 대해 높은 반사율(reflectivity)을 갖는 반사성 코팅을 포함하는,
    에피택셜 프로세스 챔버를 위한 램프 모듈.
  11. 제9 항에 있어서,
    상기 최하부 표면은 만곡되고, 그리고 각각의 전구는 상기 최하부 표면에 직각으로 배향되는,
    에피택셜 프로세스 챔버를 위한 램프 모듈.
  12. 제9 항에 있어서,
    개개의 제1 구역에 있는 각각의 전구는 제1 각도로 배향되고, 개개의 제2 구역에 있는 각각의 램프는 제2 각도로 배향되고, 그리고 상기 제1 각도는 상기 제2 각도와 동일하지 않은,
    에피택셜 프로세스 챔버를 위한 램프 모듈.
  13. 제10 항에 있어서,
    상기 상부 램프 모듈은,
    상기 상부 램프 모듈을 통해 상기 최상부 표면으로부터 상기 최하부 표면까지 연장되는 가열된 가스 통로; 및
    파이로미터 통로(pyrometer passage)를 더 포함하고,
    상기 파이로미터 통로는,
    최하부 개구;
    측벽들; 및
    제2 개구를 갖는 상부 벽을 포함하는,
    에피택셜 프로세스 챔버를 위한 램프 모듈.
  14. 제15 항에 있어서,
    광학 필터를 더 포함하는,
    에피택셜 프로세스 챔버를 위한 램프 모듈.
  15. 제16 항에 있어서,
    상기 광학 필터는 상기 상부 벽에 커플링되는,
    에피택셜 프로세스 챔버를 위한 램프 모듈.
  16. 에피택셜 챔버로서,
    링 형상을 갖는 챔버 바디 어셈블리;
    상기 챔버 바디 어셈블리 아래에 배치되고 상기 챔버 바디 어셈블리에 커플링되는 하부 윈도우;
    상기 챔버 바디 어셈블리 위에 배치되고 상기 챔버 바디 어셈블리에 커플링되는 상부 윈도우 ― 상기 챔버 바디 어셈블리, 상기 하부 윈도우 및 상기 상부 윈도우는 내부 볼륨을 둘러쌈 ―;
    상기 내부 볼륨 내에 배치되는 서셉터 어셈블리; 및
    복수의 온도 제어 엘리먼트들을 포함하고,
    상기 복수의 온도 제어 엘리먼트들은,
    상부 램프 모듈;
    상기 내부 볼륨의 내부에 그리고 주입 링에 인접하게 배치되는 상부 라이너;
    상기 내부 볼륨의 내부에 그리고 베이스 링에 인접하게 배치되는 하부 라이너;
    2개의 별개의 열 구역들을 갖는 하부 램프 모듈;
    상부 히터;
    하부 히터 ― 상기 하부 히터는 상기 하부 라이너와 상기 베이스 링 사이에 배치됨 ―;
    돔 히터; 및
    상기 상부 램프 모듈을 통해 배치되는 가열된 가스 통로를 포함하고,
    상기 상부 램프 모듈은,
    최상부 표면 및 최하부 표면을 갖는 모듈 바디;
    중심 축;
    광학 필터를 갖는 파이로미터 통로; 및
    3개의 별개의 열 구역들에 배열되는 상기 최하부 표면으로부터 상기 최상부 표면을 향하여 배치되는 복수의 램프 애퍼처들을 포함하고,
    상기 복수의 램프 애퍼처들은,
    램프 베이스 지지부; 및
    전구 개구 ― 각각의 램프 애퍼처는 상기 중심 축으로부터 0°보다 더 큰 각도로 전구를 배향시키도록 구성됨 ― 를 포함하는,
    에피택셜 챔버.
  17. 제16 항에 있어서,
    상기 상부 램프 모듈 사이에 형성되는 플레넘(plenum)을 더 포함하고,
    상기 플레넘은 상기 가열된 가스 통로에 유동적으로(fluidly) 커플링되고, 그리고 상기 돔 히터는 뜨거운 공기를 상기 가열된 가스 통로를 통해 상기 플레넘 내로 공급하는,
    에피택셜 챔버.
  18. 제16 항에 있어서,
    상기 광학 필터는 상기 상부 벽에 커플링되고, 상기 광학 필터는 상기 파이로미터로부터 외부 파장들의 노이즈를 필터링하도록 구성되는,
    에피택셜 챔버.
  19. 제16 항에 있어서,
    상기 최하부 표면은 만곡되고, 그리고 각각의 전구는 상기 최하부 표면에 직각으로 배향되는,
    에피택셜 챔버.
  20. 제16 항에 있어서,
    개개의 제1 구역에 있는 각각의 전구는 제1 각도로 배향되고, 개개의 제2 구역에 있는 각각의 램프는 제2 각도로 배향되고, 그리고 상기 제1 각도는 상기 제2 각도와 동일하지 않은,
    에피택셜 챔버.
KR1020237024873A 2021-05-11 2022-04-23 에피택시 프로세스 챔버에서의 다중 구역 램프 가열및 온도 모니터링 KR20230122127A (ko)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US17/317,684 US20220367216A1 (en) 2021-05-11 2021-05-11 Multi-zone lamp heating and temperature monitoring in epitaxy process chamber
US17/317,565 US20220364229A1 (en) 2021-05-11 2021-05-11 Multi-port exhaust system for epitaxial deposition chamber
US17/317,565 2021-05-11
US17/317,684 2021-05-11
US17/317,363 US20220364261A1 (en) 2021-05-11 2021-05-11 Chamber architecture for epitaxial deposition and advanced epitaxial film applications
US17/317,363 2021-05-11
US17/317,342 US12018372B2 (en) 2021-05-11 2021-05-11 Gas injector for epitaxy and CVD chamber
US17/317,342 2021-05-11
PCT/US2022/026071 WO2022240574A1 (en) 2021-05-11 2022-04-23 Multi-zone lamp heating and temperature monitoring in epitaxy process chamber

Publications (1)

Publication Number Publication Date
KR20230122127A true KR20230122127A (ko) 2023-08-22

Family

ID=84028430

Family Applications (4)

Application Number Title Priority Date Filing Date
KR1020237024902A KR20230122133A (ko) 2021-05-11 2022-04-19 에피택시 및 cvd 챔버용 가스 인젝터
KR1020237024879A KR20230122130A (ko) 2021-05-11 2022-04-20 에피택셜 증착 및 고급 에피택셜 필름 애플리케이션들을위한 챔버 아키텍쳐
KR1020237024874A KR20230122128A (ko) 2021-05-11 2022-04-21 에피택셜 증착 챔버를 위한 다중 포트 배기 시스템
KR1020237024873A KR20230122127A (ko) 2021-05-11 2022-04-23 에피택시 프로세스 챔버에서의 다중 구역 램프 가열및 온도 모니터링

Family Applications Before (3)

Application Number Title Priority Date Filing Date
KR1020237024902A KR20230122133A (ko) 2021-05-11 2022-04-19 에피택시 및 cvd 챔버용 가스 인젝터
KR1020237024879A KR20230122130A (ko) 2021-05-11 2022-04-20 에피택셜 증착 및 고급 에피택셜 필름 애플리케이션들을위한 챔버 아키텍쳐
KR1020237024874A KR20230122128A (ko) 2021-05-11 2022-04-21 에피택셜 증착 챔버를 위한 다중 포트 배기 시스템

Country Status (5)

Country Link
EP (3) EP4337814A1 (ko)
JP (3) JP2024510364A (ko)
KR (4) KR20230122133A (ko)
TW (4) TW202245110A (ko)
WO (4) WO2022240553A1 (ko)

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6301434B1 (en) * 1998-03-23 2001-10-09 Mattson Technology, Inc. Apparatus and method for CVD and thermal processing of semiconductor substrates
DE10211312A1 (de) * 2002-03-14 2003-10-02 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe
KR101153161B1 (ko) * 2005-04-01 2012-06-18 주성엔지니어링(주) 가스분사장치 및 이를 포함하는 액정표시소자의 제조장치
US20080017116A1 (en) * 2006-07-18 2008-01-24 Jeffrey Campbell Substrate support with adjustable lift and rotation mount
US8652259B2 (en) * 2008-10-09 2014-02-18 Silevo, Inc. Scalable, high-throughput, multi-chamber epitaxial reactor for silicon deposition
US8298629B2 (en) * 2009-02-25 2012-10-30 Crystal Solar Incorporated High throughput multi-wafer epitaxial reactor
CN103088415B (zh) * 2011-11-03 2015-12-02 上海华虹宏力半导体制造有限公司 改善灯加热腔体内温度均匀性的方法
SG11201504342SA (en) * 2013-01-16 2015-08-28 Applied Materials Inc Quartz upper and lower domes
US9117670B2 (en) * 2013-03-14 2015-08-25 Sunedison Semiconductor Limited (Uen201334164H) Inject insert liner assemblies for chemical vapor deposition systems and methods of using same
CN111211074B (zh) * 2013-04-30 2023-09-22 应用材料公司 具有空间分布的气体通道的气流控制衬垫
US10145011B2 (en) * 2015-03-30 2018-12-04 Globalwafers Co., Ltd. Substrate processing systems having multiple gas flow controllers
KR101682155B1 (ko) * 2015-04-20 2016-12-02 주식회사 유진테크 기판 처리 장치
TWI723024B (zh) * 2015-06-26 2021-04-01 美商應用材料股份有限公司 用於改良的氣體分配的遞迴注入設備
WO2020046567A1 (en) * 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector

Also Published As

Publication number Publication date
JP2024511917A (ja) 2024-03-18
WO2022240567A1 (en) 2022-11-17
KR20230122133A (ko) 2023-08-22
EP4337812A1 (en) 2024-03-20
TW202300692A (zh) 2023-01-01
WO2022240574A1 (en) 2022-11-17
TW202245111A (zh) 2022-11-16
JP2024510364A (ja) 2024-03-07
WO2022240560A1 (en) 2022-11-17
EP4337814A1 (en) 2024-03-20
EP4337813A1 (en) 2024-03-20
TW202249208A (zh) 2022-12-16
WO2022240553A1 (en) 2022-11-17
JP2024510365A (ja) 2024-03-07
TW202245110A (zh) 2022-11-16
KR20230122130A (ko) 2023-08-22
KR20230122128A (ko) 2023-08-22

Similar Documents

Publication Publication Date Title
TWI638070B (zh) 石英的上部及下部圓頂
US10170342B2 (en) Flow controlled liner having spatially distributed gas passages
US10119192B2 (en) EPI base ring
KR100978975B1 (ko) 열 처리 챔버에서 웨이퍼 지지부의 온도 측정 및 제어 방법
US20180130653A1 (en) Optics for controlling light transmitted through a conical quartz dome
US11337277B2 (en) Circular lamp arrays
US9842753B2 (en) Absorbing lamphead face
US10405375B2 (en) Lamphead PCB with flexible standoffs
US20240209544A1 (en) Chamber architecture for epitaxial deposition and advanced epitaxial film applications
US20150037019A1 (en) Susceptor support shaft and kinematic mount
KR20230122127A (ko) 에피택시 프로세스 챔버에서의 다중 구역 램프 가열및 온도 모니터링
US20220367216A1 (en) Multi-zone lamp heating and temperature monitoring in epitaxy process chamber
US20220353956A1 (en) Windows for rapid thermal processing chambers
TW202405228A (zh) 用於製程溫度控制之主動控制預熱環

Legal Events

Date Code Title Description
E902 Notification of reason for refusal