KR20230113756A - 패터닝된 기판 상에 형성된 개구부 내의 충전 재료를 함입하기 위한 방법 - Google Patents

패터닝된 기판 상에 형성된 개구부 내의 충전 재료를 함입하기 위한 방법 Download PDF

Info

Publication number
KR20230113756A
KR20230113756A KR1020237018991A KR20237018991A KR20230113756A KR 20230113756 A KR20230113756 A KR 20230113756A KR 1020237018991 A KR1020237018991 A KR 1020237018991A KR 20237018991 A KR20237018991 A KR 20237018991A KR 20230113756 A KR20230113756 A KR 20230113756A
Authority
KR
South Korea
Prior art keywords
acid
opening
layer
grafting
material layer
Prior art date
Application number
KR1020237018991A
Other languages
English (en)
Inventor
안톤 데빌리어스
마이클 머피
Original Assignee
도쿄엘렉트론가부시키가이샤
도쿄 일렉트론 유.에스. 홀딩스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤, 도쿄 일렉트론 유.에스. 홀딩스, 인크. filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20230113756A publication Critical patent/KR20230113756A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

패터닝된 기판 내에 형성된 개구부 내의 충전 재료를 함입하기 위한 공정 흐름 및 방법이 제공된다. 타겟 재료 층, 및 타겟 재료 층 위에 놓이고 타겟 재료 층과 상이한, 하나 이상의 추가적인 재료 층을 포함하는 다층 적층물 내에 개구부가 형성된다. 개구부가 다층 적층물 내에 형성된 후에, 그라프팅 재료가 타겟 재료 층 위에 놓이는 추가적인 재료 층(들)에 부착되지 않으면서 타겟 재료 층에 부착되도록, 가용성 변화 작용제를 포함하는 그라프팅 재료가 개구부 내에 선택적으로 증착된다. 그 다음, 충전 재료가 개구부 내에 증착되며, 가용성 변화 작용제가 활성화되어, 그라프팅 재료에 인접하여 그라프팅 재료를 둘러싸는 충전 재료의 일부분의 가용성을 변화시킨다. 그 다음, 습식 현상 공정을 사용하여, 충전 재료의 가용성/불용성 부분을 제거함으로써, 개구부 내의 충전 재료를 함입한다.

Description

패터닝된 기판 상에 형성된 개구부 내의 충전 재료를 함입하기 위한 방법
우선권 주장
본 출원은 2020년 12월 2일자로 출원된 미국 가특허출원 번호 제63/120,480호에 대한 우선권을 주장하며, 이의 개시물은 이들 전체가 본원에 참조로 명시적으로 포함된다.
본 개시물은 기판의 공정(processing)에 관한 것이다. 특히, 이는 기판 상에 형성된 개구부 내의 막을 함입(recessing)하기 위한 새로운 공정 흐름 및 방법을 제공한다. 일 실시형태에서, 본원에 개시된 공정 흐름 및 방법은 반도체 기판을 처리하기 위해 사용될 수 있다.
기판 처리 시의 기하학적 구조가 계속 축소됨에 따라, 기판 상에 구조물을 형성하는 것에 대한 기술적 문제가 증가한다. 새로운 공정 흐름 및 패터닝 기술은 이러한 문제를 해결하기 위해 계속 발전하고 있다. 일부 공정 흐름에서, 패터닝된 기판 내에 개구부(예를 들어, 트렌치, 접점, 홀 등)를 형성하고, 충전 재료로 개구부를 충전하며, 충전 재료의 일부분을 제거하여 개구부 내의 충전 재료를 함입함으로써, 패터닝된 기판 상에 다양한 구조물이 형성될 수 있다. 기하학적 구조가 계속 축소되고 종횡비가 증가함에 따라, 토포그래피(topography) 또는 패터닝된 기판 내의 충전 함입부(fill recess)를 제어하는 것이 점점 더 어려워지고 있다. 일부 진보적인 공정에서, 좁은 및/또는 고 종횡비 개구부 내의 충전 함입부를 제어하는 것은 나노미터 레벨 정밀도를 필요로 할 수 있다. 유감스럽게도, 충전 함입부를 제어하기 위해 사용되는 현재의 공정(예를 들어, 시간이 정해진(timed) 에칭 공정)은, 처리량, 변동성 및/또는 비용의 문제가 있다.
따라서, 패터닝된 기판 상에 형성된 개구부 내의 충전 재료를 함입하기 위한 개선된 공정 및 방법을 제공하는 것이 바람직하다.
패터닝된 기판 내에 형성된 복수의 개구부 내의 충전 재료를 함입하기 위한 개선된 공정 흐름 및 방법이 본원에 제공된다. 보다 구체적으로, 본 개시물은 패터닝된 기판 내에 형성된 개구부 내의 충전 재료를 함입하기 위해, 그라프팅(grafting) 재료의 선택적 증착, 및 충전 재료의 선택적 탈보호(deprotection)를 사용하는 개선된 공정 흐름 및 방법을 제공한다.
본원에 개시된 공정 흐름 및 방법은, 반도체 기판과 같은 패터닝된 기판 상에 형성된 다양한 개구부(예를 들어, 트렌치, 접점, 홀 등) 또는 형상부(feature)(예를 들어, 다층 스페이서) 내의 충전 재료를 함입하기 위해 사용될 수 있다. 본 개시물에서, 개구부는, 적어도 하나의 타겟 재료 층, 및 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층을 포함하는 다층 적층물 내에 형성되며, 추가적인 재료 층(들)은 타겟 재료 층과 상이하다. 일부 실시형태에서, 다층 적층물은, 하나의 타겟 재료 층, 및 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층을 포함할 수 있다. 다른 실시형태에서, 다층 적층물은 복수의 타겟 재료 층을 포함할 수 있으며, 복수의 타겟 재료 층은 다층 적층물 전체에 걸쳐서 산재되어 있고, 타겟 재료 층과 상이한 추가적인 재료 층에 의해 서로 분리된다.
개구부가 다층 적층물 내에 형성된 후에, 그라프팅 재료가 타겟 재료 층 위에 놓이는 추가적인 재료 층(들)에 부착되지 않으면서 타겟 재료 층에 부착되도록, 그라프팅 재료가 개구부 내에 선택적으로 증착된다. 개구부 내에 선택적으로 증착되는 그라프팅 재료는, 인접한 재료의 가용성을 변화시키도록 활성화될 수 있는 가용성 변화 작용제(solubility-shifting agent)를 포함한다(또는 이를 통해 기능화된다). 가용성 변화 작용제의 실시예는, 광산 발생기(photo-acid generator: PAG) 또는 열산 발생기(thermal-acid generator: TAG)와 같은 산 발생기를 포함하지만, 이에 제한되지 않는다. 그라프팅 재료가 개구부 내에 선택적으로 증착된 후에, 충전 재료가 개구부 내에 증착되며, 그라프팅 재료에 인접하여 그라프팅 재료를 둘러싸는 충전 재료의 일부분의 가용성을 변화시키도록 가용성 변화 작용제가 활성화된다. 가용성 변화 작용제가 충전 재료의 일부분의 가용성을 변화시키도록 활성화되면, 습식 현상 공정을 사용하여, 개구부로부터 충전 재료의 가용성 및/또는 불용성 부분을 제거함으로써, 개구부 내의 충전 재료를 함입할 수 있다.
일 실시형태에서, 패터닝된 기판 내에 형성된 개구부 내의 충전 재료를 함입하기 위한 방법이 제공된다. 방법은, 개구부를 포함하는 패터닝된 기판을 수용하는 단계를 포함할 수 있으며, 개구부는, 타겟 재료 층, 및 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층을 포함하는 다층 적층물 내에 형성되고, 하나 이상의 추가적인 재료 층은 타겟 재료 층과 상이하다. 방법은, 그라프팅 재료가 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층에 부착되지 않으면서 타겟 재료 층에 부착되도록, 개구부 내에 그라프팅 재료를 선택적으로 증착하는 단계를 더 포함하며, 그라프팅 재료는 가용성 변화 작용제를 포함한다. 방법은, 개구부 내에 충전 재료를 증착하는 단계, 및 그라프팅 재료를 둘러싸는 충전 재료의 일부분 내로 가용성 변화 작용제가 확산되도록 하는 단계를 더 포함하며, 가용성 변화 작용제의 확산은, 충전 재료의 일부분이 미리 결정된 용제에 불용성이 되도록 한다. 또한, 방법은, 미리 결정된 용제를 사용하여, 미리 결정된 용제에 불용성인 충전 재료의 일부분을 개구부 내에 남기면서, 충전 재료의 가용성 부분을 제거함으로써, 개구부 내의 충전 재료를 함입하는 단계를 포함한다.
실시형태의 변형예에서, 미리 결정된 용제가 타겟 재료 층의 물리적 특성 및 가용성 변화 작용제에 의해 한정된 개구부 내의 깊이로 충전 재료의 가용성 부분을 제거하도록, 방법이 적응될 수 있다. 다른 변형예에서, 그라프팅 재료는, 타겟 재료 층에 의해 한정된 깊이로 개구부의 대향 측벽 상에 선택적으로 증착되며, 가용성 변화 작용제는, 타겟 재료 층의 깊이로 불용성 재료의 완전한 층을 형성하기 위해, 대향 측벽 상에 증착된 그라프팅 재료로부터 충전 재료의 일부분 내로 반경 방향으로 연장되는 영역 내에서 가용성 변화 반응이 발생하도록 한다. 또 다른 변형예에서, 그라프팅 재료는, 타겟 재료 층의 대향 측벽 표면 상에 자기 조립 단분자층(self-assembled monolayer: SAM)을 형성함으로써 개구부 내에 선택적으로 증착되며, 충전 재료는 산 보호 수지이다. 다른 변형예에서, 미리 결정된 용제는 유기 용제이다. 일부 변형예에서, 미리 결정된 용제를 사용하여 충전 재료의 가용성 부분을 제거한 후에, 충전 재료를 함입하는 단계는, 수용성 용제를 사용하여, 미리 결정된 용제에 불용성인 충전 재료의 일부분을 개구부로부터 제거하는 단계를 더 포함한다.
실시형태의 일부 변형예에서, SAM은 광산 발생기(PAG) 또는 열산 발생기(TAG)를 통해 기능화된다. 경우에 따라, SAM은 광산 발생기(PAG)를 통해 기능화되며, 그라프팅 재료를 둘러싸는 충전 재료의 일부분 내로 가용성 변화 작용제가 상기 확산되도록 하는 단계는, PAG에 조사(irradiating)하여 산을 생성하는 단계, 및 열처리(bake) 공정을 수행하여 충전 재료의 일부분 내로 산을 확산시키는 단계를 포함하며, 산 확산은, 충전 재료의 일부분 내의 산 보호 수지를 산 탈보호 수지로 변환시킨다. 다른 경우에, SAM은 열산 발생기(TAG)를 통해 기능화되며, 그라프팅 재료를 둘러싸는 충전 재료의 일부분 내로 가용성 변화 작용제가 상기 확산되도록 하는 단계는, 열처리 공정을 수행하여 산을 생성하고 충전 재료의 일부분 내로 산을 확산시키는 단계를 포함하며, 산 확산은, 충전 재료의 일부분 내의 산 보호 수지를 산 탈보호 수지로 변환시킨다.
다른 실시형태에서, 패터닝된 기판 내에 형성된 개구부 내의 충전 재료를 함입하기 위한 방법이 제공된다. 방법은, 개구부를 포함하는 패터닝된 기판을 수용하는 단계를 포함할 수 있으며, 개구부는, 타겟 재료 층, 및 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층을 포함하는 다층 적층물 내에 형성되고, 하나 이상의 추가적인 재료 층은 타겟 재료 층과 상이하다. 방법은, 그라프팅 재료가 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층에 부착되지 않으면서 타겟 재료 층에 부착되도록, 개구부 내에 그라프팅 재료를 선택적으로 증착하는 단계를 더 포함하며, 그라프팅 재료는 산 발생기를 포함한다. 방법은, 개구부 내에 충전 재료를 증착하는 단계를 더 포함하며, 충전 재료는 산 보호 재료이다. 방법은, 그라프팅 재료 내의 산 발생기를 활성화시켜서 산을 생성하고, 그라프팅 재료를 둘러싸는 충전 재료의 일부분 내로 산을 확산시키는 단계를 더 포함하며, 산 확산은, 충전 재료의 일부분을 산 탈보호 재료로 변환시킨다. 방법은, 제1 용제를 사용하여, 산 탈보호 재료를 포함하는 충전 재료의 일부분 위에 놓이는 산 보호 재료의 일부분을 제거함으로써, 개구부 내의 충전 재료를 함입하는 단계를 더 포함한다.
이러한 다른 방법의 변형예에서, 그라프팅 재료는, 타겟 재료 층에 의해 한정된 깊이로 개구부의 대향 측벽 상에 선택적으로 증착되며, 타겟 재료 층의 깊이로 산 탈보호 재료의 완전한 층을 형성하기 위해, 대향 측벽 상에 증착된 그라프팅 재료로부터 충전 재료의 일부분 내로 반경 방향으로 산이 확산된다. 다른 변형예에서, 그라프팅 재료는, 타겟 재료 층의 대향 측벽 표면 상에 자기 조립 단분자층(SAM)을 형성함으로써 개구부 내에 선택적으로 증착된다. 또 다른 변형예에서, SAM의 헤드기(head group)는, 티올, 카복실산, 포스핀산, 또는 실란을 포함한다. 또 다른 변형예에서, 산 확산은 그라프팅 재료를 둘러싸는 영역으로 국부화된다. 일부 변형예에서, 산 발생기를 상기 활성화시키는 단계는 열처리 공정을 포함하며, 산 확산의 확산 길이 및 프로파일은, 산 발생기의 조성, 충전 재료의 조성, 열처리 온도, 열처리 시간 및/또는 산의 분자량에 따라 좌우된다. 일부 변형예에서, 제1 용제는 유기 용제이다. 일부 변형예에서, 제1 용제를 사용하여, 산 탈보호 재료를 포함하는 충전 재료의 일부분 위에 놓이는 산 보호 재료의 일부분을 제거한 후에, 충전 재료를 상기 합입하는 단계는, 제2 용제를 사용하여, 산 탈보호 재료를 포함하는 충전 재료의 일부분을 제거하는 단계를 더 포함한다. 일부 변형예에서, 제2 용제는 수용성 용제이다.
설명된 방법의 일부 실시형태에서, 산 발생기는 광산 발생기(PAG)이며, 산 발생기를 상기 활성화시키는 단계는, PAG에 조사하여 산을 생성하는 단계, 및 열처리 공정을 수행하여 충전 재료의 일부분 내로 산을 확산시키는 단계를 포함한다. 다른 실시형태에서, 산 발생기는 열산 발생기(TAG)이며, 산 발생기를 상기 활성화시키는 단계는, 열처리 공정을 수행하여 산을 생성하고 충전 재료의 일부분 내로 산을 확산시키는 단계를 포함한다.
첨부된 도면과 함께 고려되는 이하의 설명을 참조함으로써 본 발명 및 이의 이점을 더 완전히 이해할 수 있으며, 첨부된 도면에서 유사한 참조 번호는 유사한 특징부를 나타낸다. 그러나, 첨부된 도면은 개시된 개념의 예시적인 실시형태만을 도시하므로 범위를 제한하는 것으로 간주되어서는 안되며, 개시된 개념에 대해 동일하게 효과적인 다른 실시형태가 허용될 수 있음을 유의해야 한다.
도 1a 내지 도 1e는 본원에 개시된 기술에 따라, 패터닝된 기판 상에 형성된 복수의 개구부 내의 충전 재료를 함입하기 위해 사용될 수 있는 개선된 공정 흐름의 일 실시형태를 도시한다.
도 2a 내지 도 2m은 본원에 개시된 기술을 사용하는 상보형 전계 효과 트랜지스터(CFET) 상에 에피택셜 형상부를 형성하기 위한 예시적인 공정 흐름을 도시한다.
도 3a는 패터닝된 기판 상에 제공된 복수의 다층 스페이서 사이에 형성된 개구부를 충전하기 위해, 충전 재료가 패터닝된 기판 상에 증착된 후에, 자기 정렬 블록(Self-Aligned Block: SAB) 공정에 따라 형성된 패터닝된 기판의 일 실시예를 도시한다.
도 3b는 본원에 개시된 기술에 따라, 충전 재료가 다층 스페이서 내에서 함입된 후의 도 3a에 도시된 패터닝된 기판을 도시한다.
도 4는 본원에 설명된 기술을 사용하는 방법의 일 실시형태를 도시하는 흐름도이다.
도 5는 본원에 설명된 기술을 사용하는 방법의 다른 실시형태를 도시하는 흐름도이다.
패터닝된 기판 내에 형성된 복수의 개구부 내의 충전 재료를 함입하기 위한 개선된 공정 흐름 및 방법이 본원에 제공된다. 보다 구체적으로, 본 개시물은 패터닝된 기판 내에 형성된 개구부 내의 충전 재료를 함입하기 위해, 그라프팅 재료의 선택적 증착, 및 충전 재료의 선택적 탈보호를 사용하는 개선된 공정 흐름 및 방법을 제공한다.
본원에 개시된 공정 흐름 및 방법은, 반도체 기판과 같은 패터닝된 기판 상에 형성된 다양한 개구부(예를 들어, 트렌치, 접점, 홀 등) 또는 형상부(예를 들어, 다층 스페이서) 내의 충전 재료를 함입하기 위해 사용될 수 있다. 본 개시물에서, 개구부는, 적어도 하나의 타겟 재료 층, 및 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층을 포함하는 다층 적층물 내에 형성되며, 추가적인 재료 층(들)은 타겟 재료 층과 상이하다. 일부 실시형태에서, 다층 적층물은, 하나의 타겟 재료 층, 및 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층을 포함할 수 있다. 다른 실시형태에서, 다층 적층물은 복수의 타겟 재료 층을 포함할 수 있으며, 복수의 타겟 재료 층은 다층 적층물 전체에 걸쳐서 산재되어 있고, 타겟 재료 층과 상이한 추가적인 재료 층에 의해 서로 분리된다.
개구부가 다층 적층물 내에 형성된 후에, 그라프팅 재료가 타겟 재료 층 위에 놓이는 추가적인 재료 층(들)에 부착되지 않으면서 타겟 재료 층에 부착되도록, 그라프팅 재료가 개구부 내에 선택적으로 증착된다. 개구부 내에 선택적으로 증착되는 그라프팅 재료는, 인접한 재료의 가용성을 변화시키도록 활성화될 수 있는 가용성 변화 작용제를 포함한다(또는 이를 통해 기능화된다). 가용성 변화 작용제의 실시예는, 광산 발생기(PAG) 또는 열산 발생기(TAG)와 같은 산 발생기를 포함하지만, 이에 제한되지 않는다. 그라프팅 재료가 개구부 내에 선택적으로 증착된 후에, 충전 재료가 개구부 내에 증착되며, 그라프팅 재료에 인접하여 그라프팅 재료를 둘러싸는 충전 재료의 일부분의 가용성을 변화시키도록 가용성 변화 작용제가 활성화된다. 가용성 변화 작용제가 충전 재료의 일부분의 가용성을 변화시키도록 활성화되면, 습식 현상 공정을 사용하여, 개구부로부터 충전 재료의 가용성 및/또는 불용성 부분을 제거함으로써, 개구부 내의 충전 재료를 함입한다.
예시적인 일 실시형태에서, 충전 재료는 탈보호 가능(deprotectable) 재료일 수 있으며, 가용성 변화 작용제가 활성화되어, 그라프팅 재료에 인접하여 그라프팅 재료를 둘러싸는 충전 재료의 일부분 내로 가용성 변화 작용제를 확산시킬 수 있다. 가용성 변화 작용제를 충전 재료의 일부분 내로 확산시킴으로써, 충전 재료의 그러한 일부분의 가용성을 변화시킨다. 예를 들어, 가용성 변화 작용제의 확산으로 인해, 충전 재료의 일부분이 미리 결정된 용제(예를 들어, 유기 용제 또는 현상제)에 불용성이 될 수 있는 반면에, 충전 재료의 나머지 부분은 미리 결정된 용제에 가용성으로 유지될 수 있다. 가용성 변화 작용제가 활성화되어 충전 재료의 일부분의 가용성을 변화시킨 후에, 미리 결정된 용제를 사용하여, 충전 재료의 가용성 부분을 개구부로부터 제거함으로써, 충전 재료가 개구부 내에서 함입될 수 있다. 일부 실시형태에서, 다른 용제(예를 들어, 수용성 용제 또는 현상제)를 사용하여, 미리 결정된 용제에 불용성인 충전 재료의 일부분을 개구부로부터 제거함으로써, 충전 재료가 개구부 내에서 추가로 함입될 수 있다.
다른 예시적인 실시형태에서, 충전 재료는 산 보호 재료일 수 있으며, 가용성 변화 작용제는 산 발생기일 수 있고, 산 발생기는 활성화되어 산을 생성하고, 그라프팅 재료에 인접하여 그라프팅 재료를 둘러싸는 충전 재료의 일부분 내로 산을 확산시킬 수 있다. 산 확산은, 충전 재료의 일부분을 산 탈보호 재료로 변환시킴으로써, 그라프팅 재료에 인접하여 그라프팅 재료를 둘러싸는 충전 재료의 일부분을 선택적으로 탈보호한다. 가용성 변화 작용제가 활성화되어 충전 재료의 일부분을 탈보호한 후에, 제1 용제(예를 들어, 유기 용제 또는 현상제)를 사용하여, 산 탈보호 재료를 포함하는 충전 재료의 일부분 위에 놓이는 산 보호 재료의 일부분을 제거함으로써, 충전 재료가 개구부 내에서 함입될 수 있다. 일부 실시형태에서, 제2 용제(예를 들어, 수용성 용제 또는 현상제)를 사용하여, 산 탈보호 재료를 포함하는 충전 재료의 일부분을 제거함으로써, 충전 재료가 개구부 내에서 추가로 함입될 수 있다.
따라서, 패터닝된 기판 내에 형성된 복수의 개구부 내의 충전 재료를 함입하기 위한 개선된 공정 흐름 및 방법이 본원에 개시되며, 개구부는, 적어도 하나의 타겟 재료 층, 및 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층을 포함하는 다층 적층물 내에 형성되고, 추가적인 재료 층(들)은 타겟 재료 층과 상이하다. 통상적인 공정과 달리, 본원에 개시된 공정 흐름 및 방법은, (a) 가용성 변화 작용제를 포함하는(또는 이를 통해 기능화된) 그라프팅 재료를 타겟 재료 층에 의해 한정된 깊이로 개구부의 대향 측벽 상에 선택적으로 증착하는 단계; (b) 가용성 변화 작용제를 활성화시켜서, 그라프팅 재료에 인접하여 그라프팅 재료를 둘러싸는 충전 재료의 일부분을 선택적으로 탈보호하는 단계; 및 (c) 하나 이상의 용제(예를 들어, 유기 용제 다음에, 수용성 용제)를 사용하여 보호 및 탈보호 충전 재료를 개구부로부터 점진적으로 제거하는, 습식 현상 공정을 사용하는 단계에 의해, 탈보호 가능 충전 재료 내에 자기 정렬 함입부를 생성한다. 일반적으로, 충전 재료, 가용성 변화 작용제, 및/또는 용제(들)는, 보호 충전 재료와 비교하여, 탈보호 충전 재료가 유기 및 수용성 용제 내에서 높은 용해 대비(dissolution contrast)를 갖도록 보장하기 위해 선택될 수 있다. 이러한 방식으로, 각각의 현상 단계는, 사용되는 용제의 조성에 따라, 보호 또는 탈보호 충전 재료의 하나의 층을 제거할 수 있다.
일반적으로, 본원에 개시된 기술을 사용하여 달성되는 함입의 양은, 다층 적층물의 조성(예를 들어, 다층 적층물 내에 포함되는 격리된 타겟 재료 층(들)의 수), 타겟 재료 층(들)의 측벽 표면 상에 선택적으로 증착된 그라프팅 재료의 두께, 및 가용성 변화 작용제의 확산 길이에 따라 좌우될 수 있다. 본원에 개시된 바와 같이, 그라프팅 재료가 선택적으로 증착되고, 충전 재료가 선택적으로 탈보호된 후에, 보호 및 탈보호 충전 재료를 개구부로부터 점진적으로 제거하기 위해, 교호하는 용제(예를 들어, 교호하는 유기 및 수용성 용제)의 습식 현상 공정이 사용될 수 있다. 격리된 타겟 재료 층(들)이 적층물 내에 형성된 경우, 특정 다층 적층물 내에서 원하는 함입 깊이를 달성하기 위해 필요한 임의의 횟수로, 교호하는 현상 공정이 반복될 수 있다.
도 1a 내지 도 1e는 본원에 설명된 기술에 따라, 패터닝된 기판 상에 형성된 복수의 개구부 내의 충전 재료를 함입하기 위해 사용될 수 있는 개선된 공정 흐름의 일 실시형태를 도시한다. 도 1a에 도시된 바와 같이, 패터닝된 기판(100) 상에 제공된 다층 적층물(112) 내에 개구부(114)가 형성된다. 일반적으로, 다층 적층물(112)은, 하드 마스크 층(106), 유기 층(104), 및 베이스 기판 층(102)과 같은(그러나 이에 제한되지 않는), 하나 이상의 하부 층 위에 형성될 수 있다. 아래에 보다 상세히 설명되는 바와 같이, 다층 적층물(112)은, 적어도 하나의 타겟 재료 층, 및 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층을 포함하는 적어도 2개의 상이한 재료 층을 포함할 수 있다. 당업계에 알려져 있는 바와 같은 다양한 공정을 사용하여, 개구부(114)가 다층 적층물(112) 내에 형성될 수 있다. 일부 실시형태에서, 개구부(114)는, 다층 적층물(112) 내에서 에칭되거나 달리 형성된 트렌치, 접점 또는 홀일 수 있다.
베이스 기판 층(102)은 패터닝된 형상부의 사용이 바람직한 임의의 기판일 수 있다. 예를 들어, 베이스 기판 층(102)은 하나 이상의 반도체 공정 층이 그 위에 형성된 반도체 기판일 수 있다. 일 실시형태에서, 베이스 기판 층(102)은, 기판 공정 당업계에 모두 알려져 있는, 다양한 구조물 및 층을 산출하는 다수의 반도체 공정 단계를 거친 기판일 수 있다. 또한, 도 1a에 도시된 하드 마스크 층(106) 및 유기 층(104)은, 당업계에 알려져 있는 바와 같은 임의의 다양한 재료로 형성될 수 있다. 예시적인 하부 층이 설명되고 도면에 도시되지만, 본원에 설명된 기술은, 다층 적층물(112)의 아래에 놓이는 임의의 특정 유형 또는 수의 층으로 제한되지 않는다. 따라서, 더 많거나, 더 적거나, 다른 하부 층이 사용될 수 있다.
도 1a에 도시된 실시형태에서, 다층 적층물(112)은, 타겟 재료 층(108), 및 타겟 재료 층(108) 위에 놓이는 추가적인 재료 층(110)을 포함한다. 타겟 재료 층(108) 및 추가적인 재료 층(110)을 형성하기 위해 상이한 재료가 사용된 경우, 타겟 재료 층(108) 및 추가적인 재료 층(110)은 임의의 다양한 재료로 각각 형성될 수 있다. 도 1a에 도시된 다층 적층물(112) 내에 2개의 층이 포함되지만, 다층 적층물(112)은 임의의 특정 수의 층으로 제한되지 않으며, 본 개시물의 다른 실시형태에서 추가적인 층을 포함할 수 있다. 따라서, 본원에 설명된 바와 같은 "다층 적층물"은, 다층 적층물 전체에 걸쳐서 산재되어 있고, 타겟 재료 층(들)과 상이한 추가적인 재료 층에 의해 서로 분리되거나 격리되는, 임의의 수의 타겟 재료 층을 포함할 수 있다. 추가적인 타겟 층(들)을 추가적인 재료 층(들)과 격리시킴으로써, 본원에 설명된 기술이 수직으로 확장 가능한 설계에 적용될 수 있거나/적용될 수 있고, 임의의 원하는 함입 깊이를 달성하기 위해 사용될 수 있다.
도 1b에 도시된 실시형태에서, 그라프팅 재료(116)가 타겟 재료 층 위에 놓이는 추가적인 재료 층(110)에 부착되지 않으면서 타겟 재료 층(108)에 부착되도록, 그라프팅 재료(116)가 개구부(114) 내에 선택적으로 증착된다. 보다 구체적으로는, 그라프팅 재료(116)는, 타겟 재료 층(108)에 의해 한정된 깊이(D)로 개구부(114)의 대향 측벽 상에 선택적으로 증착된다. 그라프팅 재료(116)는 일반적으로 임의의 다양한 재료로 형성될 수 있다.
일부 실시형태에서, 그라프팅 재료(116)는, 타겟 재료 층(108)의 대향 측벽 표면 상에 자기 조립 단분자층(SAM)을 형성함으로써 개구부(114) 내에 선택적으로 증착될 수 있다. 당업계에 알려져 있는 바와 같이, SAM은, 흡착에 의해 표면 상에 자발적으로 형성되는 분자 회합(molecular assembly) 또는 연쇄(헤드기, 테일기(tail group), 및 기능적 말단기를 포함함)이다. 본 개시물에서, SAM은, 타겟 재료 층(108)의 대향 측벽 표면 상으로의 헤드기의 흡착 이후에, 분자 연쇄 내로의 테일기의 조직화를 통해 형성된다. 이들로 엄격히 제한되는 것은 아니지만, 헤드기는, 예를 들어, 티올, 카복실산, 포스핀산, 또는 실란을 포함할 수 있다.
당업계에 알려져 있는 바와 같이, 분자 연쇄의 말단, 또는 SAM의 기능적 말단기는, SAM의 하나 이상의 특성을 가변시키도록 기능화될 수 있다. 본 개시물에서, 기능적 말단기는, 그라프팅 재료(116)에 인접하는 재료의 가용성을 변화시키도록 이후에 활성화되는, 가용성 변화 작용제를 통해 기능화된다. 본 개시물의 일부 실시형태에서, SAM의 기능적 말단기는, 광산 발생기(PAG) 또는 열산 발생기(TAG)와 같은 산 발생기를 통해 기능화될 수 있다. 활성화된 경우, 산 발생기는 산을 생성하고, 인접한 재료 내로 산을 확산시켜서, 인접한 재료의 가용성을 변화시킨다.
도 1c에 도시된 실시형태에서, 그라프팅 재료(116)가 타겟 재료 층(108)의 측벽 표면 상에 선택적으로 증착된 후에, 개구부(114)가 재료(즉, 충전 재료(118))로 충전된다. 개구부(114)를 충전 재료(118)로 충전하기 위해, 다양한 기술 및 공정이 사용될 수 있다. 일부 실시형태에서, 충전 재료(118)는, 화학 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 물리 기상 증착(PVD), 원자층 증착(ALD), 스핀 코팅, 스프레이 코팅, 및/또는 다른 증착 공정을 사용하여, 개구부(114) 내에 증착될 수 있다. 개구부(114)를 충전 재료(118)로 충전하기 위해, 당업계에 알려져 있는 다른 공정이 사용될 수도 있다. 개구부(114)를 충전하는 단계와 더불어, 본 개시물의 일부 실시형태는 패터닝된 기판(100) 위에 충전 재료(118)를 증착하거나 달리 제공할 수 있다. 도 1a 내지 도 1c에 도시된 다양한 층을 위해 사용되는 구체적인 재료는, 본원에 설명된 기술이 사용되는 구체적인 공정 흐름 및 적용예에 따라 달라질 수 있다. 일부 실시형태에서, 타겟 재료 층(108)은 산화물 또는 질화물로 구성될 수 있다. 일부 실시형태에서, 추가적인 재료 층(110)은, 실리콘, 산화물(예를 들어, 실리콘 산화물, 티타늄 산화물, 또는 하프늄 산화물), 또는 질화물(예를 들어, 실리콘 질화물 또는 티타늄 질화물)로 구성될 수 있다. 일부 실시형태에서, 그라프팅 재료(116)는 티올, 카복실산, 또는 실란으로 구성될 수 있다. 일부 실시형태에서, 충전 재료(118)는 에스테르, 아세탈, 또는 비닐 에테르로 구성될 수 있다. 그러나, 본원에 설명된 기술을 구현하기 위해, 다양한 다른 재료가 사용될 수도 있다.
본 개시물에서, 충전 재료(118)는 다양한 탈보호 가능 재료로 구현될 수 있다. 당업계에 알려져 있는 바와 같이, "탈보호 가능 재료"는, 후속적인 화학 반응이 발생하는 것을 방지하기 위해, 재료의 분자 구조에 보호기(protecting group)가 첨가된 재료이다. 경우에 따라, 탈보호 가능 재료, 또는 "보호 재료"는, 분자 구조로부터 보호기를 제거함으로써 탈보호될 수 있다. 보호기가 제거된 경우, "보호 재료"는, 후속적인 반응을 방지하지 않는 "탈보호 재료"로 변환된다.
일부 실시형태에서, 충전 재료(118)는, 산으로 탈보호될 수 있는 산 탈보호 가능 수지(달리 산 보호 수지로 지칭됨)로 구현될 수 있다. 그러나, 충전 재료(118)는 산 탈보호 가능 수지로 엄격히 제한되지 않으며, 다른 탈보호 가능 재료로 구현될 수 있음을 인식한다.
도 1d에 도시된 실시형태에서, 그라프팅 재료(116) 내의 가용성 변화 작용제는, 그라프팅 재료(116)에 인접하여 그라프팅 재료(116)를 둘러싸는 충전 재료(118)의 일부분(120) 내로 가용성 변화 작용제를 확산시키도록 활성화된다. 가용성 변화 작용제의 활성화 및 확산으로 인해, 충전 재료(118)의 일부분(120) 내에서 가용성 변화 반응이 발생한다. 일부 실시형태에서, 가용성 변화 작용제의 활성화 및 확산으로 인해, 일부분(120)은 미리 결정된 용제에 불용성이 될 수 있다.
일부 실시형태에서, 그라프팅 재료(116) 내의 가용성 변화 작용제는, 광산 발생기(PAG) 또는 열산 발생기(TAG)와 같은 산 발생기를 포함할 수 있다. 그라프팅 재료(116)가 PAG를 포함하는 경우(또는 이를 통해 기능화되는 경우), PAG에 조사하여 산을 생성하고, 열처리 공정을 수행하여 충전 재료(118)의 일부분(120) 내로 산을 확산시킴으로써, 가용성 변화 작용제가 활성화될 수 있다. 그라프팅 재료(116)가 TAG를 포함하는 경우(또는 이를 통해 기능화되는 경우), 열처리 공정을 수행하여 산을 생성하고, 충전 재료(118)의 일부분(120) 내로 산을 확산시킴으로써, 가용성 변화 작용제가 활성화될 수 있다. 어떤 경우이든, 산 확산은, 일부분(120) 내의 산 보호 수지를 산 탈보호 수지로 변환시킴으로써, 그라프팅 재료(116)에 인접하여 그라프팅 재료(116)를 둘러싸는 충전 재료(118)의 일부분(120)의 가용성을 변화시킨다. 즉, 산 확산은, 그라프팅 재료(116)에 인접하여 그라프팅 재료(116)를 둘러싸는 충전 재료(118)의 일부분(120)을 탈보호한다.
도 1d에 도시된 바와 같이, 가용성 변화 작용제의 활성화 및 확산은, 타겟 재료 층(108)의 깊이(D)로 국부화되는 가용성 변화 반응을 유발한다. 보다 구체적으로는, 가용성 변화 작용제의 활성화 및 확산은, 타겟 재료 층(108)의 대향 측벽 표면 상에 증착된 그라프팅 재료(116)로부터 충전 재료(118)의 일부분(120) 내로 반경 방향으로 연장되는 영역(도 1d에 파선으로 표시됨) 내에서 가용성 변화 반응이 발생하도록 한다. 산 확산 길이(예를 들어, 산이 충전 재료(118) 내로 확산되는 반경 방향 거리)는, 바람직하게는 개구부(114)의 반경 이상이도록 선택됨으로써, 불용성 재료(예를 들어, 산 탈보호 수지)의 완전한 층이 타겟 재료 층(108)의 깊이로 형성된다.
일부분(120) 내로의 산 확산을 통해 형성된 불용성 재료 층의 두께는, 일반적으로 그라프팅 재료(116)의 두께 및 산 확산 길이에 따라 좌우된다. 일부 실시형태에서, 산 확산 길이 및 프로파일은, 그라프팅 재료(116) 내에 포함된 산 발생기의 조성, 생성된 산의 분자량, 충전 재료(118)의 조성, 열처리 온도 및/또는 열처리 시간에 따라 좌우될 수 있다. 일부 실시형태에서, 이러한 특성 또는 파라미터 중 하나 이상은, 산 확산의 프로파일 및/또는 확산 길이를 변화시키도록 조정되거나 제어될 수 있다.
그라프팅 재료(116)에 인접하여 그라프팅 재료(116)를 둘러싸는 충전 재료(118)의 일부분(120)을 선택적으로 탈보호하도록 가용성 변화 작용제가 활성화된 후에, 개구부(114) 내의 충전 재료(118)를 함입하기 위해 습식 현상 공정이 사용된다. 일부 실시형태에서, 습식 현상 공정은, 도 1e에 도시된 바와 같이, 제1 용제(예를 들어, 유기 용제)를 사용하여, 탈보호 부분(120) 위에 놓이는 충전 재료(118)의 보호 부분(122)을 제거함으로써, 개구부(114) 내의 충전 재료(118)를 함입할 수 있다. 일부 실시형태에서, 습식 현상 공정은 충전 재료(118)의 보호 부분(122)이 제거되면 종료될 수 있다.
다른 실시형태에서, 습식 현상 공정은, 충전 재료(118)의 보호 및 탈보호 부분을 개구부(114)로부터 점진적으로 제거하도록 복수의 용제 간에 교호함으로써, 개구부(114) 내의 충전 재료(118)를 함입할 수 있다. 예를 들어, 습식 현상 공정은, (a) 제1 용제를 사용하여, 충전 재료(118)의 탈보호 부분(120) 위에 놓이는 충전 재료(118)의 보호 부분(122)을 제거하는 단계(도 1e에 도시된 바와 같음)와, (b) 후속적으로 제2 용제를 사용하여, 충전 재료(118)의 탈보호 부분(120)을 제거하는 단계(도시되지 않음) 간에 교호할 수 있다. 일부 실시형태에서, 제1 용제는 유기 용제일 수 있으며, 제2 용제는 수용성 용제일 수 있다. 그러나, 충전 재료(118)의 보호 및 탈보호 부분을 제거하기 위해 사용되는 용제는 유기 및 수용성 용제로 엄격히 제한되지 않음을 인식한다. 당업계에 알려져 있는 바와 같이, 충전 재료의 보호/탈보호 부분을 제거하기 위한 다른 용제가 사용될 수 있다. 일부 실시형태에서, 제1 용제는 n-부틸 아세테이트 또는 2-헵타논일 수 있으며, 제2 용제는 테트라-메틸 수산화암모늄일 수 있다.
일부 실시형태에서, 충전 재료(118), 가용성 변화 작용제(예를 들어, 산 발생기), 및/또는 용제(들)는, 충전 재료(118)의 보호 부분(122)과 비교하여, 충전 재료(118)의 탈보호 부분(120)이 선택된 용제 내에서 높은 용해 대비를 갖도록 보장하기 위해 선택될 수 있다. 이러한 방식으로, 각각의 현상 단계는, 사용되는 용제의 조성에 따라, 보호 또는 탈보호 충전 재료의 하나의 층을 제거할 수 있다.
일부 실시형태에서, 도 1a 내지 도 1e에 도시된 기술을 사용함으로써 달성되는 함입의 양은, 다층 적층물(112)의 조성(예를 들어, 다층 적층물(112) 내에 제공되는 격리된 타겟 재료 층(들)(108)의 수), 타겟 재료 층(들)(108)의 측벽 표면 상에 선택적으로 증착된 그라프팅 재료(116)의 두께, 및 가용성 변화 작용제의 확산 길이에 따라 좌우될 수 있다. 도 1b 내지 도 1d에 도시되고 본원에 설명된 바와 같이, 그라프팅 재료(116)가 선택적으로 증착되고 충전 재료(118)가 선택적으로 탈보호된 후에, 교호하는 용제(예를 들어, 교호하는 유기 및 수용성 용제)의 습식 현상 공정은, 격리된 타겟 재료 층(들)(108)이 적층물 내에 형성된 경우, 특정 다층 적층물 내에서 원하는 함입 깊이를 달성하기 위해 필요한 임의의 횟수로 반복될 수 있다.
본원에 개시된 기술은 다양한 기판의 공정 동안 사용될 수 있음을 인식한다. 기판은 기판의 패터닝이 바람직한 임의의 기판일 수 있다. 예를 들어, 일 실시형태에서, 기판은, 하나 이상의 반도체 공정 층(이들 모두가 함께 기판에 포함될 수 있음)이 그 위에 형성된 반도체 기판일 수 있다. 따라서, 일 실시형태에서, 기판은, 그 모두가 기판 공정 기술분야에 알려져 있고 기판의 일부인 것으로 간주될 수 있는, 다양한 구조물 및 층을 산출하는 다수의 반도체 공정 단계를 거친 반도체 기판일 수 있다. 예를 들어, 일 실시형태에서, 기판은 하나 이상의 반도체 처리 층이 그 위에 형성된 반도체 웨이퍼일 수 있다. 본원에 개시된 개념은, 기판 공정 흐름의 임의의 단계(예를 들어, 완성된 기판을 형성하기 위해 사용될 수 있는 다수의 포토리소그래피 단계 중 어느 하나)에서 사용될 수 있다.
본원에 개시된 기술은 기판 상의/내의 다양한 반도체 구조물 및/또는 소자의 제조 동안 사용될 수 있음을 인식한다. 예를 들어, 도 2a 내지 도 2m은 상보형 전계 효과 트랜지스터(CFET)를 형성하기 위해 사용되는 다층 적층물 내에 형성된 개구부 내의 충전 재료를 함입하기 위해 본원에 설명된 기술을 사용하는 예시적인 공정 흐름을 도시한다. 자기 정렬 블록(SAB) 공정에서 함입부를 형성하기 위해 사용되는 다른 예시적인 공정 흐름은 도 3a 및 도 3b에 도시된다. 개시된 기술은 본원에 도시되고 설명된 예시적인 공정 흐름으로 제한되지 않으며, 다른 반도체 구조물 및/또는 소자를 형성할 때 사용될 수 있음을 인식한다.
도 2a 내지 도 2m은 모놀리식(monolithic) 상보형 FET(CFET) 설계에서 선택적 에피택셜 실리콘 성장을 위한 예시적인 공정 흐름을 도시한다. 모놀리식 CFET 설계는, n형 및 p형 트랜지스터의 수직 적층을 가능하게 한다. 수직으로 적층된 상보형 트랜지스터의 제조 시에, 각각의 트랜지스터 유형에 대해 선택적인 다수의 공정은, 다른 트랜지스터 유형의 제조를 방해하지 않으면서 수행되어야 한다. 하나의 핵심적인 공정은, 각각의 해당 트랜지스터 유형에 대해 도핑된 에피택셜 실리콘의 성장, 및 그러한 성장 동안 대향 실리콘 채널의 필요한 차단이다. 도 2a 내지 도 2m에 도시되고 아래에 보다 상세히 설명되는 바와 같이, 본원에 개시된 기술은, 모놀리식 CFET 설계에서 n/p 분리를 한정하고, 각각의 상보형 도핑된 채널 상의 선택적 에피택셜 실리콘 성장을 가능하게 하는, 자기 정렬 및 확장 가능 공정을 제공하기 위해 사용될 수 있다.
도 2a 내지 도 2m은 패터닝된 기판(200)의 하나 이상의 하부 층(202) 상에 제공된 다층 적층물(212) 내에 형성된 개구부 내의 충전 재료를 함입하기 위해 본원에 개시된 기술을 사용하는 예시적인 공정 흐름을 도시한다. 도 2a 내지 도 2m에 도시된 실시형태에서, 다층 적층물(212)은, 모놀리식 CFET 설계에서 n형 및 p형 채널 영역을 형성하도록 도핑되는, 상부 실리콘 채널(204) 및 하부 실리콘 채널(206)을 포함한다. 도 2a에 도시된 실시형태에서, 실리콘 채널들은, 실리콘-게르마늄(SiGe) 층(208)으로 분리되거나 격리된다. 일부 실시형태에서, 질화물 층(210)이 최상부 SiGe 층(208) 상에 형성될 수 있다.
각각의 SiGe 층(208) 상에 내측 스페이서(207)가 형성된 후에, 다층 적층물(212) 내에 형성된 개구부(214) 내에 그라프팅 재료(216)(예를 들어, 자기 정렬 단분자층, 또는 SAM)가 선택적으로 증착된다. 보다 구체적으로는, 그리고 도 2b에 도시된 바와 같이, 그라프팅 재료(216)는, 상부 실리콘 채널(204) 및 하부 실리콘 채널(206)의 노출된 측벽 표면 상에 선택적으로 증착된다. 위에 언급된 바와 같이, 그라프팅 재료(216)는 그라프팅 재료(216)에 인접한 층의 가용성을 변화시키도록 활성화될 수 있는 가용성 변화 작용제(예를 들어, 산 발생기)를 포함할 수 있거나, 이를 통해 기능화될 수 있다.
그라프팅 재료(216)가 선택적으로 증착된 후에, 도 2c에 도시된 바와 같이, 개구부(214)는 탈보호 가능 재료(218)로 충전된다. 일부 실시형태에서, 탈보호 가능 재료(218)는, 산으로 탈보호될 수 있는 산 탈보호 가능 수지(또는 산 보호 수지)일 수 있다.
개구부(214)가 탈보호 가능 재료(218)로 충전된 후에, 그라프팅 재료(216) 내의 가용성 변화 작용제(예를 들어, 산 발생기)가 활성화되어, 그라프팅 재료(216)에 국부화된 산을 생성한다. 그 다음, 도 2d에 도시된 바와 같이, 패터닝된 기판(200)은, 탈보호 가능 재료(218) 내로 외향하게 산을 확산시키도록 열처리된다. 가용성 변화 작용제의 활성화 및 확산으로 인해, 상부 실리콘 채널(204) 및 하부 실리콘 채널(206)의 측벽 표면으로부터의 반경 방향 거리 내에서 가용성 변화 반응이 발생하므로, 상부 및 하부 실리콘 채널의 부근에 탈보호 재료(220)의 완전한 층(예를 들어, 탈보호 수지 층)을 형성한다. 탈보호 수지 층의 두께는, 실리콘 채널(들)의 두께 및 산 확산 길이에 의해 한정된다. n형 및 p형 채널 간의 분리는, 탈보호 가능 재료(218)(산 보호 수지)의 층이 n형 채널 영역과 p형 채널 영역 사이에 남아 있도록 설계된다.
도 2e에서, 패터닝된 기판(200)이 유기 용제로 현상되어, 패터닝된 기판(200)의 상부로부터 탈보호 가능 재료(218)(산 보호 수지)를 제거한다. 이러한 현상 단계는, 상부 실리콘 채널(204)에 인접하게 형성된 탈보호 재료(220)의 최상부 층을 노출시키며, 개구부(214) 내의 탈보호 가능 재료(218)를 탈보호 재료(220)의 최상부 층에 의해 한정된 깊이로 함입한다.
도 2f에서, 패터닝된 기판(200)이 수용성 매체로 현상되어, 개구부(214)로부터 탈보호 재료(220)의 최상부 층(탈보호 수지 층)을 제거한다. 이러한 현상 단계는, 상부 실리콘 채널(204)과 하부 실리콘 채널(206) 사이에 배치된 탈보호 가능 재료(218)(산 보호 수지) 및 상부 실리콘 채널(204)을 노출시킨다.
도 2g에서, 상부 실리콘 채널(204)과 하부 실리콘 채널(206) 사이에 배치된 탈보호 가능 재료(218)(산 보호 수지)의 층을 제거하기 위해, 제2 유기 현상이 수행된다. 이러한 현상 단계는, 하부 실리콘 채널(206)에 인접하게 형성된 탈보호 재료(220)의 다음 층을 노출시키며, 개구부(214) 내의 탈보호 가능 재료(218)를 탈보호 재료(220)의 다음 층에 의해 한정된 원하는 함입 깊이로 함입한다. 도 2b 내지 도 2g에 도시된 공정 단계를 사용함으로써, 본원에 개시된 기술은 개구부(214) 내의 탈보호 가능 재료(218)를 원하는 함입 깊이로 함입하기 위해 사용될 수 있으며, 이는 후속 공정으로부터 하부 실리콘 채널(206)을 보호하면서, 상부 실리콘 채널(204)을 노출시킨다.
도 2h에서, 라이너(liner)(222)가 패터닝된 기판(200)의 상부, 개구부(214)의 측벽, 및 나머지 탈보호 재료(220)의 상부 위에 정합되게(conformally) 증착된다. 도 2i에서, 패터닝된 기판(200)의 상부로부터 라이너(222)를 제거하고 나머지 탈보호 재료(220)를 제거하기 위해, 이방성 에칭이 수행됨으로써, 개구부(214)의 측벽 표면이 원하는 함입 깊이로 라이너(222)에 의해 커버되도록 한다. 패터닝된 기판(200)에 실리콘 세척 단계를 거친 후에, 도 2j에 도시된 바와 같이, 에피택셜 형상부(224)가 하부 실리콘 채널(206) 상에 선택적으로 형성된다. 에피택셜 형상부가 하부 실리콘 채널(206) 상에 형성된 후에, 도 2k에서, 라이너(222)가 제거되며, 도 2l에서, 하부 실리콘 채널 상에 형성된 에피택셜 형상부(224) 상에 캡슐화 층(226)이 선택적으로 성장된다. 캡슐화 층(226)이 성장된 후에, 추가적인 에피택셜 공정이 수행됨으로써, 하부 층으로부터의 방해 또는 하부 층의 오염 없이, (도 2m에 도시된 바와 같이) 상부 실리콘 채널(204) 상의 에피택셜 형상부(224)의 선택적 성장을 가능하게 한다.
자기 정렬 블록(SAB)은, 서브-해상도 절단부(sub-resolution cut)를 최첨단 집적 회로를 위한 고해상도 형상부로 패터닝하도록 설계된 진보적인 멀티컬러 공정 흐름이다. 흔히 이러한 공정 흐름의 핵심적인 단계는, 패터닝된 기판 상에 제공된 스페이서 사이에 형성된 개구부 내의 충전 재료(예를 들어, 스핀온 글라스)의 부분적인 함입을 필요로 한다. 전형적으로, 함입 단계는, 나노미터 레벨의 정밀도를 필요로 한다. 스페이서 사이에 형성된 함입부가 너무 얕거나 너무 깊은 경우, 전체 공정이 실패할 수 있다. 이러한 문제점을 방지하기 위해, 본원에 개시된 기술은, 타겟 재료 층, 및 타겟 재료 층 위에 놓이는 적어도 하나의 추가적인 층을 갖는 다층 적층물로 스페이서 패턴을 변형시킴으로써 구현될 수 있으며, 타겟 재료 층의 두께는 원하는 함입 깊이로 조정된다.
도 3a 및 도 3b는 자기 정렬 블록(SAB) 공정에서 함입부를 형성하기 위해 사용될 수 있는 예시적인 공정 흐름을 도시한다. 보다 구체적으로는, 도 3a는 패터닝된 기판(300) 상에 제공된 복수의 다층 스페이서(310) 사이에 형성된 개구부를 충전하기 위해, 충전 재료(318)가 패터닝된 기판(300) 상에 증착된 후에, SAB 공정에 따라 형성된 패터닝된 기판(300)의 일 실시예를 도시한다. 도 3b는 본원에 설명된 기술에 따라, 충전 재료(318)가 다층 스페이서(310) 내에서 함입된 후의 도 3a에 도시된 패터닝된 기판(300)을 도시한다.
도 3a에 도시된 다층 스페이서(310)는 일반적으로 하나 이상의 하부 층 상에 형성될 수 있다. 일부 실시형태에서, 예를 들어, 일반적으로 다층 스페이서(310)는, 하드 마스크 층(308), 층간 유전체 층(306), 로우-k(low-k) 유전체 층(304), 및 베이스 기판 층(302)과 같은(그러나 이에 제한되지 않는), 하나 이상의 하부 층 위에 형성될 수 있다. 베이스 기판 층(302)은 패터닝된 형상부의 사용이 바람직한 임의의 기판일 수 있다. 도 3a에 도시된 하드 마스크 층(308), 층간 유전체 층(306), 로우-k 유전체 층(304)은, 당업계에 알려져 있는 바와 같은 임의의 다양한 재료로 형성될 수 있다. 예시적인 하부 층이 설명되고 도면에 도시되지만, 본원에 설명된 기술은, 다층 스페이서(310)의 아래에 놓이는 임의의 특정 유형 또는 수의 층으로 제한되지 않는다. 따라서, 더 많거나, 더 적거나, 다른 하부 층이 사용될 수 있다.
본원에 개시된 기술을 사용하기 위해, 도 3a 및 도 3b에 도시된 다층 스페이서(310)는, 적어도 하나의 타겟 재료 층(312), 및 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층(314)을 포함하는 적어도 2개의 상이한 재료 층을 포함하도록 형성될 수 있다. 일부 실시형태에서, 다층 스페이서(310)는, 예를 들어, 자기 정렬 이중 패터닝(SADP) 공정, 자기 정렬 4중 패터닝(SAQP) 공정, 자기 정렬 8중 패터닝(SAOP) 공정 등과 같은, 자기 정렬 다중 패터닝(SAMP) 공정을 사용하여 형성될 수 있다. SAMP 공정은 당업계에 잘 알려져 있다.
하나의 예시적인 SAMP 공정에서, 하드 마스크 층(308) 상에 타겟 재료 층(312)을 형성하는 단계; 타겟 재료 층(312) 상에 복수의 맨드릴(mandrel)(도시되지 않음)을 형성하는 단계; 및 타겟 재료 층(312)의 노출된 표면 및 맨드릴 위에 하나 이상의 추가적인 재료 층(314)을 형성하는 단계에 의해, 다층 스페이서(310)가 패터닝된 기판(300) 상에 형성될 수 있다. 추가적인 재료 층(들)(314)이 형성되면, 애칭 공정을 사용하여, 타겟 재료 층(312)의 노출된 표면 및 맨드릴의 상부 표면으로부터 추가적인 재료 층(들)(314)의 일부분을 제거함으로써, 맨드릴의 측벽 상에 추가적인 재료 층 스페이서를 남길 수 있다. 패터닝된 기판(300)으로부터 맨드릴을 제거하기 위해 맨드릴 풀(pull) 단계가 수행된 후에, 다른 에칭 공정을 사용하여 타겟 재료 층(312)을 에칭할 수 있으므로, 도 3a 및 도 3b에 도시된 다층 스페이서(310)를 형성할 수 있다.
다층 스페이서(310)가 형성된 후에, 도 3b에 도시된 바와 같이, 스핀온 카본(SOC) 층(316)이 패터닝된 기판(300) 상에 형성될 수 있다. 그 다음, SOC 층(316) 내에 형성된 개구부(320) 내에 그리고 패터닝된 기판(300) 위에 충전 재료(318)가 증착(또는 달리 형성)될 수 있다. 예시적인 일 실시형태에서, 충전 재료(318)는 스핀온 글라스(SOG)일 수 있다.
개구부(320)가 충전 재료(318)로 충전된 후에, 도 1b 내지 도 1e에 도시되고 위에 설명된 기술을 사용하여, 다층 스페이서(310) 내의 충전 재료(318)를 함입할 수 있다. 본원에 개시된 기술을 사용함으로써, 충전 재료(318)는, (도 3b에 도시된 바와 같이) 다층 스페이서(310) 내에 포함된 타겟 재료 층(312)에 의해 한정되는 원하는 함입 깊이로 고정밀도로 다층 스페이서(310) 내에서 함입될 수 있다.
도 4 및 도 5는 본원에 설명된 기술을 사용하는 예시적인 방법을 도시한다. 도 4 및 도 5에 도시된 실시형태는 단지 예시적인 것일 뿐이며, 추가적인 방법이 본원에 설명된 기술을 사용할 수 있음을 인식할 것이다. 또한, 설명된 단계는 배타적인 것으로 의도되지 않기 때문에, 추가적인 공정 단계가 도 4 및 도 5에 도시된 방법에 추가될 수 있다. 더욱이, 상이한 순서로 수행될 수 있거나/수행될 수 있고 다양한 단계가 조합하여 또는 동시에 수행될 수 있기 때문에, 단계의 순서는 도면에 도시된 순서로 제한되지 않는다.
도 4는 패터닝된 기판 내에 형성된 복수의 개구부 내의 충전 재료를 함입하기 위한 방법(400)의 일 실시형태를 도시한다. 일반적으로, 도 4에 도시된 방법(400)은, (단계(410)에서) 개구부를 포함하는 패터닝된 기판을 수용함으로써 시작될 수 있다. 방법(400)에서, 패터닝된 기판 내에 형성된 개구부는, 타겟 재료 층, 및 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층을 포함하는 다층 적층물 내에 형성되며, 추가적인 재료 층(들)은 타겟 재료 층과 상이하다. 도 1 내지 도 3은 다층 적층물 내에 형성될 수 있는 다양한 개구부의 실시예를 제공한다. 그러나, 도 4에 도시된 방법(400)은 본원에 도시되고 설명된 실시예로만 엄격히 제한되지 않음을 인식할 것이다.
단계(420)에서, 방법(400)은, 그라프팅 재료가 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층에 부착되지 않으면서 타겟 재료 층에 부착되도록, 개구부 내에 그라프팅 재료를 선택적으로 증착한다. 도 4에 도시된 실시형태에서, 그라프팅 재료는 가용성 변화 작용제를 포함한다.
(단계(420)에서) 그라프팅 재료를 선택적으로 증착한 후에, 방법(400)은, (단계(440)에서) 그라프팅 재료를 둘러싸는 충전 재료의 일부분 내로 가용성 변화 작용제가 확산되도록 하기 전에, (단계(430)에서) 개구부 내에 충전 재료를 증착한다. 도 4에 도시된 실시형태에서, 가용성 변화 작용제의 확산으로 인해, 충전 재료의 일부분은 미리 결정된 용제에 불용성이 된다. 단계(450)에서, 방법(400)은, 미리 결정된 용제를 사용하여, 미리 결정된 용제에 불용성인 충전 재료의 일부분을 개구부 내에 남기면서, 충전 재료의 가용성 부분을 제거함으로써, 개구부 내의 충전 재료를 함입한다.
도 5는 패터닝된 기판 내에 형성된 복수의 개구부 내의 충전 재료를 함입하기 위한 방법(500)의 다른 실시형태를 도시한다. 도 4에 도시된 방법(400)과 마찬가지로, 일반적으로 도 5에 도시된 방법(500)은, (단계(510)에서) 개구부를 포함하는 패터닝된 기판을 수용함으로써 시작될 수 있다. 위에 언급된 바와 같이, 개구부는, 타겟 재료 층, 및 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층을 포함하는 다층 적층물 내에 형성될 수 있으며, 추가적인 재료 층(들)은 타겟 재료 층과 상이하다.
단계(520)에서, 방법(500)은, 그라프팅 재료가 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층에 부착되지 않으면서 타겟 재료 층에 부착되도록, 개구부 내에 그라프팅 재료를 선택적으로 증착한다. 도 5에 도시된 실시형태에서, 그라프팅 재료는 산 발생기를 포함한다.
(단계(520)에서) 그라프팅 재료를 선택적으로 증착한 후에, 방법(500)은, (단계(530)에서) 개구부 내에 충전 재료를 증착하며, 충전 재료는 산 보호 재료이다. 단계(540)에서, 방법(500)은, 그라프팅 재료 내의 산 발생기를 활성화시켜서 산을 생성하고, 그라프팅 재료를 둘러싸는 충전 재료의 일부분 내로 산을 확산시킨다. 산 확산은, 충전 재료의 일부분을 산 탈보호 재료로 변환시킨다. 단계(550)에서, 방법(500)은, 제1 용제를 사용하여, 산 탈보호 재료를 포함하는 충전 재료의 일부분 위에 놓이는 산 보호 재료의 일부분을 제거함으로써, 개구부 내의 충전 재료를 함입한다.
본원에 도시되고 설명된 재료 층 중 하나 이상을 형성하기 위해 다양한 증착 공정이 사용될 수 있음을 유의한다. 예를 들어, 하나 이상의 증착은 화학 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 물리 기상 증착(PVD), 원자층 증착(ALD), 및/또는 다른 증착 공정을 사용하여 구현될 수 있다. 또한, 본원에 도시되고 설명된 재료 층 중 하나 이상을 에칭하기 위해 다양한 에칭 공정이 사용될 수 있음을 유의한다. 예를 들어, 하나 이상의 에칭 공정은, 플라즈마 에칭 공정, 방전 에칭 공정, 및/또는 다른 원하는 에칭 공정을 사용하여 구현될 수 있다.
본원에 설명된 다양한 증착 및/또는 에칭 공정을 제어하기 위해, 다양한 작동 파라미터가 조정될 수도 있다. 작동 파라미터의 실시예는, 챔버 온도, 챔버 압력, 전력(예를 들어, 소스/바이어스 전력), 가스의 유량, 가스 화학물질 또는 가스의 유형, 및/또는 공정 단계를 위한 다른 작동 변수를 포함할 수 있지만, 이에 제한되지 않는다. 본원에서 설명된 기술을 여전히 이용하면서, 변형예도 구현될 수 있다.
본 명세서 전반에 걸쳐서 "일 실시형태" 또는 "실시형태"라는 언급은 실시형태와 관련하여 설명된 구체적인 특징, 구조, 재료, 또는 특성이 본 발명의 적어도 하나의 실시형태에 포함됨을 의미하지만, 이들이 모든 실시형태에 존재한다는 것을 의미하지 않음을 유의한다. 따라서, 본 명세서 전반에 걸친 다양한 곳에서 "일 실시형태에서" 또는 "실시형태에서"라는 문구의 출현은 반드시 본 발명의 동일한 실시형태를 지칭하는 것은 아니다. 또한, 구체적인 특징, 구조, 재료, 또는 특성은 하나 이상의 실시형태에서 임의의 적합한 방식으로 조합될 수 있다. 다양한 추가적인 층 및/또는 구조물이 다른 실시형태에 포함될 수 있거나/포함될 수 있고, 설명된 특징이 다른 실시형태에서 생략될 수 있다.
본원에 사용된 바와 같은 "기판"이라는 용어는 재료가 그 위에 형성된 기재 또는 구조물을 의미하고 포함한다. 기판은 단일 재료, 상이한 재료의 복수의 층, 그 안에 상이한 구조물 또는 상이한 재료의 영역을 갖는 층 또는 층들 등을 포함할 수 있음을 이해할 것이다. 이러한 재료는 반도체, 절연체, 전도체, 또는 이들의 조합물을 포함할 수 있다. 예를 들어, 기판은 반도체 기판, 지지 구조물 상의 베이스 반도체 층, 하나 이상의 층, 구조물 또는 영역이 그 위에 형성된 반도체 기판 또는 금속 전극일 수 있다. 기판은 통상적인 실리콘 기판, 또는 반도체 재료의 층을 포함하는 다른 벌크 기판일 수 있다. 본원에서 사용된 바와 같은 "벌크 기판"이라는 용어는 실리콘 웨이퍼 뿐만 아니라, 실리콘-온-글라스(“SOG”) 기판 및 실리콘-온-사파이어("SOS") 기판과 같은, 실리콘-온-절연체("SOI") 기판, 베이스 반도체 토대 상의 실리콘의 에피택셜 층, 그리고 실리콘-게르마늄, 게르마늄, 갈륨 비소, 질화 갈륨, 및 인화 인듐과 같은 다른 반도체 또는 광전자 재료를 의미하고 포함한다. 기판은 도핑될 수 있거나 도핑되지 않을 수 있다.
기판을 처리하기 위한 시스템 및 방법이 다양한 실시형태에서 설명된다. 기판은 소자, 특히 반도체 또는 다른 전자 소자의 임의의 재료 부분 또는 구조물을 포함할 수 있으며, 예를 들어, 반도체 기판과 같은 베이스 기판 구조물, 또는 박막과 같이 베이스 기판 구조물 상에 있거나 위에 놓이는 층일 수 있다. 따라서, 기판은 패터닝된 또는 패터닝되지 않은 임의의 특정한 베이스 구조물, 하부층 또는 상부층으로 제한되는 것으로 의도되는 것이 아니라, 오히려 임의의 그러한 층 또는 베이스 구조물, 그리고 층 및/또는 베이스 구조물의 임의의 조합물을 포함하는 것으로 고려된다.
관련 기술 분야의 당업자는 다양한 실시형태가 하나 이상의 특정 세부 사항 없이 실시될 수 있거나, 다른 대체 및/또는 추가적인 방법, 재료, 또는 구성 요소와 함께 실시될 수 있음을 인식할 것이다. 다른 경우에, 잘 알려진 구조, 재료, 또는 작업은 본 발명의 다양한 실시형태의 양태를 불명료하게 하는 것을 방지하기 위해 상세히 도시되거나 설명되지 않는다. 유사하게, 설명의 목적으로, 본 발명의 완전한 이해를 제공하기 위해 구체적인 수, 재료, 및 구성이 상술된다. 그럼에도 불구하고, 본 발명은 구체적인 세부 사항 없이 실시될 수 있다. 또한, 도면에 도시된 다양한 실시형태는 예시적인 표현이며, 반드시 일정한 비율로 도시된 것은 아니라는 점을 이해한다.
설명된 시스템 및 방법의 추가적인 변형예 및 대안적인 실시형태는 본 설명을 고려하는 당업자에게 명백할 것이다. 따라서, 설명된 시스템 및 방법은 이러한 예시적인 방식으로 제한되지 않음을 인식할 것이다. 본원에서 도시되고 설명된 시스템 및 방법의 형태는 예시적인 실시형태로 간주되어야 함을 이해해야 한다. 구현예에서 다양한 변경이 이루어질 수 있다. 따라서, 본 발명은 구체적인 실시형태를 참조하여 본원에 설명되지만, 본 발명의 범위를 벗어나지 않고 다양한 변형 및 변경이 이루어질 수 있다. 따라서, 명세서 및 도면은 제한적인 의미가 아니라 예시적인 의미로 간주되어야 하며, 이러한 변형은 본 발명의 범위 내에 포함되는 것으로 의도된다. 또한, 구체적인 실시형태와 관련하여 본원에서 설명된 문제에 대한 임의의 이점, 장점, 또는 솔루션은, 임의의 또는 모든 청구항의 중요한, 필요한 또는 필수적인 특징 또는 요소로 해석되도록 의도되지 않는다.

Claims (20)

  1. 패터닝된 기판 내에 형성된 개구부 내의 충전 재료를 함입하기 위한 방법으로서,
    상기 개구부를 포함하는 상기 패터닝된 기판을 수용하는 단계로서, 상기 개구부는, 타겟 재료 층, 및 상기 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층을 포함하는 다층 적층물 내에 형성되고, 상기 하나 이상의 추가적인 재료 층은 상기 타겟 재료 층과 상이한, 단계;
    그라프팅 재료가 상기 타겟 재료 층 위에 놓이는 상기 하나 이상의 추가적인 재료 층에 부착되지 않으면서 상기 타겟 재료 층에 부착되도록, 상기 개구부 내에 상기 그라프팅 재료를 선택적으로 증착하는 단계로서, 상기 그라프팅 재료는 가용성 변화 작용제를 포함하는, 단계;
    상기 개구부 내에 상기 충전 재료를 증착하는 단계;
    상기 그라프팅 재료를 둘러싸는 상기 충전 재료의 일부분 내로 상기 가용성 변화 작용제가 확산되도록 하는 단계로서, 상기 가용성 변화 작용제의 확산은, 상기 충전 재료의 상기 일부분이 미리 결정된 용제에 불용성이 되도록 하는, 단계; 및
    상기 미리 결정된 용제를 사용하여, 상기 미리 결정된 용제에 불용성인 상기 충전 재료의 상기 일부분을 상기 개구부 내에 남기면서, 상기 충전 재료의 가용성 부분을 제거함으로써, 상기 개구부 내의 상기 충전 재료를 함입하는 단계를 포함하는,
    패터닝된 기판 내에 형성된 개구부 내의 충전 재료를 함입하기 위한 방법.
  2. 제1항에 있어서,
    상기 미리 결정된 용제는, 상기 타겟 재료 층의 물리적 특성 및 상기 가용성 변화 작용제에 의해 한정된 상기 개구부 내의 깊이로 상기 충전 재료의 상기 가용성 부분을 제거하는, 방법.
  3. 제1항에 있어서,
    상기 그라프팅 재료는, 상기 타겟 재료 층에 의해 한정된 깊이로 상기 개구부의 대향 측벽 상에 선택적으로 증착되며,
    상기 가용성 변화 작용제는, 상기 타겟 재료 층의 상기 깊이로 불용성 재료의 완전한 층을 형성하기 위해, 상기 대향 측벽 상에 증착된 상기 그라프팅 재료로부터 상기 충전 재료의 상기 일부분 내로 반경 방향으로 연장되는 영역 내에서 가용성 변화 반응이 발생하도록 하는, 방법.
  4. 제1항에 있어서,
    상기 그라프팅 재료는, 상기 타겟 재료 층의 대향 측벽 표면 상에 자기 조립 단분자층(SAM)을 형성함으로써 상기 개구부 내에 선택적으로 증착되며,
    상기 충전 재료는 산 보호 수지인, 방법.
  5. 제4항에 있어서,
    상기 SAM은 광산 발생기(PAG) 또는 열산 발생기(TAG)를 통해 기능화되는, 방법.
  6. 제4항에 있어서,
    상기 SAM은 광산 발생기(PAG)를 통해 기능화되며,
    상기 그라프팅 재료를 둘러싸는 상기 충전 재료의 상기 일부분 내로 상기 가용성 변화 작용제가 상기 확산되도록 하는 단계는,
    상기 PAG에 조사하여 산을 생성하는 단계; 및
    열처리 공정을 수행하여 상기 충전 재료의 상기 일부분 내로 상기 산을 확산시키는 단계를 포함하고,
    상기 산을 확산시키는 단계는, 상기 충전 재료의 상기 일부분 내의 상기 산 보호 수지를 산 탈보호 수지로 변환시키는, 방법.
  7. 제4항에 있어서,
    상기 SAM은 열산 발생기(TAG)를 통해 기능화되며,
    상기 그라프팅 재료를 둘러싸는 상기 충전 재료의 상기 일부분 내로 상기 가용성 변화 작용제가 상기 확산되도록 하는 단계는,
    열처리 공정을 수행하여 산을 생성하고 상기 충전 재료의 상기 일부분 내로 상기 산을 확산시키는 단계를 포함하고,
    상기 산을 확산시키는 단계는, 상기 충전 재료의 상기 일부분 내의 상기 산 보호 수지를 산 탈보호 수지로 변환시키는, 방법.
  8. 제1항에 있어서,
    상기 미리 결정된 용제는 유기 용제인, 방법.
  9. 제1항에 있어서,
    상기 미리 결정된 용제를 사용하여 상기 충전 재료의 가용성 부분을 제거한 후에, 상기 충전 재료를 상기 함입하는 단계는,
    수용성 용제를 사용하여, 상기 미리 결정된 용제에 불용성인 상기 충전 재료의 상기 일부분을 상기 개구부로부터 제거하는 단계를 더 포함하는, 방법.
  10. 패터닝된 기판 내에 형성된 개구부 내의 충전 재료를 함입하기 위한 방법으로서,
    상기 개구부를 포함하는 상기 패터닝된 기판을 수용하는 단계로서, 상기 개구부는, 타겟 재료 층, 및 상기 타겟 재료 층 위에 놓이는 하나 이상의 추가적인 재료 층을 포함하는 다층 적층물 내에 형성되고, 상기 하나 이상의 추가적인 재료 층은 상기 타겟 재료 층과 상이한, 단계;
    그라프팅 재료가 상기 타겟 재료 층 위에 놓이는 상기 하나 이상의 추가적인 재료 층에 부착되지 않으면서 상기 타겟 재료 층에 부착되도록, 상기 개구부 내에 상기 그라프팅 재료를 선택적으로 증착하는 단계로서, 상기 그라프팅 재료는 산 발생기를 포함하는, 단계;
    상기 개구부 내에 상기 충전 재료를 증착하는 단계로서, 상기 충전 재료는 산 보호 재료인, 단계;
    상기 그라프팅 재료 내의 상기 산 발생기를 활성화시켜서 산을 생성하고, 상기 그라프팅 재료를 둘러싸는 상기 충전 재료의 일부분 내로 산을 확산시키는 단계로서, 상기 산을 확산시키는 단계는, 상기 충전 재료의 상기 일부분을 산 탈보호 재료로 변환시키는, 단계; 및
    제1 용제를 사용하여, 상기 산 탈보호 재료를 포함하는 상기 충전 재료의 상기 일부분 위에 놓이는 상기 산 보호 재료의 일부분을 제거함으로써, 상기 개구부 내의 상기 충전 재료를 함입하는 단계를 포함하는,
    패터닝된 기판 내에 형성된 개구부 내의 충전 재료를 함입하기 위한 방법.
  11. 제10항에 있어서,
    상기 그라프팅 재료는, 상기 타겟 재료 층에 의해 한정된 깊이로 상기 개구부의 대향 측벽 상에 선택적으로 증착되며,
    상기 타겟 재료 층의 상기 깊이로 산 탈보호 재료의 완전한 층을 형성하기 위해, 상기 대향 측벽 상에 증착된 상기 그라프팅 재료로부터 상기 충전 재료의 상기 일부분 내로 반경 방향으로 상기 산이 확산되는, 방법.
  12. 제10항에 있어서,
    상기 그라프팅 재료는, 상기 타겟 재료 층의 대향 측벽 표면 상에 자기 조립 단분자층(SAM)을 형성함으로써 상기 개구부 내에 선택적으로 증착되는, 방법.
  13. 제12항에 있어서,
    상기 SAM의 헤드기는, 티올, 카복실산, 포스핀산, 또는 실란을 포함하는, 방법.
  14. 제10항에 있어서,
    상기 산 발생기는 광산 발생기(PAG)이며,
    상기 산 발생기를 상기 활성화시키는 단계는,
    상기 PAG에 조사하여 상기 산을 생성하는 단계; 및
    열처리 공정을 수행하여 상기 충전 재료의 상기 일부분 내로 상기 산을 확산시키는 단계를 포함하는, 방법.
  15. 제10항에 있어서,
    상기 산 발생기는 열산 발생기(TAG)이며,
    상기 산 발생기를 상기 활성화시키는 단계는, 열처리 공정을 수행하여 상기 산을 생성하고 상기 충전 재료의 상기 일부분 내로 상기 산을 확산시키는 단계를 포함하는, 방법.
  16. 제10항에 있어서,
    상기 산을 확산시키는 단계는 상기 그라프팅 재료를 둘러싸는 영역으로 국부화되는, 방법.
  17. 제10항에 있어서,
    상기 산 발생기를 상기 활성화시키는 단계는 열처리 공정을 포함하며,
    상기 산을 확산시키는 단계의 확산 길이 및 프로파일은, 상기 산 발생기의 조성, 상기 충전 재료의 조성, 열처리 온도, 열처리 시간 및/또는 상기 산의 분자량에 따라 좌우되는, 방법.
  18. 제10항에 있어서,
    상기 제1 용제는 유기 용제인, 방법.
  19. 제10항에 있어서,
    상기 제1 용제를 사용하여, 상기 산 탈보호 재료를 포함하는 상기 충전 재료의 상기 일부분 위에 놓이는 상기 산 보호 재료의 일부분을 제거한 후에, 상기 충전 재료를 상기 합입하는 단계는, 제2 용제를 사용하여, 상기 산 탈보호 재료를 포함하는 상기 충전 재료의 상기 일부분을 제거하는 단계를 더 포함하는, 방법.
  20. 제19항에 있어서,
    상기 제2 용제는 수용성 용제인, 방법.
KR1020237018991A 2020-12-02 2021-09-20 패터닝된 기판 상에 형성된 개구부 내의 충전 재료를 함입하기 위한 방법 KR20230113756A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063120480P 2020-12-02 2020-12-02
US63/120,480 2020-12-02
PCT/US2021/051019 WO2022119615A1 (en) 2020-12-02 2021-09-20 Method for recessing a fill material within openings formed on a patterned substrate

Publications (1)

Publication Number Publication Date
KR20230113756A true KR20230113756A (ko) 2023-08-01

Family

ID=81752825

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237018991A KR20230113756A (ko) 2020-12-02 2021-09-20 패터닝된 기판 상에 형성된 개구부 내의 충전 재료를 함입하기 위한 방법

Country Status (5)

Country Link
US (1) US11848236B2 (ko)
JP (1) JP2023552763A (ko)
KR (1) KR20230113756A (ko)
TW (1) TW202240693A (ko)
WO (1) WO2022119615A1 (ko)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9515166B2 (en) * 2014-04-10 2016-12-06 Applied Materials, Inc. Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
TWI775839B (zh) 2017-04-20 2022-09-01 美商微材料有限責任公司 具有選擇性阻隔層的結構
US10586765B2 (en) * 2017-06-22 2020-03-10 Tokyo Electron Limited Buried power rails
KR102620595B1 (ko) 2018-01-22 2024-01-03 삼성전자주식회사 소자분리막을 갖는 반도체 소자 및 그 제조 방법
US10192867B1 (en) 2018-02-05 2019-01-29 Globalfoundries Inc. Complementary FETs with wrap around contacts and method of forming same
US10593695B1 (en) 2018-10-17 2020-03-17 Micron Technology, Inc. Integrated assemblies having charge-trapping material arranged in vertically-spaced segments, and methods of forming integrated assemblies
US11139401B2 (en) * 2019-06-07 2021-10-05 Intel Corporation Vertical thin film transistor structures with localized gate dielectric

Also Published As

Publication number Publication date
WO2022119615A1 (en) 2022-06-09
US20220172991A1 (en) 2022-06-02
JP2023552763A (ja) 2023-12-19
TW202240693A (zh) 2022-10-16
US11848236B2 (en) 2023-12-19

Similar Documents

Publication Publication Date Title
US11854787B2 (en) Advanced lithography and self-assembled devices
TWI496242B (zh) 具階梯組成頂蓋層的可圖案化低介電常數內連線結構及其製造方法
US7235478B2 (en) Polymer spacer formation
US8900988B2 (en) Method for forming self-aligned airgap interconnect structures
US9396958B2 (en) Self-aligned patterning using directed self-assembly of block copolymers
US6955961B1 (en) Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
US9530663B1 (en) Method for forming a pattern
US9070639B2 (en) Shrinkage of critical dimensions in a semiconductor device by selective growth of a mask material
US9659824B2 (en) Graphoepitaxy directed self-assembly process for semiconductor fin formation
US9691615B2 (en) Chemoepitaxy-based directed self assembly process with tone inversion for unidirectional wiring
JP2016201542A (ja) オープンフィーチャ内に誘電体分離構造を作成するサブトラクティブ法
CN111261586B (zh) 一种中孔半导体纳米结构的制作方法
US6774032B1 (en) Method of making a semiconductor device by forming a masking layer with a tapered etch profile
US9640397B2 (en) Method of fabricating a semiconductor integrated circuit using a directed self-assembly block copolymer
US9859125B2 (en) Block patterning method enabling merged space in SRAM with heterogeneous mandrel
US20090102023A1 (en) Method for Manufacturing a Structure, Semiconductor Device and Structure on a Substrate
US9922972B1 (en) Embedded silicon carbide block patterning
US9741567B2 (en) Method of forming multiple patterning spacer structures
KR20230113756A (ko) 패터닝된 기판 상에 형성된 개구부 내의 충전 재료를 함입하기 위한 방법
US9899220B2 (en) Method for patterning a substrate involving directed self-assembly
TW525265B (en) Method for forming shallow trench isolation
JP2021513213A (ja) 縦型電界効果トランジスタ(vfet)デバイスを形成する方法およびvfetデバイス
JP2019519105A (ja) グラフォエピタキシーによるブロック共重合体の誘導自己組織化のための方法
KR20200119218A (ko) 다색 선택도를 이용한 인접 라인들의 이방성 에칭 방법
US9076645B1 (en) Method of fabricating an interlayer structure of increased elasticity modulus