JP2023552763A - パターニングされた基板に形成された開口内の充填材を凹ませる方法 - Google Patents

パターニングされた基板に形成された開口内の充填材を凹ませる方法 Download PDF

Info

Publication number
JP2023552763A
JP2023552763A JP2023533772A JP2023533772A JP2023552763A JP 2023552763 A JP2023552763 A JP 2023552763A JP 2023533772 A JP2023533772 A JP 2023533772A JP 2023533772 A JP2023533772 A JP 2023533772A JP 2023552763 A JP2023552763 A JP 2023552763A
Authority
JP
Japan
Prior art keywords
filler
acid
material layer
opening
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023533772A
Other languages
English (en)
Inventor
デヴィリアーズ,アントン
マーフィー,マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2023552763A publication Critical patent/JP2023552763A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Thin Film Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

パターニングされた基板内に形成された開口内の充填材を凹ませる処理フロー及び方法を提供する。開口は、目標材料層と、当該目標材料層と重なるが異なる1個以上の追加的な材料層とを含む多層スタック内に形成される。多層スタック内に開口が形成された後で、溶解度シフト剤を含むグラフト材が開口内で、当該グラフト材が目標材料層を覆う追加的な材料層に付着することなく目標材料層に付着するように選択的に堆積される。次に、開口内で充填材が堆積され、溶解度シフト剤を活性化させて、充填材のうちグラフト材に隣接してグラフト材を囲む部分の溶解度を変化させる。次いで、湿式現像処理を用いて充填材の可溶/不溶部分を除去して開口内の充填材を凹ませる。

Description

優先権の主張
本出願は、2020年12月2日出願の米国仮特許出願第63/120,480号の優先権を主張するものであり、その開示内容の全文を本明細書に明示的に引用している。
本開示は基板の処理に関する。特に、基板に形成された開口内の膜を凹ませる新たな処理フロー及び方法を提供する。一実施形態において、本明細書に開示する処理フロー及び方法は半導体基板の処理に用いられてよい。
基板処理におけるジオメトリが縮小し続けているため、基板に構造を形成する技術的課題が増している。これらの課題に対処すべく、新たな処理フロー及びパターニング技術が進化し続けている。いくつかの処理フローにおいて、パターニングされた基板に開口(例:トレンチ、接点、ホール等)を形成し、開口を充填材で充填し、充填材の複数の部分を除去して開口内の充填材を凹ませることにより、パターニングされた基板に様々な構造を形成することができる。パターニングされた基板又はトポグラフィ内の凹部の充填を制御することは、ジオメトリが縮小してアスペクト比が増大し続けているため、益々困難になっている。いくつかの先進的な処理において、アスペクト比が狭い及び/又は高い開口内での凹部の充填を制御するのにナノメートルレベルの精度を要する場合がある。残念ながら、凹部の充填の制御に用いられる現行処理(時限エッチング処理等)にはスループット、変動性及びコストの問題がある。
そのため、パターニングされた基板に形成された開口内の充填材を凹ませるための改善された処理及び方法を提供することが望ましいであろう。
パターニングされた基板内に形成された複数の開口内の充填材を凹ませる改良された処理フロー及び方法を本明細書で提供する。より具体的には、本開示は、グラフト材の選択的堆積及び充填材の選択的脱保護化を用いて、パターニングされた基板内に形成された開口内の充填材を凹ませる改良された処理フロー及び方法を提供する。
本明細書に開示する処理フロー及び方法を用いて、半導体基板等のパターニングされた基板に形成された広範な開口(例:トレンチ、接点、ホール等)又は特徴(例:多層スペーサ)内の充填材を凹ませることができる。本開示において、開口は、少なくとも1個の目標材料層と、当該目標材料層を覆う1個以上の追加的な材料層であって目標材料層とは異なる追加的な材料層とを含む多層スタック内に形成されている。いくつかの実施形態において、多層スタックは、1個の目標材料層と、当該目標材料層を覆う1個以上の追加的な材料層とを含んでよい。他の複数の実施形態において、多層スタックは、多層スタック全体に散在し、且つ目標材料層とは異なる追加的な材料層により互いに分離された複数の目標材料層を含んでよい。
多層スタック内に開口が形成された後で、グラフト材が目標材料層を覆う追加的な材料層に付着することなく目標材料層に付着するように開口内でグラフト材が選択的に堆積される。開口内で選択的に堆積されたグラフト材は、活性化されたならば隣接材料の溶解度を変化させ得る溶解度シフト剤を含む(又はこれにより官能化される)。溶解度シフト剤の例として、光酸発生剤(PAG)又は熱酸発生剤(TAG)等の酸発生剤が挙げられるがこれらに限定されない。開口内でグラフト材が選択的に堆積された後で、開口内で充填材が堆積され、溶解度シフト剤が活性化されて、充填材のうちグラフト材に隣接して囲む部分の溶解度を変化させる。溶解度シフト剤が活性化されて充填材の当該部分の溶解度が変化したならば、湿式現像処理を用いて充填材の可溶及び/又は不溶部分を開口から除去することにより開口内の充填材を凹ませることができる。
一実施形態において、パターニングされた基板内に形成された開口内の充填材を凹ませる方法を提供する。本方法は、当該開口が目標材料層と当該目標材料層を覆う1個以上の追加的な材料層とを含む多層スタック内に形成されていて、1個以上の追加的な材料層が目標材料層とは異なる状態で、当該開口を含むパターニングされた基板を受容することを含んでよい。本方法は更に、開口内でグラフト材を当該グラフト材が目標材料層を覆う1個以上の追加的な材料層に付着することなく目標材料層に付着するように、且つ前記グラフト材が溶解度シフト剤を含む状態で、選択的に堆積させることを含む。本方法は更に、開口内で充填材を堆積させて、溶解度シフト剤を充填材のうちグラフト材を囲む部分の内部へ拡散させることを含み、溶解度シフト剤の拡散により、充填材の当該部分が所定の溶媒に対して不溶性になる。更に、本方法は、開口内で充填材のうち所定の溶媒に不溶な部分を残しながら、所定の溶媒を用いて充填材の可溶部分を除去することにより開口内の充填材を凹ませることを含む。
複数の実施形態の変形例において、上述の方法は、所定の溶媒が、溶解度シフト剤及び目標材料層の物理的特徴により画定される開口内のある深さまで充填材の可溶部分を除去するように適合させることができる。他の変形例において、グラフト材は、目標材料層により画定される深さで開口の対向する側壁に選択的に堆積され、溶解度シフト剤は、対向する側壁に堆積するグラフト材から充填材の当該部分まで半径方向に広がる領域内で溶解度変化反応を生起させて、目標材料層の深さで不溶性材料の完全な層を形成する。更に他の変形例において、グラフト材が目標材料層の対向する側壁表面に自己組織化単分子膜(SAM)を形成することにより開口内で選択的に堆積され、充填材は酸被保護樹脂である。他の変形例において、所定の溶媒は有機溶媒である。いくつかの変形例において、所定の溶媒を用いて充填材の可溶部分を除去した後で充填材を凹ませることは更に、水性溶媒を用いて充填材のうち所定の溶媒に不溶な部分を開口から除去することを含む。
上述の実施形態のいくつかの変形例において、SAMは光酸発生剤(PAG)又は熱酸発生剤(TAG)により官能化される。いくつかのケースにおいて、SAMは光酸発生剤(PAG)により官能化され、充填材のうちグラフト材を囲む部分の内部へ溶解度シフト剤を前記拡散させることが、PAGを照射して酸を発生させること、及び充填材の当該部分の内部へ酸を拡散させるベーク処理を実行することを含み、酸の拡散は充填材の当該部分内の酸被保護樹脂を酸脱保護樹脂に変換する。他のケースにおいて、SAMは熱酸発生剤(TAG)により官能化され、充填材のうちグラフト材を囲む部分の内部へ溶解度シフト剤を前記拡散させることが、ベーク処理を実行して酸を発生させて充填材の当該部分の内部へ酸を拡散させることを含み、酸の拡散は充填材の当該部分内の酸被保護樹脂を酸脱保護樹脂に変換する。
別の実施形態において、パターニングされた基板内に形成された開口内の充填材を凹ませる方法を提供する。本方法は、当該開口が目標材料層と当該目標材料層を覆う1個以上の追加的な材料層とを含む多層スタック内に形成されていて、1個以上の追加的な材料層が目標材料層とは異なる状態で、当該開口を含むパターニングされた基板を受容することを含んでよい。本方法は更に、開口内で、酸発生剤を含むグラフト材を、当該グラフト材が目標材料層を覆う1個以上の追加的な材料層に付着することなく目標材料層に付着するように選択的に堆積させることを含む。本方法はまた、酸被保護材料である充填材を開口内で堆積させることを含む。本方法は更に、グラフト材内で酸発生剤を活性化して酸を発生させて充填材のうちグラフト材を囲む部分の内部へ拡散させ、酸の拡散により充填材の当該部分が酸脱保護材料に変換されることを含む。本方法はまた、第1の溶媒を用いて充填材のうち酸脱保護材料を含む部分を覆う酸被保護材料の部分を除去することにより開口内の充填材を凹ませることを含む。
当該別の方法の変形例において、グラフト材は、目標材料層により画定される深さで開口の対向する側壁に選択的に堆積され、対向する側壁に堆積するグラフト材から充填材の当該部分の内部へ酸が半径方向に拡散して目標材料層の深さで酸脱保護材料の完全な層を形成する。他の複数の変形例において、グラフト材は、目標材料層の対向する側壁表面に自己組織化単分子膜(SAM)を形成することにより開口内で選択的に堆積される。更に他の複数の変形例において、SAMの頭部基は、チオール、カルボン酸、ホスフィン酸又はシランを含む。更に他の複数の変形例において、酸の拡散はグラフト材を包含する領域に局在している。いくつかの変形例において、酸発生剤の前記活性化はベーク処理を含み、酸の拡散の拡散長及びプロファイルは、酸発生剤の組成、充填材の組成、ベーク温度、ベーク時間及び/又は酸の分子量に依存する。いくつかの変形例において、第1の溶媒は有機溶媒である。いくつかの変形例において、第1の溶媒を用いて充填材のうち酸脱保護材料を含む部分を覆う酸被保護材料の部分を除去した後で、充填材を前記凹ませることは更に、第2の溶媒を用いて充填材のうち酸脱保護材料を含む部分を除去することを含む。いくつかの変形例において、第2の溶媒は水性溶媒である。
上述の方法のいくつかの実施形態において、酸発生剤は光酸発生剤(PAG)であり、酸発生剤を前記活性化することは、PAGを照射して酸を発生させてベーク処理を実行して充填材の当該部分の内部へ酸を拡散させることを含む。他の複数の実施形態において、酸発生剤は熱酸発生剤(TAG)であり、酸発生剤を前記活性化することは、酸を発生させてベーク処理を実行して充填材の当該部分の内部へ酸を拡散させることを含む。
本発明及びその利点は、同一参照番号で同一の特徴を示す添付図面と合わせて以下の説明を参照することでより完全に理解されよう。しかし、添付図面は、開示する概念の例示的な実施形態を示しているに過ぎず、従って、開示する概念が他の同等に有効な複数の実施形態も包含し得るため、本発明の範囲を限定するものと考えるべきでないことに注意されたい。
本明細書に開示する技術に従いパターニングされた基板に形成された複数の開口内の充填材を凹ませるために用いられてよい改良された処理フローの一実施形態を示す。 本明細書に開示する技術に従いパターニングされた基板に形成された複数の開口内の充填材を凹ませるために用いられてよい改良された処理フローの一実施形態を示す。 本明細書に開示する技術に従いパターニングされた基板に形成された複数の開口内の充填材を凹ませるために用いられてよい改良された処理フローの一実施形態を示す。 本明細書に開示する技術に従いパターニングされた基板に形成された複数の開口内の充填材を凹ませるために用いられてよい改良された処理フローの一実施形態を示す。 本明細書に開示する技術に従いパターニングされた基板に形成された複数の開口内の充填材を凹ませるために用いられてよい改良された処理フローの一実施形態を示す。 本明細書に開示する技術を利用する相補型電界効果トランジスタ(CFET)にエピタキシャル特徴を形成する処理フローの一例を示す。 本明細書に開示する技術を利用する相補型電界効果トランジスタ(CFET)にエピタキシャル特徴を形成する処理フローの一例を示す。 本明細書に開示する技術を利用する相補型電界効果トランジスタ(CFET)にエピタキシャル特徴を形成する処理フローの一例を示す。 本明細書に開示する技術を利用する相補型電界効果トランジスタ(CFET)にエピタキシャル特徴を形成する処理フローの一例を示す。 本明細書に開示する技術を利用する相補型電界効果トランジスタ(CFET)にエピタキシャル特徴を形成する処理フローの一例を示す。 本明細書に開示する技術を利用する相補型電界効果トランジスタ(CFET)にエピタキシャル特徴を形成する処理フローの一例を示す。 本明細書に開示する技術を利用する相補型電界効果トランジスタ(CFET)にエピタキシャル特徴を形成する処理フローの一例を示す。 本明細書に開示する技術を利用する相補型電界効果トランジスタ(CFET)にエピタキシャル特徴を形成する処理フローの一例を示す。 本明細書に開示する技術を利用する相補型電界効果トランジスタ(CFET)にエピタキシャル特徴を形成する処理フローの一例を示す。 本明細書に開示する技術を利用する相補型電界効果トランジスタ(CFET)にエピタキシャル特徴を形成する処理フローの一例を示す。 本明細書に開示する技術を利用する相補型電界効果トランジスタ(CFET)にエピタキシャル特徴を形成する処理フローの一例を示す。 本明細書に開示する技術を利用する相補型電界効果トランジスタ(CFET)にエピタキシャル特徴を形成する処理フローの一例を示す。 本明細書に開示する技術を利用する相補型電界効果トランジスタ(CFET)にエピタキシャル特徴を形成する処理フローの一例を示す。 パターニングされた基板に設けられた複数の多層スペーサ間に形成された開口を充填すべくパターニングされた基板に充填材が堆積された後で自己整合ブロック(SAB)処理に従い形成されたパターニングされた基板の一例を示す。 本明細書に開示する技術に従い多層スペーサ内の充填材を凹ませた後の図3Aに示すパターニングされた基板を示す。 本明細書に記載の技術を利用する方法の一実施形態を示すフロー図である。 本明細書に記載の技術を利用する方法の別の実施形態を示すフロー図である。
パターニングされた基板内に形成された複数の開口内の充填材を凹ませる改良された処理フロー及び方法を本明細書で提供する。より具体的には、本開示は、グラフト材の選択的堆積及び充填材の選択的脱保護化を用いて、パターニングされた基板内に形成された開口内の充填材を凹ませる改良された処理フロー及び方法を提供する。
本明細書に開示する処理フロー及び方法を用いて、半導体基板等のパターニングされた基板に形成された広範な開口(例:トレンチ、接点、ホール等)又は特徴(例:多層スペーサ)内の充填材を凹ませることができる。本開示において、開口は、少なくとも1個の目標材料層と、当該目標材料層を覆う1個以上の追加的な材料層であって目標材料層とは異なる追加的な材料層とを含む多層スタック内に形成されている。いくつかの実施形態において、多層スタックは、1個の目標材料層と、当該目標材料層を覆う1個以上の追加的な材料層とを含んでよい。他の複数の実施形態において、多層スタックは、多層スタック全体に散在し、且つ目標材料層とは異なる追加的な材料層により互いに分離された複数の目標材料層を含んでよい。
多層スタック内に開口が形成された後で、グラフト材が目標材料層を覆う追加的な材料層に付着することなく目標材料層に付着するように開口内でグラフト材が選択的に堆積される。開口内で選択的に堆積されたグラフト材は、活性化されたならば隣接材料の溶解度を変化させ得る溶解度シフト剤を含む(又はこれにより官能化される)。溶解度シフト剤の例として、光酸発生剤(PAG)又は熱酸発生剤(TAG)等の酸発生剤が挙げられるがこれらに限定されない。開口内でグラフト材が選択的に堆積された後で、開口内で充填材が堆積され、溶解度シフト剤が活性化されて、充填材のうちグラフト材に隣接して囲む部分の溶解度を変化させる。溶解度シフト剤を活性化して充填材の当該部分の溶解度を変化させたならば、湿式現像処理を用いて充填材の可溶及び/又は不溶部分を開口から除去することにより開口内の充填材を凹ませる。
一例としての実施形態において、充填材は脱保護性材料であってよく、溶解度シフト剤を活性化して、充填材のうちグラフト材に隣接して囲む部分の内部へ溶解度シフト剤を拡散させることができる。充填材の当該部分への溶解度シフト剤の拡散により充填材の当該部分の溶解度が変化する。例えば、溶解度シフト剤の拡散により、充填材の当該部分が所定の溶媒(例えば有機溶媒又は現像剤等)に対して不溶性となる一方、充填材の残りの部分は所定の溶媒に対して可溶性を保たせることができる。溶解度シフト剤を活性化して充填材の当該部分の溶解度を変化させた後で、所定の溶媒を用いて充填材の可溶性部分を開口から除去することにより、充填材を開口内の凹ませることができる。いくつかの実施形態において、別の溶媒(例:水性溶媒又は現像剤)を用いることにより、充填材のうち所定の溶媒に不溶性である部分を開口から除去することにより、開口内の充填材を更に凹ませることができる。
別の例示的な実施形態において、充填材は酸被保護材料であってよく、溶解度シフト剤は、活性化されて酸を発生させて充填材のうちグラフト材に隣接して囲む部分の内部へ拡散させることができる酸発生剤であってよい。酸の拡散は、充填材の部分を酸脱保護材料に変換することにより、充填材のうちグラフト材に隣接して囲む部分を選択的に脱保護化する。溶解度シフト剤を活性化して充填材の部分を脱保護した後で、第1の溶媒(例:有機溶媒又は現像剤)を用いて充填材のうち酸脱保護材料を含む部分を覆う酸被保護材料の部分を除去することにより開口内の充填材凹ませることができる。いくつかの実施形態において、第2の溶媒(例:水性溶媒又は現像剤)を用いて充填材のうち酸脱保護材料を含む部分を除去することにより開口内の充填材を更に凹ませることができる。
このように、パターニングされた基板内に形成された複数の開口内の充填材を凹ませる改良された処理フロー及び方法が本明細書に開示され、開口は、少なくとも1個の目標材料層及び目標材料層を覆う1個以上の追加的な材料層を含む多層スタック内に形成されていて、追加的な材料層は目標材料層とは異なる。従来の処理とは異なり、本明細書に開示する処理フロー及び方法は、(a)溶解度シフト剤を含む(又はこれにより官能化された)グラフト材を、目標材料層により画定される深さの開口の対向する側壁に選択的に堆積させ、(b)溶解度シフト剤を活性化して、充填材のうちグラフト材に隣接して囲む部分を選択的に脱保護し、(c)1個以上の溶媒(例:有機溶媒に続いて水性溶媒)を用いる湿式現像処理を用いて被保護及び脱保護充填材を開口から累進的に除去することにより、脱保護性充填材内に自己整合凹部を形成する。充填材、溶解度シフト剤及び/又は溶媒は、脱保護充填材が被保護充填材と比較して、有機溶媒及び水性溶媒への溶解コントラストが高くなるように一般的に選択されてよい。このように、各現像ステップにおいて、用いられる溶媒の組成に応じて、被保護又は脱保護充填材の1個の層を除去することができる。
本明細書に開示する技術を用いて実現される凹みの量は一般に、多層スタックの組成(例:多層スタック内に含まれる絶縁された目標材料層の数)、目標材料層の側壁表面に選択的に堆積されるグラフト材の厚さ、及び溶解度シフト剤の拡散長に依存する場合がある。本明細書に開示するように、グラフト材が選択的に堆積され、且つ充填材が選択的に脱保護化された後で、湿式現像処理で溶媒を交互に(例:有機溶媒と水性溶媒を交互に)を用いて、保護及び脱保護の充填材を開口から累進的に除去してもよい。交互の現像処理は、絶縁された目標材料層がスタック内に形成される前提で、特定の多層スタック内の所望の凹み深さを実現するのに必要な任意の回数繰り返されてよい。
図1A~1Eに、本明細書に記載の技術に従いパターニングされた基板に形成された複数の開口内の充填材を凹ませるべく利用可能な改良された処理フローの一実施形態を示す。図1Aに示すように、開口114が、パターニングされた基板100に設けられた多層スタック112内に形成される。多層スタック112は一般に、ハードマスク層106、有機層104、及びベース基板層102等の、但しこれらに限定されない1個以上の下地層の上に形成されていてよい。以下により詳細に説明するように、多層スタック112は、少なくとも1個の目標材料層と、当該目標材料層を覆う1個以上の追加的な材料層とを含む少なくとも2個の異なる材料層を含んでよい。開口114は、当該技術分野で公知の広範な処理を用いて多層スタック112内に形成されていてよい。いくつかの実施形態において、開口114は、多層スタック112内にエッチング又は他の方法で形成されたトレンチ、接点又はホールであってよい。
ベース基板層102は、パターニングされた特徴の使用が望ましい任意の基板であってよい。例えば、ベース基板層102は、1個以上の半導体処理層が上に形成された半導体基板であってよい。一実施形態において、ベース基板層102は、広範な構造及び層を生成する複数の半導体処理ステップを通過した基板であってよく、これらは全て基板処理技術分野で公知である。図1Aに示すハードマスク層106及び有機層104もまた、当該技術分野で公知のように、広範な材料のいずれから形成されていてもよい。例示的な下地層を記述及び図示しているが、本明細書に記述する技術は多層スタック112の下地をなす任意の特定の種類又は個数の層に限定されない。このように、より多くの、より少ない、又は他の下地層を用いてもよい。
図1Aに示す実施形態において、多層スタック112は、目標材料層108と、当該目標材料層108を覆う追加的な材料層110とを含む。目標材料層108及び追加的な材料層110は各々、異なる材料を用いて目標材料層108及び追加的な材料層110が形成されていれば、広範な材料のいずれから形成されていてもよい。図1Aに示す多層スタック112内に2個の層が含まれるが、多層スタック112はいかなる特定個数の層にも限定されず、本開示の他の複数の実施形態において追加的な層を含んでよい。このように、本明細書に記述する「多層スタック」は、任意の個数の目標材料層を含んでよく、これらは多層スタック全体に散在し、且つ目標材料層とは異なる追加的な材料層により互いに分離又は絶縁されている。目標追加層を追加的な材料層で絶縁することにより、本明細書に記述する技術は、垂直方向にスケーラブルな設計に適用でき、及び/又は任意の所望の凹み深さの実現に利用できる。
図1Bに示す実施形態において、グラフト材116が、目標材料層を覆う追加的な材料層110に付着することなく目標材料層108に付着するように開口114内で選択的に堆積される。より具体的には、グラフト材116は、目標材料層108により画定される深さ(D)で開口114の対向する側壁に選択的に堆積される。グラフト材116は一般に、広範な材料のいずれから形成されていてもよい。
いくつかの実施形態において、グラフト材116は、目標材料層108の対向する側壁表面に自己組織化単分子膜(SAM)を形成することにより、開口114内で選択的に堆積されてよい。当該技術分野で公知のように、SAMは、吸着により表面に自発的に形成される分子集合体又は鎖(ヘッド基、テール基及び機能性末端基を含む)である。本開示において、SAMは、目標材料層108の対向する側壁表面への頭部基の吸着に続いて、テール基の分子鎖への組織化を介して形成される。頭部基は、厳密にはこれら限定されないが、例えばチオール、カルボン酸、ホスフィン酸、又はシランを含んでよい。
当該技術分野で公知のように、分子鎖の末端、又はSAMの官能性末端基は、SAMの1個以上の特性を変化させるべく機能化することができる。本開示において、官能性末端基は、溶解度シフト剤により官能化され、その後活性化されてグラフト材116に隣接する材料の溶解度を変化させる。本開示のいくつかの実施形態において、SAMの官能性末端基は、光酸発生剤(PAG)又は熱酸発生剤(TAG)等の酸発生剤で官能化されてよい。酸発生剤は、活性化されたならば酸を生成して隣接材料の内部へ拡散させて隣接材料の溶解度を変化させる。
図1Cに示す実施形態において、グラフト材116が目標材料層108の側壁表面に選択的に堆積された後で、開口114が材料(すなわち充填材118)で充填される。開口114を充填材118で充填するのに広範な技術及び処理が用いられてよい。いくつかの実施形態において、充填材118は化学気相成長(CVD)、プラズマ強化CVD(PECVD)、物理気相成長(PVD)、原子層堆積(ALD)、スピンコーティング、スプレーコーティング、及び/又は他の堆積処理を用いて開口114内で堆積されてよい。充填材118で開口114を充填するのに当該技術分野で公知の他の処理を用いてもよい。開口114の充填に加え、本開示のいくつかの実施形態は、パターニングされた基板100の最上部に充填材118を堆積又は別途形成することができる。図1A~1Cに示す様々な層に利用される特定の材料は、本明細書に記述する技術を利用する特定の処理フロー及びアプリケーションに依存して変化し得る。いくつかの実施形態において、目標材料層108は酸化物又は窒化物を含んでよい。いくつかの実施形態において、追加的な材料層110はシリコン、酸化物(例えば酸化シリコン、酸化チタン又は酸化ハフニウム)、或いは窒化物(例えば窒化シリコン又は窒化チタン)を含んでよい。いくつかの実施形態において、グラフト材116はチオール、カルボン酸、又はシランを含んでよい。いくつかの実施形態において、充填材118はエステル、アセタール、又はビニルエーテルを含んでよい。しかし、他の広範な材料もまた本明細書に記述する技術の実施に用いられてよい。
本開示において、充填材118は、広範な脱保護性材料により実現され得る。当該技術分野で公知のように、「脱保護性材料」は、化学反応が後で生じるのを防止すべく材料の分子構造に保護基が追加された材料である。いくつかのケースにおいて、脱保護性材料、すなわち「被保護材料」は、分子構造から保護基を除去することにより脱保護化することができる。保護基が除去されたならば、「保護材料」は後続の反応を阻害しない「脱保護材料」に変換される。
いくつかの実施形態において、充填材118は、酸により脱保護化可能な酸脱保護性樹脂(酸被保護樹脂とも称する)を用いて実現することができる。しかし、充填材118は厳密に酸脱保護性樹脂に限定されず、他の脱保護性材料で実現され得ることが分かる。
図1Dに示す実施形態において、グラフト材116内の溶解度シフト剤が活性化されて、充填材118のうちグラフト材116に隣接して囲む部分120の内部へ溶解度シフト剤が拡散される。溶解度シフト剤の活性化及び拡散により、充填材118の部分120内の溶解度変化反応が生じる。いくつかの実施形態において、溶解度シフト剤の活性化及び拡散により部分120が所定の溶媒に対して不溶性になり得る。
いくつかの実施形態において、グラフト材116内の溶解度シフト剤は光酸発生剤(PAG)又は熱酸発生剤(TAG)等の酸発生剤を含んでよい。グラフト材116がPAGを含む(又はこれにより官能化される)場合、溶解度シフト剤は、PAGを照射して酸を生成し、ベーク処理を実行して酸を充填材118の部分120の内部へ拡散させることにより活性化されてよい。グラフト材116がTAGを含む(又はこれにより官能化される)場合、溶解度シフト剤は、ベーク処理を実行して酸を生成し、充填材118の部分120の内部へ酸を拡散させることにより活性化されてよい。いずれの場合も、酸の拡散は、部分120内の酸被保護樹脂を酸脱保護樹脂に変換することにより、充填材118のうちグラフト材116に隣接して囲む部分120の溶解度を変化させる。換言すれば、酸の拡散は、充填材118のうちグラフト材116に隣接して囲む部分120を脱保護化する。
図1Dに示すように、溶解度シフト剤の活性化及び拡散により、目標材料層108の深さ(D)に局在された溶解度変化反応が生じる。より具体的には、溶解度シフト剤の活性化及び拡散により、目標材料層108の対向する側壁面に堆積したグラフト材116から充填材118の部分120の内部へ半径方向に延在する領域(図1Dに破線で示す)内の溶解度変化反応を生起させる。酸の拡散長(例:酸が充填材118の内部へ拡散する半径方向の距離)は好適には、不溶性材料(例:酸脱保護樹脂)の完全な層が目標材料層108の深さで形成されるように、開口114の半径と同一に、又はより大きくなるように選択される。
部分120内までの酸の拡散を介して形成される不溶性材料層の厚さは一般に、酸の拡散長及びグラフト材116の厚さに依存する。いくつかの実施形態において、酸の拡散長及びプロファイルは、グラフト材116内に含まれる酸発生剤の組成、生成された酸の分子量、充填材118の組成、ベーク温度及び/又はベーク時間に依存する場合がある。いくつかの実施形態において、これらの特性又はパラメータの1個以上を調整又は制御して酸の拡散の拡散長及び/又はプロファイルを変更することができる。
溶解度シフト剤を活性化して、充填材118のうちグラフト材116に隣接して囲む部分120を選択的に脱保護した後で、湿式現像処理を用いて開口114内の充填材118を凹ませる。いくつかの実施形態において、湿式現像処理により、図1Eに示すように、第1の溶媒(例:有機溶媒)を用いて、脱保護部分120を覆う充填材118の保護部分122を除去することにより、充填材118を開口114内に凹ませることができる。いくつかの実施形態において、充填材118の被保護部分122が除去されたならば湿式現像処理を終了してよい。
他の複数の実施形態において、湿式現像処理が、複数の溶媒を交互に用いて充填材118の被保護部分及び脱保護部分を開口114から累進的に除去することにより、開口114内の充填材118を凹ませることができる。例えば、湿式現像処理は、(a)第1の溶媒を用いて充填材118の脱保護部分120を覆う充填材118の被保護部分122を(図1Eに示すように)除去することと、b)その後第2の溶媒を用いて充填材118の脱保護部分120を除去する(図示せず)ことを交互に行ってよい。いくつかの実施形態において、第1の溶媒は有機溶媒であってよく、第2の溶媒は水性溶媒であってよい。しかし、充填材118の被保護部分及び脱保護部分の除去に用いられる溶媒が有機溶媒及び水性溶媒に厳密に限定されないことが分かる。当該技術分野で公知のように、充填材の被保護/脱保護部分の除去に他の溶媒を用いてもよい。いくつかの実施形態において、第1の溶媒は酢酸nブチルアセテート又は2ヘプタノンであってよく、第2の溶媒はテトラメチルアンモニウムヒドロキシドであってよい。
いくつかの実施形態において、充填材118、溶解度シフト剤(例:酸発生剤)、及び/又は溶媒は、充填材118の被保護部分122と比較して、選択された溶媒内の充填材118の脱保護部分120が高い溶解コントラストを有することを保証すべく選択されていてよい。このように、各現像ステップにおいて、用いられる溶媒の組成に応じて、被保護又は脱保護充填材の1個の層を除去することができる。
いくつかの実施形態において、図1A~1Eに示す技術を用いることにより実現される凹部の量は、多層スタック112の組成(例:多層スタック112内に設けられた絶縁目標材料層108の個数)、目標材料層108の側壁表面に選択的に堆積されたグラフト材116の厚さ、及び溶解度シフト剤の拡散長に依存する場合がある。図1B~1Dに示すと共に本明細書に記述するように、グラフト材116が選択的に堆積されて充填材118が選択的に脱保護化された後で、スタック内に絶縁された標的材料層108が形成される前提で、溶媒を交互に(例えば有機溶媒と水性溶媒を交互に)用いる湿式現像処理を特定の多層スタック内の所望の凹み深さを実現するのに必要な回数だけ繰り返されてよい。
本明細書に開示する技術が広範な基板の処理中に用いられてよいことが分かる。基板は、基板のパターニングが望ましい任意の基板であってよい。例えば、一実施形態において、基板は、1個以上の半導体処理層(それらの全てが相まって基板を構成していてよい)が上に形成された半導体基板であってよい。従って、一実施形態において、基板は、全てが基板処理分野で公知であって当該基板の一部であると考えてよい広範な構造及び層を形成する複数の半導体処理ステップを通過した半導体基板であってよい。例えば、一実施形態において、基板は、1個以上の半導体処理層が上に形成された半導体ウェハであってよい。本明細書に開示する概念は基板処理フローの任意の段階、例えば完成した基板の形成に用いられ得る多数のフォトリソグラフィステップのいずれで用いられてもよい。
本明細書に開示する技術が、基板上/基板内に広範な半導体構造及び/又は素子を製造する際に用いられてよいことが分かる。例えば、図2A~2Mに、相補型電界効果トランジスタ(CFET)の形成に用いる多層スタック内に形成された開口内の充填材を凹ませるために本明細書に記述する技術を用いる処理フローの一例を示す。自己整合ブロック(SAB)処理で凹部の形成に用いられる処理フローの別の例を図3A~3Bに示す。開示する技術が本明細書に図示及び記述する処理フローの例に限定されず、他の半導体構造及び/又は素子を形成する際に用いられてよいことが分かる。
図2A~2Mに、モノリシック相補型FET(CFET)設計における選択的エピタキシャルシリコン成長の処理フローの一例を示す。モノリシックCFET設計によりn型トランジスタとp型トランジスタを垂直に積層することができる。垂直に積層された相補型トランジスタの製造は、各トランジスタ種類に応じて選択的な多くの処理が、他の種類のトランジスタの製造に干渉することなく実行されることを必要とする。一つの主要な処理は、各々の対応するトランジスタ種類毎にドープされたエピタキシャルシリコンの成長、及びそのような成長中に阻害要因たるシリコンチャネルを必要に応じてブロックすることである。図2A~2Mに示すと共に以下により詳細に記述するように、本明細書に開示する技術を用いてモノリシックCFET設計におけるn/p分離を画定して各々の相補的なドープされたチャネルでの選択的エピタキシャルシリコン成長を可能にする自己整合且つスケーラブルな処理を提供することができる。
図2A~2Mに、本明細書に開示する技術を用いて、パターニングされた基板200の1個以上の下地層202に設けられた多層スタック212内に形成された開口内の充填材を凹ませる処理フローの一例を示す。図2A~2Mに示す実施形態において、多層スタック212は、モノリシックCFET設計においてn型及びp型チャネル領域を形成するためにドープされる上部シリコンチャネル204及び下部シリコンチャネル206を含む。図2Aに示す実施形態において、シリコンチャネルは、シリコンゲルマニウム(SiGe)層208により分離又は絶縁される。いくつかの実施形態において、最上部のSiGe層208に窒化物層210が形成されていてよい。
SiGe層208の各々に内側スペーサ207が形成された後で、グラフト材216(自己整合単層、すなわちSAM等)が、多層スタック212内に形成された開口214内で選択的に堆積される。より具体的には、図2Bに示すように、グラフト材216は、上部シリコンチャネル204及び下部シリコンチャネル206の露出した側壁表面に選択的に堆積される。上述のように、グラフト材216は、活性化されてグラフト材216に隣接する層の溶解度を変化させ得る溶解度シフト剤(酸発生剤等)を含むか、又はこれを用いて官能化することができる。
グラフト材216が選択的に堆積された後で、開口214が図2Cに示すように脱保護性材料218により充填される。いくつかの実施形態において、脱保護性材料218は、酸により脱保護化できる酸脱保護性樹脂(又は酸被保護樹脂)であってよい。
開口214が脱保護性材料218で充填された後で、グラフト材216内で溶解度シフト剤(例:酸発生剤)を活性化してグラフト材216に局在する酸を生成する。パターニングされた基板200は次いで図2Dに示すように焼成されて脱保護性材料218の内部へ酸を外向きに拡散させる。溶解度シフト剤の活性化及び拡散により、上部シリコンチャネル204及び下部シリコンチャネル206の側壁表面から半径方向の距離内で溶解度変化反応が生じるため、上部及び下部シリコンチャネルの近傍に脱保護材料220の完全な層(例:脱保護樹脂層)が形成される。脱保護樹脂層の厚さは、シリコンチャネルの厚さ及び酸の拡散長により画定される。n型チャネルとp型チャネルとの間の分離は、n型チャネル領域とp型チャネル領域との間に脱保護性材料218(酸被保護樹脂)の層が残るように設計されている。
図2Eにおいて、パターニングされた基板200を有機溶媒で現像されて、パターニングされた基板200の最上部から脱保護性材料218(酸被保護樹脂)を除去する。この現像ステップにより、上部シリコンチャネル204に隣接して形成された脱保護材料220の最上層が露出し、開口214内で脱保護性材料218を脱保護材料220の最上層により画定される深さまで凹ませる。
図2Fにおいて、パターニングされた基板200が水性媒体で現像されて開口214から脱保護材料220の最上層(脱保護樹脂層)を除去する。この現像ステップにより、上部シリコンチャネル204及び、上部シリコンチャネル204と下部シリコンチャネル206の間に配置された脱保護性材料218(酸被保護樹脂)を露出させる。
図2Gにおいて、第2の有機現像を実行して、上側シリコンチャネル204と下側シリコンチャネル206の間に配置された脱保護性材料218(酸被保護樹脂)の層を除去する。この現像ステップにより、下部シリコンチャネル206に隣接して形成された脱保護材料220の次の層を露出させ、開口214内で脱保護性材料218を脱保護材料220の次の層により画定される所望の凹み深さまで凹ませる。図2B~2Gに示す処理ステップを用いることにより、本明細書に開示する技術を用いて、後続処理から下部シリコンチャネル206を保護しながら、開口214内で脱保護性材料218を所望の凹み深さまで凹ませて上部シリコンチャネル204を露出させることができる。
図2Hにおいて、ライナー222が、パターニングされた基板200の最上部、開口214の側壁、及び残りの脱保護材料220の最上部を覆って共形的に堆積されている。図2Iにおいて、異方性エッチングが実行されて、パターニングされた基板200の上部からライナー222を除去し、残りの脱保護材料220を除去して、ライナー222により覆われた開口214の側壁面を所望の凹み深さで残す。パターニングされた基板200がシリコン洗浄ステップを受けた後で、図2Jに示すように下部シリコンチャネル206にエピタキシャル特徴224が選択的に形成される。下部シリコンチャネル206にエピタキシャル特徴が形成された後で、図2Kでライナー222が除去され、図2Lで下部シリコンチャネルに形成されたエピタキシャル特徴224の上にカプセル化層226が選択的に成長する。カプセル化層226が成長した後で、追加的なエピタキシャル処理が実行されて、下地層からの干渉、又は下地層の汚染無しに(図2Mに示すように)上部シリコンチャネル204上でのエピタキシャル特徴224の選択的成長を可能にする。
自己整合ブロック(SAB)は、最先端の集積回路用にサブ解像度カットを高解像度特徴にパターニングすべく設計された高度多色処理フローである。当該処理フローの主要なステップでは、パターニングされた基板に設けられたスペーサ間に形成された開口内の充填材(例:スピンオンガラス等)を部分的に凹ませることが往々にして求められる。この凹陥ステップは典型的にナノメートルレベルの精度を要求する。スペーサ間に形成された凹部が浅過ぎるか又は深過ぎる場合、処理全体が失敗する恐れがある。この問題を回避すべく、本明細書で開示する複数の技術は、目標材料層と、当該目標材料層を覆う少なくとも1個の追加層とを有する多層スタックにスペーサパターンを変換することにより実装可能であり、目標材料層の厚さは所望の凹み深さに調整される。
図3A~3Bに、自己整合ブロック(SAB)処理において凹部の形成に用いられてよい処理フローの一例を示す。より具体的には、図3Aに、パターニングされた基板300に設けられた複数の多層スペーサ310の間に形成された開口を充填すべくパターニングされた基板300に充填材318が堆積された後でSAB処理に従い形成されたパターニングされた基板300の一例を示している。図3Bに、本明細書に記述する技術に従い多層スペーサ310内で充填材318を凹ませた後の、図3Aに示すパターニングされた基板300を示す。
図3Aに示す多層スペーサ310は一般に1個以上の下地層に形成されていてよい。いくつかの実施形態において、例えば、多層スペーサ310は一般に、ハードマスク層308、レベル間誘電体層306、低k誘電体層304及びベース基板層302等の、但しこれらに限定されない1個以上の下地層に形成されていてよい。ベース基板層302は、パターニングされた特徴の使用が望ましい任意の基板であってよい。図3Aに示すハードマスク層308、レベル間誘電体層306、低k誘電体層304は、当該技術分野で公知の広範な材料のいずれから形成されていてもよい。例示的な下地層について記述及び図示しているが、本明細書に記述する技術は多層スペーサ310の下にある任意の特定の種類又は個数の層に限定されない。このように、より多くの、より少ない、又は他の下地層が用いられてよい。
本明細書に開示する技術を利用すべく、図3A~3Bに示す多層スペーサ310は、少なくとも1個の目標材料層312及び目標材料層を覆う1個以上の追加的な材料層314を含む少なくとも2個の異なる材料層を含むように形成されていてよい。いくつかの実施形態において、多層スペーサ310は、例えば自己整合二重パターニング(SADP)処理、自己整合四重パターニング(SAQP)処理、自己整合八重パターニング(SAOP)処理等の自己整合多重パターニング(SAMP)処理を用いて形成されていてよい。SAMP処理は当該技術分野で公知である。
SAMP処理の一例において、多層スペーサ310は、ハードマスク層308に目標材料層312を形成し、目標材料層312に複数のマンドレル(図示せず)を形成し、マンドレル及び目標材料層312の露出表面に1個以上の追加的な材料層314を形成することによりパターニングされた基板300に形成することができる。追加的な材料層314が形成されたならば、エッチング処理を用いて、心棒の上面及び目標材料層312の露出面から追加的な材料層314の一部を除去し、心棒の側壁に追加的な材料層スペーサを残すことができる。心棒をパターニングされた基板300から除去すべく心棒引抜ステップを実行した後で、別のエッチング処理を用いて目標材料層312をエッチングすることにより、図3A~3Bに示す多層スペーサ310を形成することができる。
多層スペーサ310が形成された後で、図3Bに示すように、スピンオンカーボン(SOC)層316がパターニングされた基板300に形成されてよい。次に、充填材318が、パターニングされた基板300の最上部、及びSOC層316内に形成された開口320内に堆積(又は別途形成)されてよい。一例としての実施形態において、充填材318はスピンオンガラス(SOG)であってよい。
開口320が充填材318で充填された後で、図1B~1Eに示すと共に上で説明した技術を用いて多層スペーサ310内で充填材318を凹ませることができる。本明細書に開示する技術を用いることにより、(図3Bに示すように)多層スペーサ310内に含まれる目標材料層312により画定される所望の凹み深さまで高い精度で充填材318を多層スペーサ310内で凹ませることができる。
図4~5に、本明細書に記載された技術を用いる例示的な方法を示す。図4~5に示す複数の実施形態は例示的に過ぎず、追加的な方法が本明細書に記述する技法を用いてもよいことが認識されよう。更に、上述のステップが排他的であると意図していないため、追加的な処理ステップが図4~5に示す方法に追加されてよい。更に、ステップの順序は、異なる順序が生じる可能性があり、及び/又は様々なステップを組み合わせて、又は同時に実行してもよいため、図示する順序に限定されない。
図4に、パターニングされた基板内に形成された複数の開口内の充填材を凹ませる方法400の一実施形態を示す。図4に示す方法400は一般に、開口を含むパターニングされた基板を(ステップ410で)受容することから開始されてよい。方法400において、パターニングされた基板内に形成された開口は、目標材料層及び当該目標材料層を覆う1個以上の追加的な材料層を含む多層スタック内に形成され、追加的な材料層は目標材料層とは異なる。図1~3に、多層スタック内に形成され得る様々な開口の例を挙げる。しかし、図4に示す方法400は、本明細書に図示及び記述する例だけに厳密に限定されないことが認識されよう。
ステップ420において、方法400は、グラフト材が目標材料層を覆う1個以上の追加的な材料層に付着することなく目標材料層に付着するように、開口内でグラフト材を選択的に堆積させる。図4に示す実施形態において、グラフト材は溶解度シフト剤を含む。
グラフト材を(ステップ420で)選択的に堆積させた後で、方法400は、(ステップ440で)充填材のうちグラフト材を囲む部分の内部へ溶解度シフト剤を拡散させる前に、(ステップ430で)開口内で充填材を堆積させる。図4に示す実施形態において、溶解度シフト剤の拡散により充填材の当該部分が所定の溶媒に対して不溶性になる。ステップ450において、方法400は、開口内の所定の溶媒に対して不溶性である充填材の部分を残す一方、所定の溶媒を用いて充填材の可溶性部分を除去することにより開口内の充填材を凹ませる。
図5に、パターニングされた基板内に形成された複数の開口内の充填材を凹ませる方法500の別の実施形態を示す。図4に示す方法400と同様に、図5に示す方法500は一般に、開口を含むパターニングされた基板を(ステップ510で)受容することから開始されてよい。上述のように、開口は目標材料層及び当該目標材料層を覆う1個以上の追加的な材料層を含む多層スタック内に形成されてよく、追加的な材料層は目標材料層とは異なる。
ステップ520において、方法500は、グラフト材が目標材料層を覆う1個以上の追加的な材料層に付着することなく目標材料層に付着するように、開口内でグラフト材を選択的に堆積させる。図5に示す実施形態において、グラフト材は酸発生剤を含む。
(ステップ520で)グラフト材を選択的に堆積させた後で、方法500は(ステップ530で)充填材を開口内で堆積させ、充填材は酸被保護材料である。ステップ540において、方法500はグラフト材内で酸発生器を動作させ、充填材のうちグラフト材を囲む部分の内部へ酸を発生させて拡散させる。酸の拡散により、充填材の当該部分が酸脱保護材料に変換される。ステップ550において、方法500は第1の溶媒を用いて、充填材のうち酸脱保護性材料を含む部分を覆う酸被保護材料の部分を除去することにより開口内の充填材を凹ませる。
様々な堆積処理を用いて本明細書に図示及び記述する材料層の1個以上を形成できることに注意されたい。例えば、化学気相成長(CVD)、プラズマ強化CVD(PECVD)、物理気相成長(PVD)、原子層堆積(ALD)、及び/又は他の堆積処理を用いて1個以上の堆積を実行することができる。様々なエッチング処理を用いて本明細書に図示及び記述する材料層の1個以上をエッチングできることに更に注意されたい。例えば、プラズマエッチング処理、放電エッチング処理、及び/又は他の所望のエッチング処理を用いて1個以上のエッチング処理を実行することができる。
本明細書に記述する様々な堆積及び/又はエッチング処理を制御すべく様々な動作パラメータを調整することもできる。動作パラメータの例として、チャンバ温度、チャンバ圧力、電力(ソース/バイアス電力等)、気体の流量、気体の種類又は気体の化学組成、及び/又は処理ステップの他の動作変数が挙げられるがこれらに限定されない。本明細書に記述する技術を依然として利用しながら変形例を実施することもできる。
本明細書全体を通して「1個の実施形態」又は「一実施形態」の表現は、当該実施形態に関して記述される特定の特徴、構造、材料、又は特性が本発明の少なくとも1個の実施形態に含まれることを意味するが、これらが全ての実施形態に存在することを示唆する訳ではないことに注意されたい。従って、本明細書を通じて様々な箇所で「1個の実施形態において」又は「一実施形態において」という語句の出現が必ずしも本発明の同一実施形態を指す訳ではない。更に、特定の特徴、構造、材料、又は特性は任意の適切な仕方で1個以上の実施形態に組み合わされていてよい。各種の追加的な層及び/又は構造が他の複数の実施形態に含まれていても、及び/又は記述された特徴が省略されていてもよい。
本明細書で用いる用語「基板」は、材料が上に形成された基板又は構造を意味すると共に含む。基板は、単一の材料、異なる材料の複数の層、異なる材料又は異なる構造の領域等を内部に有する単一の層又は複数の層を含んでよいことが理解されよう。これらの材料は、半導体、絶縁体、導体、又はこれらの組み合わせを含んでよい。例えば、基板は、1個以上の層、構造又は領域が上に形成された半導体基板、支持構造上のベース半導体層、金属電極、又は半導体基板であってよい。基板は、半導電性材料の層を含む従来のシリコン基板又は他のバルク基板であってよい。本明細書で用いる用語「バルク基板」はシリコンウェハだけでなく、シリコンオンサファイア(「SOS」)基板及びシリコンオンガラス(「SOG」)基板等のシリコンオン絶縁体(「SOI」)基板、ベース半導体基礎上のシリコンのエピタキシャル層、及びシリコンゲルマニウム、ゲルマニウム、ヒ化ガリウム、窒化ガリウム、リン化インジウム等の他の半導体又は光電子材料を意味すると共に含む。基板はドープされていても、又はドープされていなくてもよい。
基板を処理するためのシステム及び方法が、様々な実施形態で説明されている。基板は素子、特に半導体又は他の電子素子の任意の材料部分又は構造を含んでよく、例えば、薄膜等のベース基板構造上の、又はこれを覆う半導体基板又は層等のベース基板構造であってよい。従って、基板は、いかなる特定のベース構造、パターニングされた又はパターニングされていない下地層又は被覆層に限定されることを意図しておらず、そのような層又はベース構造及び複数の層及び/又はベース構造の任意の組み合わせを含むものとする。
関連技術の当業者には、様々な実施形態が、1個以上の特定の詳細事項が無くても、或いは他の代替的及び/又は追加的な方法、材料、又は要素を用いて実施できることが理解されよう。他の例において、本発明の様々な実施形態の態様が不明瞭にならないよう、公知の構造、材料、又は動作の詳細については図示又は記述していない。同様に、説明目的のため、本発明が完全に理解されるよう、特定の個数、材料、及び構成を開示している。にもかかわらず、本発明は、特定の詳細事項が無くても実施できる。更に、図に示す様々な実施形態は例示的表現であって必ずしも原寸通りに描かれている訳ではないことを理解されたい。
記述するシステム及び方法の更なる変形及び代替的実施形態は本明細書の記述から当業者には明らかになろう。従って、記述するシステム及び方法がこれらの例示的構成に限定されないことが認識されよう。本明細書に図示及び記述するシステム及び方法の形式は、例示的な実施形態と捉えるべきであることを理解されたい。実装方式に対して様々な変更がなされ得る。従って、本明細書では本発明について特定の実施形態を参照しながら記述しているが、本発明の範囲から逸脱することなく各種の変更及び変形を実行することができる。従って、本明細書及び図面は限定的の意味ではなく例示的であり、そのような変更が本発明の範囲に含まれることを意図している。更に、特定の実施形態に関して本明細書に記述するいかなる利点、効果、又は問題の解決策も、いずれか又は全ての請求項の必須の、必要とされる、又は本質的な特徴又は要素として解釈することを意図していない。

Claims (20)

  1. パターニングされた基板内に形成された開口内の充填材を凹ませる方法であって、
    前記開口を含む前記パターニングされた基板を受容することであって、前記開口が目標材料層と前記目標材料層を覆う1個以上の追加的な材料層とを含む多層スタック内に形成されており、前記1個以上の追加的な材料層が前記目標材料層とは異なる、受容することと、
    グラフト材が前記目標材料層を覆う前記1個以上の追加的な材料層に付着することなく前記目標材料層に付着するように、前記開口内で前記グラフト材を選択的に堆積させることであって、前記グラフト材が溶解度シフト剤を含む、選択的に堆積させることと、
    前記開口内で前記充填材を堆積させることと、
    前記溶解度シフト剤を前記充填材のうち前記グラフト材を囲む部分の内部へ拡散させることであって、前記溶解度シフト剤の拡散により、前記充填材の前記部分が所定の溶媒に対して不溶性になる、拡散させることと、
    前記開口内で前記充填材のうち前記所定の溶媒に不溶な前記部分を残しながら、前記充填材の可溶部分を除去するために、前記所定の溶媒を用いて前記開口内の前記充填材を凹ませることと、を含む、方法。
  2. 前記所定の溶媒が、前記溶解度シフト剤及び前記目標材料層の物理的特徴により画定される前記開口内のある深さまで前記充填材の前記可溶部分を除去する、請求項1に記載の方法。
  3. 前記グラフト材が、前記目標材料層により画定される深さで前記開口の対向する側壁に選択的に堆積され、前記溶解度シフト剤が、前記対向する側壁に堆積する前記グラフト材から前記充填材の前記部分まで半径方向に広がる領域内で溶解度変化反応を生起させて、前記目標材料層の前記深さで不溶性材料の完全な層を形成する、請求項1に記載の方法。
  4. 前記グラフト材が前記目標材料層の対向する側壁表面に自己組織化単分子膜(SAM)を形成することにより前記開口内で選択的に堆積され、前記充填材が酸被保護樹脂である、請求項1に記載の方法。
  5. 前記SAMが光酸発生剤(PAG)又は熱酸発生剤(TAG)により官能化される、請求項4に記載の方法。
  6. 前記SAMが光酸発生剤(PAG)により官能化され、前記溶解度シフト剤を前記充填材のうち前記グラフト材を囲む前記部分の内部へ前記拡散させることが、
    前記PAGを照射して酸を発生させることと、
    ベーク処理を実行して、前記充填材の前記部分の内部へ前記酸を拡散させることであって、
    前記酸を拡散させることが前記充填材の前記部分内の前記酸被保護樹脂を酸脱保護樹脂に変換する、拡散させることと、を含む、請求項4に記載の方法。
  7. 前記SAMが熱酸発生剤(TAG)により官能化され、前記溶解度シフト剤を前記充填材のうち前記グラフト材を囲む前記部分の内部へ前記拡散させることが、
    ベーク処理を実行して、酸を発生させて、前記充填材の前記部分の内部へ前記酸を拡散させることであって、
    前記酸を拡散させることが前記充填材の前記部分内の前記酸被保護樹脂を酸脱保護樹脂に変換する、拡散させることを含む、請求項4に記載の方法。
  8. 前記所定の溶媒が有機溶媒である、請求項1に記載の方法。
  9. 前記所定の溶媒を用いて前記充填材の可溶部分を除去した後、前記充填材を前記凹ませることが更に、
    水性溶媒を用いて前記充填材のうち前記所定の溶媒に不溶な部分を前記開口から除去することを含む、請求項1に記載の方法。
  10. パターニングされた基板内に形成された開口内の充填材を凹ませる方法であって、
    前記開口を含む前記パターニングされた基板を受容することであって、前記開口が目標材料層と前記目標材料層を覆う1個以上の追加的な材料層とを含む多層スタック内に形成されており、前記1個以上の追加的な材料層が前記目標材料層とは異なる、受容することと、
    グラフト材が前記目標材料層を覆う1個以上の追加的な材料層に付着することなく前記目標材料層に付着するように、前記開口内で前記グラフト材を選択的に堆積させることであって、前記グラフト材が酸発生剤を含む、選択的に堆積させることと、
    前記充填材を前記開口内で堆積させることであって、前記充填材が酸被保護材料である、堆積させることと、
    酸を発生させて前記充填材のうち前記グラフト材を囲む部分の内部へ拡散させるために、前記グラフト材の内部で前記酸発生剤を活性化することであって、前記酸の拡散により前記充填材の前記部分が酸脱保護材料に変換される、活性化することと、
    前記充填材のうち前記酸脱保護材料を含む前記部分を覆う前記酸被保護材料の部分を除去するために、第1の溶媒を用いて前記開口内の前記充填材を凹ませることと、を含む、方法。
  11. 前記グラフト材が、前記目標材料層により画定される深さで前記開口の対向する側壁に選択的に堆積され、前記対向する側壁に堆積する前記グラフト材から前記充填材の前記部分の内部へ前記酸が半径方向に拡散して前記目標材料層の深さで酸脱保護材料の完全な層を形成する、請求項10に記載の方法。
  12. 前記グラフト材が、前記目標材料層の対向する側壁表面に自己組織化単分子膜(SAM)を形成することにより前記開口内で選択的に堆積される、請求項10に記載の方法。
  13. 前記SAMの頭部基がチオール、カルボン酸、ホスフィン酸又はシランを含む、請求項12に記載の方法。
  14. 前記酸発生剤が光酸発生剤(PAG)であり、前記酸発生剤を前記活性化することが、
    前記PAGを照射して前記酸を発生させることと、
    ベーク処理を実行して前記充填材の前記部分の内部へ前記酸を拡散させることを含む、請求項10に記載の方法。
  15. 前記酸発生剤が熱酸発生剤(TAG)であり、前記酸発生剤を前記活性化することが、前記酸を発生させてベーク処理を実行して前記充填材の前記部分の内部へ前記酸を拡散させることを含む、請求項10に記載の方法。
  16. 前記酸を拡散させることが前記グラフト材を包含する領域に局在している、請求項10に記載の方法。
  17. 前記酸発生剤の前記活性化がベーク処理を含み、前記酸の拡散の拡散長及びプロファイルが、前記酸発生剤の組成、前記充填材の組成、ベーク温度、ベーク時間及び/又は前記酸の分子量に依存する、請求項10に記載の方法。
  18. 前記第1の溶媒が有機溶媒である、請求項10に記載の方法。
  19. 前記第1の溶媒を用いて前記充填材のうち前記酸脱保護材料を含む部分を覆う前記酸被保護材料の部分を除去した後、前記充填材を前記凹ませることが更に、第2の溶媒を用いて前記充填材のうち前記酸脱保護材料を含む部分を除去することを含む、請求項10に記載の方法。
  20. 前記第2の溶媒が水性溶媒である、請求項19に記載の方法。
JP2023533772A 2020-12-02 2021-09-20 パターニングされた基板に形成された開口内の充填材を凹ませる方法 Pending JP2023552763A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063120480P 2020-12-02 2020-12-02
US63/120,480 2020-12-02
PCT/US2021/051019 WO2022119615A1 (en) 2020-12-02 2021-09-20 Method for recessing a fill material within openings formed on a patterned substrate

Publications (1)

Publication Number Publication Date
JP2023552763A true JP2023552763A (ja) 2023-12-19

Family

ID=81752825

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023533772A Pending JP2023552763A (ja) 2020-12-02 2021-09-20 パターニングされた基板に形成された開口内の充填材を凹ませる方法

Country Status (5)

Country Link
US (1) US11848236B2 (ja)
JP (1) JP2023552763A (ja)
KR (1) KR20230113756A (ja)
TW (1) TW202240693A (ja)
WO (1) WO2022119615A1 (ja)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9515166B2 (en) * 2014-04-10 2016-12-06 Applied Materials, Inc. Selective atomic layer deposition process utilizing patterned self assembled monolayers for 3D structure semiconductor applications
TWI775839B (zh) * 2017-04-20 2022-09-01 美商微材料有限責任公司 具有選擇性阻隔層的結構
US10586765B2 (en) * 2017-06-22 2020-03-10 Tokyo Electron Limited Buried power rails
KR102620595B1 (ko) * 2018-01-22 2024-01-03 삼성전자주식회사 소자분리막을 갖는 반도체 소자 및 그 제조 방법
US10192867B1 (en) 2018-02-05 2019-01-29 Globalfoundries Inc. Complementary FETs with wrap around contacts and method of forming same
US10593695B1 (en) * 2018-10-17 2020-03-17 Micron Technology, Inc. Integrated assemblies having charge-trapping material arranged in vertically-spaced segments, and methods of forming integrated assemblies
US11139401B2 (en) * 2019-06-07 2021-10-05 Intel Corporation Vertical thin film transistor structures with localized gate dielectric

Also Published As

Publication number Publication date
US11848236B2 (en) 2023-12-19
TW202240693A (zh) 2022-10-16
WO2022119615A1 (en) 2022-06-09
US20220172991A1 (en) 2022-06-02
KR20230113756A (ko) 2023-08-01

Similar Documents

Publication Publication Date Title
US11735524B2 (en) Electrical device having conductive lines with air gaps therebetween and interconnects without exclusion zones
US7235478B2 (en) Polymer spacer formation
US9490202B2 (en) Self-aligned airgap interconnect structures
US10103032B2 (en) Methods of forming etch masks for sub-resolution substrate patterning
US6955961B1 (en) Method for defining a minimum pitch in an integrated circuit beyond photolithographic resolution
TWI645506B (zh) 形成具有氣隙之半導體元件的方法
US9530663B1 (en) Method for forming a pattern
US10978301B2 (en) Morphology of resist mask prior to etching
US9070639B2 (en) Shrinkage of critical dimensions in a semiconductor device by selective growth of a mask material
TWI731143B (zh) 基於蝕刻之基板平坦化方法
US7786017B1 (en) Utilizing inverse reactive ion etching lag in double patterning contact formation
US20200050103A1 (en) Critical dimension uniformity
TW202114117A (zh) 半導體結構
US8293639B2 (en) Method for controlling ADI-AEI CD difference ratio of openings having different sizes
Yasmeen et al. Atomic layer deposition beyond thin film deposition technology
US6350706B1 (en) Process for using photo-definable layers in the manufacture of semiconductor devices and resulting structures of same
US20040135254A1 (en) Semiconductor device and method for manufacturing the same
US9922972B1 (en) Embedded silicon carbide block patterning
JP2023552763A (ja) パターニングされた基板に形成された開口内の充填材を凹ませる方法
TWI793908B (zh) 具有埋入字元線的半導體結構的其製備方法
TW525265B (en) Method for forming shallow trench isolation
KR20200119218A (ko) 다색 선택도를 이용한 인접 라인들의 이방성 에칭 방법
CN111128862A (zh) 集成电路的制造方法
US20220375759A1 (en) Cyclic Plasma Etching Of Carbon-Containing Materials

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240703