KR20230051202A - 영구 접합 및 패터닝 재료 - Google Patents

영구 접합 및 패터닝 재료 Download PDF

Info

Publication number
KR20230051202A
KR20230051202A KR1020237006998A KR20237006998A KR20230051202A KR 20230051202 A KR20230051202 A KR 20230051202A KR 1020237006998 A KR1020237006998 A KR 1020237006998A KR 20237006998 A KR20237006998 A KR 20237006998A KR 20230051202 A KR20230051202 A KR 20230051202A
Authority
KR
South Korea
Prior art keywords
substrate
bonding layer
bismaleimide
divinyl ether
bonding
Prior art date
Application number
KR1020237006998A
Other languages
English (en)
Inventor
시아오 리우
바론 후앙
레이하네 세주브사리
치아-신 리
Original Assignee
브레우어 사이언스, 인코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 브레우어 사이언스, 인코포레이션 filed Critical 브레우어 사이언스, 인코포레이션
Publication of KR20230051202A publication Critical patent/KR20230051202A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L79/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen with or without oxygen or carbon only, not provided for in groups C08L61/00 - C08L77/00
    • C08L79/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C08L79/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08L79/085Unsaturated polyimide precursors
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00055Grooves
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00111Tips, pillars, i.e. raised structures
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00261Processes for packaging MEMS devices
    • B81C1/00269Bonding of solid lids or wafers to the substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C3/00Assembling of devices or systems from individually processed components
    • B81C3/001Bonding of two components
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09JADHESIVES; NON-MECHANICAL ASPECTS OF ADHESIVE PROCESSES IN GENERAL; ADHESIVE PROCESSES NOT PROVIDED FOR ELSEWHERE; USE OF MATERIALS AS ADHESIVES
    • C09J179/00Adhesives based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing nitrogen, with or without oxygen, or carbon only, not provided for in groups C09J161/00 - C09J177/00
    • C09J179/04Polycondensates having nitrogen-containing heterocyclic rings in the main chain; Polyhydrazides; Polyamide acids or similar polyimide precursors
    • C09J179/08Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C09J179/085Unsaturated polyimide precursors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/085Photosensitive compositions characterised by adhesion-promoting non-macromolecular additives
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/34Imagewise removal by selective transfer, e.g. peeling away
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/10Polyimides; Polyester-imides; Polyamide-imides; Polyamide acids or similar polyimide precursors
    • C08G73/12Unsaturated polyimide precursors
    • C08G73/126Unsaturated polyimide precursors the unsaturated precursors being wholly aromatic
    • C08G73/127Unsaturated polyimide precursors the unsaturated precursors being wholly aromatic containing oxygen in the form of ether bonds in the main chain

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Analytical Chemistry (AREA)
  • Adhesives Or Adhesive Processes (AREA)

Abstract

마이크로전자 기판 상에 코팅될 수 있거나 다른 구조적 또는 광학적 응용 분야에 사용될 수 있는 영구 재료를 제조하는 방법이 개시되어 있다. 상기 영구 재료는 적어도 300℃에서 열적으로 안정하고, 광 또는 열 공정을 사용하여 경화하며 (금속 패시베이션(passivation) 동안을 포함하여) 양호한 내화학성을 나타내고, 최종 장치에서 수명이 적어도 5년, 바람직하게는 적어도 10년이다. 유리하게는, 이러한 재료는 실온에서도 접합될 수 있다. 상기 재료는 접합(bonding) 후 움직임이나 압출(squeeze-out)이 없으며 다양한 기판 유형에 부착된다. 이 재료를 이용하는 칩-투-칩(chip-to-chip), 칩-투-웨이퍼(chip-to-wafer) 및/또는 웨이퍼-투-웨이퍼(wafer-to-wafer) 접합 방법도 기술되어 있다.

Description

영구 접합 및 패터닝 재료
관련 출원
본 출원은 영구 접합 및 패터닝 재료(PERMANENT BONDING AND PATTERNING MATERIAL)라는 명칭으로 2020년 8월 14일에 출원된 미국 가특허출원 제63/065,727호를 우선권 주장하며, 이의 전문이 본원에 참조로 포함된다.
본 발명은 반도체 기판의 접합(bonding) 또는 코팅에 유용한 영구 재료에 관한 것이다.
영구 접합 접착 재료는 CMOS 이미지 센서, 3D IC 애플리케이션, MEMS, 및 웨이퍼(wafer)- 및 패널-레벨 패키징(각각 WLP 및 PLP)을 포함한 여러 기술 영역에 사용할 수 있다.
이러한 응용 분야에 현재 사용 가능한 영구 접합 재료는 제한된 장기 안정성, 제한된 온도 안정성(유리전이온도 미만) 및 낮은 접합 강도를 포함하여 제한이 있다. 비스페놀 A 또는 크레졸에서 유래된 에폭시 수지에 대한 우려가 있다. 많은 고객이 안티몬 또는 기타 중금속을 함유한 재료를 사용할 수 없으며, 이는 안티몬 함유 광산 발생제(photoacid generator)를 배제한다. 또한, 비스페놀 A 사용은 건강 및 환경 문제로 인해 제한될 수 있다. 유사하게, 일부 응용 분야에서는 실리콘 함유 재료를 사용할 수 없다. 이러한 응용 분야에서 널리 사용되는 접합 접착제인 벤조사이클로부텐(benzocyclobutene, "BCB")은 동시에 높은 접합 후 정렬 정확도로 보이드(void) 없는 접착 접합을 달성하는 데 어려움이 있다.
이종 집적화(heterogeneous integration)를 위한 고밀도 금속 상호연결을 용이하게 하려면 하이브리드 접합 기술에 적합한 영구 접합 재료가 필요하다. SiOx 또는 SiNx와 같은 무기 유전체 재료는 전형적으로 원하는 접합 성능과 수율을 수득하기 위해 초평탄(ultraflat) 및/또는 초청정(ultraclean) 표면이 필요하다. 또한, 하이브리드 접합을 위한 대체 유전체 재료로서 BCB 또는 폴리이미드를 사용하는 일부 다른 방법은 초평탄 접합 표면을 수득하기 위해 화학적 기계적 연마(chemical mechanical polishing, "CMP") 또는 기타 평탄화 단계가 필요하다. 게다가 BCB 또는 폴리이미드를 접합하려면 더 높은 처리 온도(>250℃)가 필요하며, 이는 패키징 기술 개발에 바람직하지 않다.
본 발명은 대체적으로 마이크로전자 구조물(microelectronic structure)을 형성하는 방법에 관한 것이다. 본 방법은 후면 및 전면을 갖는 기판을 제공하는 단계를 포함하며, 상기 기판은 선택적으로 전면 상에 하나 이상의 중간층을 포함한다. 조성물이 전면에 또는, 존재하는 경우, 하나 이상의 중간층에 도포되어 접합층을 형성한다. 상기 조성물은 용매 시스템에 분산되거나 용해된 비스말레이미드(bismaleimide)를 포함한다. 접합층이 형성된 후, (A), (B) 또는 (C) 중 적어도 하나를 수행한다:
(A) 다이(die), 또는 적어도 하나의 다이를 포함하는 웨이퍼를 접합층에 부착하는 단계;
(B) 접합층 상에 포토레지스트 층(photoresist layer)을 형성하는 단계;
포토레지스트 층에 패턴을 형성하는 단계; 및
패턴을 접합층에 전사(transferring)하여 패턴화된 접합층을 형성하는 단계; 또는
(C) 접합층의 적어도 일부를 제거하기 위해 접합층을 레이저 에너지에 노출시키는 단계.
다른 실시양태에서, 마이크로전자 구조물이 제공된다. 본 구조물은 표면을 갖는 마이크로전자 기판 및 선택적으로 기판 표면 상의 하나 이상의 중간층을 포함한다. 하나 이상의 중간층이 존재하는 경우, 기판 표면에 최상부 중간층(uppermost intermediate layer)이 있다. 접합층은 존재하는 경우, 최상부 중간층 상에 있거나, 중간층이 없는 경우 기판 표면 상에 있다. 접합층은 비스말레이미드 또는 가교결합된 비스말레이미드 중 적어도 하나 및 다음 중 적어도 하나를 포함한다:
(A) 접합층 상의 또는 내의 다이;
(B) 접합층 상의 적어도 하나의 다이를 포함하는 웨이퍼;
(C) 접합층 상의 패턴화된 포토레지스트 층; 또는
(D) 접합층 상의 캐리어 웨이퍼(carrier wafer).
본 발명의 추가 실시양태에서, 가접합(temporary bonding) 방법이 제공된다. 본 방법은 후면 및 전면을 갖는 제1 기판을 포함하는 스택(stack)을 제공하는 단계를 포함한다. 제1 기판은 선택적으로 전면 상에 하나 이상의 중간층을 포함한다. 접합층은 전면 상에 또는 존재하는 경우, 하나 이상의 중간층 상에 있다. 접합층은 비스말레이미드 또는 가교결합된 비스말레이미드 중 하나 또는 둘 모두를 포함한다. 접합층은 제2 기판의 제1 표면 상에 있다. 접합층은 제1 기판과 제2 기판의 분리를 용이하게 하기 위해 레이저 또는 다른 에너지에 노출된다.
또 다른 실시양태에서, 본 개시내용은 상부 표면(upper surface)을 갖는 제1 기판을 제공하는 단계를 포함하는 접합 방법(bonding method)을 제공한다. 상부 표면 상에 또는 내에 형성된 패드(pad), 기둥(pillar), 마이크로범프(microbump) 또는 이들의 조합으로부터 선택된 제1 피쳐 세트가 있다. 제1 피쳐 세트의 적어도 일부를 덮고 접합층을 형성하기 위해 상부 표면에 감광성 조성물(photosensitive composition)이 도포된다. 상기 조성물은 용매 시스템에 분산되거나 용해된 화합물을 포함한다. 제1 피쳐 세트의 적어도 일부를 드러내기 위해 접합층의 일부를 제거하여 패턴화된 접합층을 생성한다. 패턴화된 접합층은 에너지에 노출되고, 제2 기판이 제1 기판에 접합된다. 제2 기판은 패턴화된 접합층 내에 수용되도록 구성된 패턴을 갖는 제2 피쳐 세트를 포함하여 제1 피쳐 세트의 적어도 일부가 제2 피쳐 세트의 적어도 일부와 접촉한다. 에너지 노출은 기판 접합 전에 수행될 수 있거나, 기판 접합은 에너지에 노출되기 전에 수행될 수 있다.
다른 실시양태에서, 마이크로전자 구조물이 제공되고, 상기 구조물은 상부 표면을 갖는 제1 기판을 포함한다. 상부 표면은 상부 표면 상에 형성된 패드, 기둥, 마이크로범프 또는 이들의 조합으로부터 선택된 제1 피쳐 세트를 포함한다. 제1 피쳐 세트 사이에는 갭(gap)이 있으며 접합층이 이러한 갭 내에 있다. 접합층은 비스말레이미드 또는 가교결합된 비스말레이미드 중 적어도 하나를 포함한다. 제2 기판은 제1 기판에 접합된다. 제2 기판에는 상부 표면이 있고 상부 표면은 제2 기판의 상부 표면 상에 또는 내에 형성된 패드, 기둥, 마이크로범프 또는 이들의 조합으로부터 선택된 제2 피쳐 세트를 포함한다. 제2 피쳐 세트의 적어도 일부는 제1 피쳐 세트의 적어도 일부와 접촉한다.
도 1은 본 발명의 한 실시양태에 따른 다이 부착 공정의 개략도(축척 아님)이다;
도 2는 에칭 마스크로서 패턴화된 포토레지스트를 사용하여 접합층이 건식 에칭에 의해 패턴화되는 본 발명의 다른 실시양태에 따른 공정의 개략도(축척 아님)이다;
도 3은 본 발명의 다른 실시양태에 따른 가접합 공정의 개략도의 단면도이다;
도 4는 본 발명의 추가 실시양태에 따른 칩-투-웨이퍼(chip-to-wafer) 접합 공정의 개략도의 단면도이다;
도 5는 본 발명의 또 다른 실시양태에 따른 웨이퍼-투-웨이퍼(wafer-to-wafer) 접합 공정의 개략도의 단면도이다;
도 6은 실시예 4에 기술된 바와 같은 연마된 전체 웨이퍼의 사진 이미지(가운데 사진)와 연마된 웨이퍼 가장자리의 여러 현미경 이미지(50×)를 나타내며, 가장자리 결함이 없음을 보여준다;
도 7은 실시예 6에 기술된 바와 같은 연마된 전체 웨이퍼의 사진 이미지(가운데 사진)와 웨이퍼 가장자리의 여러 현미경 이미지를 보여주며, 30μm까지 연마되었고 가장자리 결함이 없었다;
도 8은 (실시예 8 조성물을 사용하여) 실시예 10에 기술된 패턴화되고 접합된 웨이퍼 쌍의 현미경 이미지(200×)로, 이미지는 유리 웨이퍼를 통해 촬영된 것이다;
도 9는 (실시예 11 조성물을 사용하여) 실시예 12에 기술된 패턴화되고 접합된 웨이퍼 쌍의 현미경 이미지로, 이미지는 유리 웨이퍼를 통해 촬영된 것이다;
도 10은 (실시예 9 조성물을 사용하여) 실시예 13에 기술된 패턴화되고 접합된 웨이퍼 쌍의 현미경 이미지(200×)로, 이미지는 유리 웨이퍼를 통해 촬영된 것이다;
도 11은 실시예 15에서 형성된 패턴화된 웨이퍼의 주사 전자 현미경("SEM") 이미지(2,500×)이다;
도 12는 실시예 16에 기술된 바와 같이 수행된 다이 접합의 사진 이미지를 보여준다.
본 발명은 패턴화된 층을 형성하기 위해 및/또는 웨이퍼 가접합을 위해 다이 부착 공정 및 다른 영구 접합 공정을 위한 조성물 및 이러한 조성물을 사용하는 방법에 관한 것이다.
조성물
본 발명의 조성물은 용매 시스템에서 화합물과 임의의 선택적 성분을 혼합하여 형성한다. 생성된 조성물은 실온에서 안정하며 마이크로전자 기판에 쉽게 코팅될 수 있다.
1. 바람직한 화합물
바람직한 화합물은 중합체, 올리고머, 단량체 또는 이들의 혼합물일 수 있으며, 바람직하게는 말레이미드의 반복 단위 또는 모이어티(moiety)를 포함한다.
비스말레이미드가 특히 바람직하다. 한 실시양태에서, 비스말레이미드는 (Ⅰ) 및 (Ⅱ), (Ⅱ) 및 (Ⅲ), (Ⅰ) 및 (Ⅲ), 또는 (Ⅰ), (Ⅱ) 및 (Ⅲ)으로부터 선택된 모이어티를 포함한다:
Figure pct00001
한 실시양태에서, 비스말레이미드는 1 내지 약 15개의 상기 모이어티, 바람직하게는 1 내지 약 10개의 상기 모이어티를 포함한다.
다른 실시양태에서, 비스말레이미드는 다음을 포함한다:
Figure pct00002
여기서 각각의 R은 개별적으로 다음으로부터 선택되고:
Figure pct00003
각각의 R2는 개별적으로 다양한 연결 그룹(linking group)으로부터 선택되고;
각각의 n은 개별적으로 1 내지 약 15, 바람직하게는 1 내지 약 10이다.
바람직한 연결 그룹은 알킬(바람직하게는 C1 내지 약 C36, 더 바람직하게는 약 C6 내지 약 C18, 더욱 더 바람직하게는 약 C12 내지 약 C18), 아릴(바람직하게는 C6 내지 C18, 가장 바람직하게는 C6), 사이클릭(바람직하게는 약 C5 내지 C18, 더 바람직하게는 약 C5 내지 약 C12, 더욱 더 바람직하게는 C6) 및 이들의 조합을 포함하는 임의의 수의 탄화수소 모이어티를 포함한다. 특히 바람직한 실시양태에서, 연결 그룹은 상술한 바와도 같은 1개, 2개, 3개, 4개, 5개 또는 6개의 알킬 사슬을 갖는 상술한 바와 같은 사이클릭 및/또는 방향족 모이어티를 포함한다. 바람직하게는 1개 또는 2개의 알킬 사슬이 연결 그룹을 비스말레이미드의 나머지에 연결하는 역할을 한다.
바람직한 비스말레이미드는 Designer Molecules(San Diego, CA)에서 BMI-1400, BMI-1500, BMI-1700 BMI-3000 및 BMI-5000이라는 이름으로 판매한다. 이러한 구조는 다음과 같다:
Figure pct00004
Figure pct00005
연결 그룹 C36H70 또는 C36H72는 반드시 알킬 사슬일 필요는 없지만 상술한 바와 같이 상이한 유형의 탄화수소 모이어티의 혼합물일 수 있음에 주목한다. 예를 들어, 다음은 BMI-3000 및 BMI-5000에 대한 연결 그룹 R2를 완전히 도시한다:
Figure pct00006
바람직한 비스말레이미드는 중량 평균 분자량이 약 500달톤 내지 약 8,000달톤, 바람직하게는 약 1,000달톤 내지 약 5,000달톤, 더 바람직하게는 약 1,000달톤 내지 약 3,000달톤, 더욱 더 바람직하게는 약 1,000달톤 내지 약 2,000달톤이다.
선택된 화합물에 관계없이, 해당 화합물(들)은, 조성물의 총 중량을 100중량%로 볼 때 이를 기준으로, 바람직하게는 약 10 내지 약 90중량%, 더 바람직하게는 약 20 내지 약 70중량%, 더욱 더 바람직하게는 약 50 내지 약 60중량%의 수준으로 조성물에 존재한다.
2. 용매
적합한 용매 시스템은 단일 용매 또는 용매 혼합물을 포함한다. 예시적인 용매는 에틸 락테이트, 사이클로펜타논, 사이클로헥사논, 메틸 이소아밀 케톤, 이소아밀 아세테이트, 프로필렌 글리콜 메틸 에테르 아세테이트(PGMEA), 프로필렌 글리콜 메틸 에테르(PGME), 메시틸렌, 아니솔, d-리모넨 및 이들의 혼합물을 포함하지만 이에 제한되지 않는다. 용매 시스템은, 조성물의 총 중량을 100중량%로 볼 때 이를 기준으로, 약 20 내지 약 80중량%, 바람직하게는 약 30 내지 약 70중량%로 재료에 존재하며, 이러한 백분율의 균형이 조성물의 고형물에 의해 이루어진다. 조성물에 첨가되는 용매 또는 용매들의 양은 이용되는 증착 방법에 따라 상이할 수 있음을 이해할 것이다
3. 공단량체
감광성 및/또는 중합 효율을 개선하기 위해 공단량체(comonomer)를 재료에 첨가할 수 있다. 적합한 공단량체 시스템은 트리(에틸렌 글리콜) 디비닐 에테르, 1,4-부탄디올 디비닐 에테르, 1,4-사이클로헥산디메탄올 디비닐 에테르, 디(에틸렌 글리콜) 디비닐 에테르, 폴리(에틸렌 글리콜) 디비닐 에테르, 디비닐 아디페이트, 비닐 에테르 가교결합제(예컨대 Brewer Science, Inc.에서 LIVELink™라는 이름으로 판매되는 것), 1H-피롤-2,5-디온, 1,1'-C36-알킬렌비스- 및 이들의 혼합물을 포함하지만 이에 제한되지 않는다. 공단량체(들)는, 조성물의 총 중량을 100중량%로 볼 때 이를 기준으로, 약 1 내지 약 50중량%, 바람직하게는 약 2 내지 약 30중량%, 더 바람직하게는 약 5 내지 약 20중량%로 재료에 존재한다. 공단량체는 원하는 특성 및 최종 조성물의 용도에 따라 선택된다.
4. 첨가제
선택적으로 첨가제가 조성물에 포함될 수 있다. 잠재적 첨가제의 예는 가교결합제, 개시제, 계면활성제, 습윤제, 접착 촉진제, 염료, 착색제 및 안료(pigment), 및/또는 기타 중합체 및 수지를 포함하지만 이에 제한되지 않는다. 이러한 첨가제는 원하는 특성 및 최종 조성물의 용도에 따라 선택될 것이다.
레이저 절제(laser ablation)와 같은 응용 분야에 적합한 광학 특성을 얻기 위해 재료에 염료를 첨가할 수 있다. 사용되는 경우 적합한 염료는 비스(벤질리덴 말로노니트릴), 트리메틸올프로판 트리글리시딜 에테르 - 4-메톡시벤질리덴 피루브산 및 이들의 혼합물을 포함하지만 이에 제한되지 않는다. 염료가 포함되는 경우, 염료는, 조성물의 총 중량을 100중량%로 볼 때 이를 기준으로, 약 0.1 내지 약 30중량%, 바람직하게는 약 1 내지 약 20중량%, 더 바람직하게는 약 5 내지 약 10중량%로 재료에 존재한다. 염료는 조성물에 혼합되거나 화합물에 부착될 수 있다.
적합한 개시제는 9,10-페난트렌퀴논, 4,4'-비스(디에틸아미노)벤조페논, 2-하이드록시-2-메틸 프로피오페논(예컨대 Ciba의 DAROCUR® 1173), 디큐밀 퍼옥사이드, 벤조일 퍼옥사이드, 비스-아실포스핀 옥사이드(예컨대 IGM Resins의 Omnirad 819), 에틸(2,4,6-트리메틸벤조일)-페닐-포스피네이트(예컨대 IGM Resins의 Omnirad TPO-L), 옥심 에스테르 광개시제(예컨대 BASF의 Irgacure OXE 01 또는 Irgacure OXE 02) 및 이들의 혼합물을 포함하지만 이에 제한되지 않는다. 광개시제가 사용되는 경우, 조성물의 총 중량을 100중량%로 볼 때 이를 기준으로, 약 0.1 내지 약 10중량%, 바람직하게는 약 0.3 내지 약 7중량%, 더 바람직하게는 약 0.5 내지 약 5중량%의 수준으로 재료에 존재한다.
적합한 계면활성제는 MEGAFACE R-30N(DIC Corporation), F-556(DIC Corporation) 및 이들의 혼합물과 같은 비이온성 불화 계면활성제를 포함하지만 이에 제한되지 않는다. 사용되는 경우, 계면활성제는, 조성물의 총 중량을 100중량%로 볼 때 이를 기준으로, 약 0.01 내지 약 0.5중량%, 바람직하게는 약 0.01 내지 약 0.2중량%로 재료에 존재한다.
적합한 접착 촉진제는 메타크릴옥시프로필트리메톡시실란, 3-글리시딜옥시프로필트리메톡시실란, 피로멜리트산 디메타크릴레이트, 피로멜리트산 이무수물 글리세롤 디메타크릴레이트, 4-메타크릴옥시에틸 트리멜리트산 및 이들의 혼합물을 포함하지만 이에 제한되지 않는다. 사용되는 경우, 접착 촉진제는, 조성물의 총 중량을 100중량%로 볼 때 이를 기준으로, 약 0.05 내지 약 5중량%, 바람직하게는 약 0.1 내지 약 3중량%로 조성물에 존재한다.
한 실시양태에서, 조성물은 용매 시스템에 분산되거나 용해된 화합물로 이루어지거나 본질적으로 이루어진다. 추가 실시양태에서, 조성물은 화합물(및 바람직하게는 비스말레이미드); 개시제, 공단량체 및/또는 접착 촉진제 중 적어도 하나; 및 용매 시스템으로 이루어지거나 본질적으로 이루어진다.
실시양태에 관계없이, 생성된 조성물은 실온에서 안정하고 마이크로전자 기판 상에 쉽게 코팅될 수 있다. 본원에 사용된 "안정한"은 조성물이 적어도 약 180일, 바람직하게는 약 360일 내지 약 720일의 기간 동안 용액으로부터 고체의 침전 또는 분리가 약 0.1% 미만으로 저장될 수 있음을 의미한다.
사용 방법
유리하게는, 개시된 조성물은 특정 구조물 또는 장치에서 영구층 또는 구성요소로서를 포함하는 마이크로전자 구조물, 광학적 응용 분야 및 구조적 응용 분야에 사용하기에 적합하다.
본 조성물을 사용하는 방법은 기판에 조성물을 도포하여 기판 위에 조성물층을 형성하는 단계를 포함한다. 기판은 임의의 마이크로전자 기판일 수 있다. 기판이 장치 기판인 실시양태에서, 이용되는 기판은 바람직하게는 토포그래피(예를 들어, 콘택트 홀(contact hole), 비아 홀(via hole), 융기된 피쳐(raised feature) 및/또는 트렌치(trench))를 포함할 것이다. 이 토포그래피는 기판 표면에 직접 포함될 수 있거나 기판 표면에 형성된 다른 재료의 하나 이상의 층에 포함될 수 있다. 바람직한 기판은 프런트 엔드(front-end) 및 백엔드(back-end) 적용에서 통상 사용되는 것들을 포함한다. 기판이 캐리어 기판일 때, 사용되는 기판은 일반적으로 토포그래피를 포함하지 않을 것이다. 특히 바람직한 기판은 규소, 알루미늄, 텅스텐, 규화텅스텐, 비소화갈륨, 게르마늄, 탄탈륨, 아질산탄탈륨, 규소 게르마늄, 유리, 구리, 크롬, 아연, 산화규소, 질화규소(SiN) 및 이들의 조합으로부터 선택된다.
본 조성물은 스핀 코팅, 슬롯-다이 코팅, 잉크젯 인쇄 및 용매 기반 코팅 제형의 도포와 호환되는 다른 방법에 의해 기판 상에 코팅될 수 있다. 이러한 기술은, 예를 들어, 주 용매로 용액을 희석하고/하거나 중합체 침전을 일으키지 않는 공용매를 첨가함으로써 결함 없이 원하는 코팅 두께와 균일성을 수득하기 위해 용액의 중합체 고형분 수준을 조정해야 할 수 있다. 바람직한 도포 방법은 약 800rpm 내지 약 2,500rpm, 더 바람직하게는 약 1,000rpm 내지 약 1,500rpm의 속도로 약 20초 내지 약 60초, 바람직하게는 약 30초 내지 약 40초 동안 스핀 코팅하는 것이다.
본 조성물을 기판에 도포한 후 용매 베이킹하여 잔류 용매를 증발시킨다. 용매 베이킹 온도는 약 60℃ 내지 약 150℃, 바람직하게는 약 60℃ 내지 약 120℃이어야 한다. 이 가열 단계는 바람직하게는 약 1초 내지 약 6분, 더 바람직하게는 약 60초 내지 약 4분 동안 수행된다. 용매 베이킹은 하나 초과의 단계로 수행될 수 있는데, 즉 더 낮은 온도에서 먼저 베이킹된 후 더 높은 온도에서 2차 베이킹될 수 있음을 이해할 것이다.
일부 실시양태에서, 본 조성물은 용매 베이킹 및 임의의 중간 단계 후에 경화된다. 다른 실시양태에서, 경화 전에 접합이 수행된다. 두 경우 모두, 경화는 바람직하게는 개시제가 포함되었는지에 따라, 포함되었다면 열 개시제인지 광개시제인지에 따라 열 또는 광 공정에 의해 수행된다. 열 경화(즉, 열 개시제가 조성물에 포함됨)의 경우, 본 조성물은 이의 가교결합 온도 초과, 바람직하게는 약 180℃ 내지 약 250℃, 더 바람직하게는 약 200℃ 내지 약 250℃로 약 10분 내지 약 60분, 바람직하게는 약 10분 내지 약 30분 동안 가열되어야 한다. 광 경화(즉, 광개시제가 조성물에 포함됨)의 경우, 본 조성물은 UV 또는 가시광선과 같은 방사선에 노출되어 경화될 수 있다. 노출 파장은 화학에 따라 다르지만, 약 60초 내지 약 15분, 바람직하게는 약 60초 내지 약 5분 동안 바람직하게는 약 200nm 내지 약 500nm, 더 바람직하게는 약 300nm 내지 약 400nm이다. 노광 선량(exposure dose)은 화학에 따라 다르지만 바람직하게는 약 3mJ/㎠ 내지 약 50mJ/㎠, 더 바람직하게는 약 10mJ/㎠ 내지 약 30mJ/㎠이다.
코팅은 바람직하게는 두께(엘립소미터(ellipsometer)로 5개 위치에 걸쳐 취한 평균 측정치)가 약 1μm 내지 약 20μm, 더 바람직하게는 약 3μm 내지 약 10μm이다. 유리하게는, 약 5μm의 코팅 두께는 경화 응력이 비교적 낮고, 이는 기판 휘어짐(substrate bowing)을 방지하고, 따라서 코팅 후 공정에서 웨이퍼/기판을 처리 가능하게 한다.
또한, 재료는 UV 방사에 반응하여 가교결합되는 특성이 있기 때문에 열가소성 가공을 통해 재료를 형태 등으로 성형, 주조한 다음 UV 노출로 경화시켜 사용 시 기판에 부착될 수 있는 자립형(free-standing) 필름 또는 라미네이트를 형성할 수 있다. 대안적으로, 필름 내의 영역은, 예를 들어, 패턴화된 노출에 의해 선택적으로 경화되어 더 단단하거나 열적으로 더 안정적인 영역을 생성할 수 있다. 가교결합이 시간 경과에 따라 발생되도록 하는지, 또는 열 경화 또는 광경화를 통해 발생되는지에 관계없이, 상술한 화합물 사이에 브릿지가 형성되어 재료가 사실상 열가소성에서 열경화성으로 변한다.
유리하게는, 이러한 재료는 다양한 반도체 패키징 공정에 사용될 수 있다. 공정에 따라 경화 전 재료의 초기 코팅과 용매 베이킹 사이에 중간 단계가 수행될 수 있다. (달리 명시되지 않는 한) 위의 조건과 함께 이러한 재료를 사용하는 예시적인 공정 플로우가 아래에 기술되어 있다.
1. 다이 부착 공정
도 1을 참조하면, 전면(12) 및 후면(14)을 갖는 기판(10)이 제공된다. 기판(10)은 상술한 기판 중 임의의 것일 수 있다. 상술한 바와 같은 조성물의 층(16)이 전면(12)에 도포되고 상술한 바와 같이 용매 베이킹된다. 층(16)에는 상부 표면(18) 및 하부 표면(lower surface)(20)이 있고, 하부 표면(20)은 기판(10)의 전면(12)과 접촉한다. 다음으로, 다이(22)가 층(16)의 상부 표면(18)에 부착되고 조성물이 경화된다. 경화는 개시제의 사용 여부에 따라, 사용된 경우 개시제의 유형에 따라 시간 경과에 따라 발생하거나 열 경화 또는 광경화에 의해 영향을 받을 수 있다. 여하튼 다이(22)는 이제 영구 접합층(16)에 부착된다. 다음으로, 후면(14) 방향으로부터 기판(10)을 통해 비아(24)가 (예를 들어, 레이저 드릴링에 의해) 천공될 수 있다. 이어서 금속층(26)이 종래의 금속화 공정 후 비아(24) 내로 및 후면(14) 상에 증착되고, 이어서 추가 공정 단계(예를 들어, 패시베이션(passivation), 패터닝(patterning), 재분배층(redistribution layer, "RDL") 형성, 싱귤레이션(singulation), 전기도금(electroplating), 플라즈마 에칭(plasma etching), 세정(cleaning), 화학적 기상 증착(chemical vapor deposition), 물리적 기상 증착(physical vapor deposition) 및 전술한 것의 조합)가 특정 응용 분야 및 최종 사용자 목표에 따라 수행될 수 있다.
도 1은 영구 접합층(16)에 부착된 다이(22)를 보여주지만, 동일한 공정이 하나 이상의 다이를 포함하는 웨이퍼를 영구 접합층(16)에 부착하는 데에도 사용될 수 있음을 이해할 것이다.
2. 포토패터닝 공정
도 2를 참조하면, 전면(30) 및 후면(32)을 갖는 기판(28)이 제공된다. 기판(28)은 상술한 기판 중 임의의 것일 수 있다. 상술한 바와 같은 조성물의 층(34)이 전면(30)에 도포되고 상술한 바와 같이 용매 베이킹된다. 층(34)에는 상부 표면(36) 및 하부 표면(38)이 있고, 하부 표면(38)은 기판(28)의 전면(30)과 접촉한다. 용매 베이킹 후, 층(34)은 상술한 바와 같이 경화되거나 경화되도록 한다.
다음으로, 종래의 포토레지스트 조성물이 층(34)의 상부 표면(36)에 도포되어 하부 표면(42) 및 상부 표면(44)이 있는 감광층(40)이 형성되고, 하부 표면(42)은 층(34)(즉, 본원에 기술된 본 발명의 실시양태에 따른 조성물로부터 형성된 층)의 상부 표면(36)과 접촉한다. 포토레지스트 층(40)은 제조자의 지시에 따라 건조되거나 베이킹된다. 이어서 포토레지스트 층(40)은 원하는 패턴을 갖는 마스크(도시하지 않음)를 통해 UV 광에 노출된다. 당업자는 포토레지스트가 포지티브 작용(positive-acting)인지 네거티브 작용(negative-acting)인지를 고려하는 것을 포함하여 패턴을 형성하는 방법을 이해할 것이다. 또한, 노광 파장, 선량 등은 화학 및/또는 포토레지스트의 제조업체의 권장 사항에 따라 숙련된 기술자가 결정할 수 있다. 노광 및 임의의 노광 후 베이킹 후, 포토레지스트 층(40)은 패턴화된 포토레지스트 층(40')을 형성하기 위해 수성 현상액(developer)을 사용하여 현상된다. 패턴화된 포토레지스트 층(40')에는 현상 후에 남아 있는 부분(46)은 물론 현상 동안 제거되는 "보이드"(48)가 있다. 부분(46) 및 보이드(48)는 협력하여 패턴화된 포토레지스트 층(40')을 형성하며, 이것은 이제 본 발명의 층(34)을 (예를 들어, CF4 에칭액을 사용하여) 건식 에칭하기 위한 에칭 마스크로서 사용될 수 있고, 패턴화된 포토레지스트 층(40')으로부터 본 발명의 층(34)으로 패턴을 전사하며, 따라서 패턴화된 포토레지스트 층(34')에 대응하는, 남아 있는 부분(36') 및 "보이드"(48')가 있는 패턴화된 층(34')을 형성한다. 이제 패턴화된 영구 접합 재료를 사용하여 후속 처리 단계가 수행될 수 있다. 예를 들어, 하나 이상의 다이 또는 적어도 하나의 다이를 포함하는 웨이퍼(도시하지 않음)가 패턴화된 층(34')에 부착될 수 있다. 이러한 경우에, 남아 있는 부분(36') 또는 보이드(48')는 하나 이상의 다이 또는 다른 구조물을 고정하기 위한 위치에 대한 템플릿으로 사용될 수 있다. 이 단계에서 수행할 수 있는 다른 처리에는 다이 캡슐화, 기밀 밀봉(hermetic sealing) 및/또는 하이브리드 접합이 포함된다.
3. 접합 공정
도 3의 (A)(축척 아님)를 참조하면, 전구체 구조물(50)이 개략도의 단면도로 도시되어 있다. 구조물(50)은 제1 기판(52)을 포함한다. 기판(52)에는 전면 또는 장치 표면(54) 및 후면(56)이 있다. 바람직한 제1 기판(52)은 디바이스 웨이퍼(device wafer), 예컨대 장치 표면이 집적회로, MEMS, 마이크로센서, 전력 반도체(power semiconductor), 발광 다이오드, 광자회로(photonic circuit), 인터포저(interposer), 내장형 수동 소자(embedded passive device), 및 실리콘 및 기타 반도체 재료, 예컨대 실리콘-게르마늄, 비소화갈륨, 질화갈륨, 비소화알루미늄갈륨, 인화알루미늄인듐(aluminum indium phosphide) 및 인화인듐갈륨(indium gallium phosphide) 상에 또는 이로부터 제작된 기타 마이크로소자로 이루어진 군으로부터 선택된 소자 어레이(도시하지 않음)를 포함하는 것들을 포함한다. 이러한 장치의 표면은 통상 규소, 폴리실리콘(polysilicon), 이산화규소, (옥시)질화규소, 금속(예를 들어, 구리, 알루미늄, 금, 텅스텐, 탄탈륨), 낮은 k 유전체, 중합체 유전체, 및 다양한 금속 질화물 및 규화물(silicide) 중 하나 이상의 재료로 형성된 구조물(도시하지 않음)를 포함한다. 장치 표면(54)은 또한 솔더 범프(solder bump); 금속 포스트(metal post); 금속 기둥(metal pillar); 및 규소, 폴리실리콘, 이산화규소, (옥시)질화규소, 금속, 낮은 k 유전체, 중합체 유전체, 금속 질화물 및 금속 규화물로 이루어진 군으로부터 선택된 재료로 형성된 구조물로 이루어진 군으로부터 선택된 적어도 하나의 구조물을 포함한다.
본 발명에 따른 조성물은 도 3의 (a)에 도시된 바와 같이 장치 표면(54) 상에 접합층(58)을 형성하기 위해 (이전에 기술된 단계들 이후에) 제1 기판(52)에 도포된다. 접합층(58)에는 제1 기판(52)으로부터 떨어진 상부 표면(60)이 있다. 접합층(50)은 장치 표면(54) 상에 직접 형성될 수 있거나(즉, 접합층(58)과 기판(52) 사이에 어떠한 중간층도 없이), 하나 이상의 중간층(도시하지 않음; 예를 들어, 하드마스크층, 스핀-온 탄소층, 유전체층, 박리층 등)이 장치 표면(54) 상에 먼저 형성될 수 있고, 이어서 접합층(58)이 최상부 중간층 상에 직접 형성될 수 있다. 여하튼, 접합층(58)이 도포되고 전술한 단계에 따라 용매 베이킹된다.
제2 전구체 구조물(62) 역시 도 3의 (a)에 개략도의 단면도로 도시되어 있다. 제2 전구체 구조물(62)은 제2 기판(64)을 포함한다. 이 실시양태에서, 제2 기판(64)은 캐리어 웨이퍼이고 전면 또는 캐리어 표면(66) 및 후면(68)이 있다. 제2 기판(64)은 임의의 형상일 수 있지만, 전형적으로 제1 기판(52)과 유사한 형상 및 크기일 것이다. 바람직한 제2 기판(64)은 레이저 에너지가 캐리어 기판을 통과할 수 있게 하는 투명 웨이퍼 또는 임의의 기타 투명(레이저 에너지에 대해) 기판을 포함하며, 유리, Corning Gorilla 유리 및 사파이어를 포함하지만 이에 제한되지 않는다. 특히 바람직한 하나의 유리 캐리어 웨이퍼는 Corning EAGLE XG 유리 웨이퍼이다.
위에서 언급한 용매 베이킹 후, 2개의 기판(52 및 64)은 임의의 추가 중간층과 함께 2개의 기판 사이에 영구 접합 재료(즉, 본원에 기술된 조성물)를 사용하여 압력 하에서 대면 구성으로 함께 접합되어 접합된 스택(70)을 형성한다(도 3의 (B)). 바람직한 접합 압력은 약 100N 내지 약 5,000N, 더 바람직하게는 약 1,000N 내지 약 3,000N이다. 바람직한 접합 시간은 약 30초 내지 약 5분, 더 바람직하게는 약 30초 내지 약 2분이다. 바람직한 접합 온도는 약 20℃ 내지 약 120℃, 더 바람직하게는 약 30℃ 내지 약 70℃이다. 한 실시양태에서, 접합은 바람직하게는 실온에서 수행된다.
접합층(58)은 다양한 유형의 기판에 접착되며 접합 후에 움직임 또는 "압출(squeeze-out)"을 나타내지 않을 것이다. 제1 기판(52)은 이제 안전하게 취급될 수 있고 추가 처리를 거칠 수 있는데, 그렇지 않으면 제2 기판(64)에 접합되지 않고 제1 기판(52)이 손상될 수 있다. 예를 들어, 구조물은 기판(52 및 64)의 분리 발생 없이, 또한 후속 처리 단계 동안 발생하는 임의의 화학 물질의 침투 없이 백-그라인딩(back-grinding), 화학적-기계적 연마("CMP"), 에칭, 금속 증착(즉, 금속화), 유전체 증착, 패터닝(예를 들어, 포토리소그래피, 비아 에칭), 패시베이션, 어닐링 및 이들의 조합과 같은 후면 처리를 받을 수 있다. 한 실시양태에서, 접합된 스택(70)은 후속 처리 단계 동안 및 이후에 영구적으로 접합된 상태를 유지할 수 있다.
다른 실시양태에서, 일단 처리가 완료되면, 접합층(58)의 전부 또는 일부를 분해하거나 제거하기 위해 레이저를 사용함으로써 기판(52 및 64)이 분리될 수 있다. 이는 접합층(58)을 형성하기 위해 사용되는 조성물이 염료를 포함하는 실시양태에서 특히 유용하다. 적합한 레이저 파장은 약 200nm 내지 약 400nm, 바람직하게는 약 300nm 내지 약 360nm를 포함한다. 접합층(58)을 분리(debond)하기 위해, 전체 웨이퍼를 노출시키기 위해 스탠드-앤-리피트(stand-and-repeat) 방법 또는 라인 스캔(line scan) 방법으로 레이저가 기판(64)의 표면을 가로질러 스캐닝된다. 예시적인 레이저 분리 도구(debonding tool)는 SUSS MicroTec Lambda STEEL 2000 레이저 분리기(laser debonder) 및 Kingyoup 레이저 분리기를 포함한다. 기판(64)은 바람직하게는 필드 크기가 약 40×40μm 내지 약 12.5×4mm인 레이저 스폿에 의해 스캐닝된다. 기판(52, 64)을 분리하기에 적합한 플루엔스(fluence)는 약 100mJ/㎠ 내지 약 400mJ/㎠, 바람직하게는 약 150mJ/㎠ 내지 약 350mJ/㎠이다. 기판(52, 64)을 분리하기에 적합한 전력은 약 0.5W 내지 약 6W, 바람직하게는 약 1W 내지 약 2W이다. 레이저 노출 후, 기판(52 및 64)은 쉽게 분리될 것이다. 분리 후, 임의의 남아있는 접합층(58)은 플라즈마 에칭 또는 접합층(58)을 용해시킬 수 있는 용매로 제거될 수 있다.
대안적으로, 분리는 접합층(58)을 기계적으로 파괴, 절단 및/또는 용해함으로써 수행될 수 있다.
위의 실시양태에서, 접합층(58)은 디바이스 웨이퍼인 제1 기판(52) 상에 제시된다. 이 기판/층 방식이 반전될 수 있음을 이해할 것이다. 즉, 접합층(58)은 제2 기판(64)(캐리어 웨이퍼) 상에 형성될 수 있다. 동일한 조성 및 처리 조건이 상술한 바와 같이 이 실시양태에 적용될 것이다.
4. 정렬 및 접합 공정
도 4의 (A)(축척 아님)를 참조하면, 전구체 구조물(70)이 제공된다. 전구체 구조물(70)은 제1 기판(72)을 포함한다. 제1 기판(72)에는 전면(74) 및 후면(76)이 있다. 전면(74)은 복수의 피쳐(78)를 포함한다. 피쳐(78)는 동일하거나 상이할 수 있으며 범프 또는 다이 패드, 기둥, 마이크로범프 및 이들의 조합과 같은 금속 접촉부로부터 선택된다. 마이크로범프는 일반적으로 구형이며, 기둥은 일반적으로 원통형이다. 각각은 전형적으로 피치가 약 40μm 이하, 바람직하게는 약 30μm 이하이고, 크기는 서브마이크론(예를 들어, 약 1μm)에 이른다. 범프 또는 다이 패드는 와이어, 솔더 볼(solder ball), 기둥 또는 마이크로범프와 같은 전기적 연결부가 부착될 수 있는 평평한 전도성 영역이다. 범프 또는 다이 패드, 마이크로범프 및 기둥은 Cu, Sn, CuSn, SnAg, Al, Au, AlOx, Ti, Ta, 전도성 에폭시 및 이들의 조합으로부터 선택된 것을 포함하는 임의의 통상적인 재료로 형성될 수 있다. 일부 실시양태에서, 산화 또는 기타 손상을 방지하기 위해 원자층 증착에 의해 피쳐(78) 위에 매우 얇은 재료층이 증착된다.
피쳐(78)에는 각각의 상부 표면(80)이 있고 그 사이에 갭 또는 공간(82)이 존재한다. 피쳐(78) 사이의 갭(82)의 크기는 종래와 같이 사용자의 특정 요구 및 설계에 따라 선택될 것임을 알 것이다. 전술한 것과 같은 감광성 접합 조성물은 전술한 공정에 따라 전면(74) 및 상부 표면(80)에 도포되어 감광층(84)을 형성한다. 이어서, 감광층(84)은 원하는 패턴을 갖는 마스크(도시하지 않음)를 통해 방사선에 노출된다. 바람직하게는, 마스크는 피쳐(78) 사이에 있는 감광층(84) 부분에 광이 접촉하도록 설계되어, 방사선에 노출된 부분을 현상액 또는 용매(예를 들어, 사이클로펜타논)에 불용성으로 만든다. 이와 관련하여 "불용성"은 노출된 부분이 약 180초 동안 현상액과 접촉할 때 중량 손실이 약 1% 미만, 바람직하게는 약 0%일 것임을 의미한다.
노광 후 감광층(84)은 바람직하게는 약 50℃ 내지 약 80℃에서 약 3분 내지 약 10분 동안 소프트 베이킹되고, 이어서 약 100℃ 내지 약 150℃에서 약 5분 내지 약 20분 동안 2차 베이킹된다. 이어서, 감광층(84)은 방사선에 노출되지 않은 감광층(84) 부분(즉, 미경화된 상태로 유지되어 현상액에 용해되는 부분)을 용해 및 제거하기 위해 용매 현상 단계를 거친다. 도 4의 (B)에 도시된 바와 같이, 이것은 융기부(86) 및 융기부(86) 사이의 개방부(opening)(88)가 있는 패턴화된 층(84')의 형성을 초래하고, 개방부(88)는 피쳐(78)를 노출시킨다. 이어서, 감광층(84)을 형성하기 위해 사용된 감광성 접합 조성물에서 화합물의 완전한 중합을 보장하기 위해 바람직하게는 열 또는 UV 경화 단계가 수행된다.
도 4의 (C)를 참조하면, 제2 전구체 구조물(90)이 제공된다. 구조물(90)은 제2 기판(92)을 포함한다. 제2 기판(92)에는 전면(94) 및 후면(96)이 있다. 전면(94)은 복수의 피쳐(98)를 포함한다. 피쳐(98)는 동일하거나 상이할 수 있으며 범프 패드, 기둥, 마이크로범프 및 이들의 조합으로부터 선택된다. 피쳐(98)에 의해 형성된 패턴은 상술한 바와 같이 패턴화된 감광층(84')을 제조하기 위한 가이드로서 사용된다는 것이 이해될 것이다. 즉, 패턴화된 감광층(84')의 패턴은 피쳐(98)에 의해 형성된 네거티브 패턴이다. 또한, 패턴화된 감광층(84')의 두께는 피쳐(98)의 각각의 높이에 대응하도록 선택된다. 개방부(88)가 피쳐(98)를 수용하도록 구성되기 때문에, 스택(100)이 도시된 도 4의 (D)에 나타낸 바와 같이 전구체 구조물(70 및 90)의 정렬이 단순화된다. 스택(100)은 이제 약 200℃ 미만의 온도의 접합 챔버에서 또는 전술한 다른 접합 매개변수에 따라 원하는 대로 접합될 수 있다. 추가로, 피쳐(78, 98)와 패터닝된 감광층(84')의 융기부(86) 사이의 임의의 갭은 승온(예를 들어, 약 80℃ 내지 약 200℃, 바람직하게는 약 120℃)에서 진공 하에 약 1초 내지 약 60초 동안 밀봉될 수 있다.
위의 공정은 다양한 기판 배열을 가능하게 한다는 것을 이해할 것이다. 도 4는 "칩-투-웨이퍼" 접합 공정의 개략도를 보여준다. 즉, 도 4에서, 제1 전구체 구조물(70)의 제1 기판(72)은 웨이퍼인 반면 제2 전구체 구조물(90)은 칩(chip)이다. 도 5에서, 제1 기판(72)은 여전히 웨이퍼이지만, 제2 전구체 구조물(90)도 웨이퍼이다(즉, "웨이퍼-투-웨이퍼" 접합 공정). (단순화를 위해, 도 5는 도 4와 유사하게 번호가 매겨져 있으며, (102)는 노출 동안 사용되는 마스크를 나타낸다.) 추가로, 도 5는 감광성 접합 조성물의 컨포멀 적용(conformal application)을 나타내는 반면, 도 4는 감광성 접합 조성물의 평탄화 적용을 도시한다. 웨이퍼-투-웨이퍼 접합 공정의 경우, 웨이퍼는 승온(예를 들어, 약 100℃ 내지 약 250℃, 바람직하게는 약 150℃)에서 진공 하에 약 10분 내지 약 30분 동안 접합 및 밀봉된다.
마지막으로, 추가 실시양태에서, "칩-투-칩" 접합 공정(도시하지 않음)이 또한 수행될 수 있다. 이 실시양태에서, 제1 전구체 구조물(70) 및 제2 전구체 구조물(90)은 모두 칩이다.
기술된 각각의 "정렬 및 접합" 공정은 저온 접합(전형적으로 약 200℃ 미만), 저온 경화(약 200℃ 미만), 입자 또는 표면에 대한 높은 내성/기판의 두께 변화, CMP 또는 기타 평탄화 기술의 필요성 제거를 포함하여 유사한 이점을 입증한다는 것을 알 수 있다.
5. 레이저 패터닝 공정
추가 실시양태에서, 본원에 기술된 조성물은 레이저 패터닝 공정에서 이용될 수 있다. 이는 전술한 바와 같이 조성물이 염료를 포함하는 실시양태에서 특히 유용하다. 전술한 것을 포함하여 임의의 마이크로전자 기판이 본 발명에 사용될 수 있다. 본 조성물을 도포하는 방법은 전술한 일반적인 방법에 따른다. 형성된 층은 바람직하게는 해당 층을 레이저 에너지에 노출시키기 위해 엑시머 레이저를 사용하는 레이저 절제에 의해 패터닝된다. 레이저는 작은 레이저 빔이 절제될 영역에만 래스터(raster)되는 "직접 기록" 방식으로 사용될 수 있거나, 레이저는 금속 마스크를 통해 적용되어 레이저가 상기 마스크를 통과할 수 있는 영역만 제거할 수 있다. 레이저 에너지는 층의 재료에 의해 흡수되고 다양한 광화학적 및 열적 효과의 결과로 층의 일부가 제거되어 층에 패턴을 형성한다.
엑시머 레이저 파장은 바람직하게는 약 200nm 내지 450nm, 더 바람직하게는 약 250nm 내지 400nm, 더욱 더 바람직하게는 약 300nm 내지 400nm이다. 펄스 레이트(pulse rate)는 약 4,000Hz 미만, 바람직하게는 약 100Hz 내지 약 3,500Hz, 더 바람직하게는 약 1,000Hz 내지 약 3,000Hz, 더욱 더 바람직하게는 약 2,000Hz 내지 약 3,000Hz이다. 펄스 길이는 사용되는 펄스 레이저의 유형에 따라 약 1μs에서 약 100ps일 수 있다. 제거되는 재료의 양은 재료, 레이저 파장, 펄스 레이트 및 펄스 길이에 따라 다르다.
이 선택적 제거는 층의 라인, 라인 사이의 재료가 제거된 공간 또는 층의 비아(홀)와 같은 피쳐를 생성할 수 있으며, 레이저 절제에 의해 임의의 패턴이 형성될 수 있음을 알 수 있다. 라인 및 공간이 레이저 절제를 사용하여 형성되는 경우, 라인 및 공간의 폭은 바람직하게는 약 200미크론 미만, 더 바람직하게는 약 1미크론 내지 약 70미크론, 더욱 더 바람직하게는 약 20미크론 내지 약 60미크론이다. 비아가 레이저 절제를 사용하여 형성되는 경우, 형성되는 비아의 지름은 바람직하게는 약 700미크론 미만, 더 바람직하게는 약 1미크론 내지 약 500미크론, 더욱 더 바람직하게는 약 10미크론 내지 약 300미크론이다. 유리하게는, 피쳐의 측벽은 기판의 표면에 실질적으로 수직일 수 있는데, 즉 피쳐의 측벽은 바람직하게는 기판의 표면(또는 존재하는 임의의 중간층의 최상부의 표면)과 약 70° 내지 약 110°의 각도, 더 바람직하게는 기판의 표면과 약 90°의 각도를 이룬다.
층 특성
실시양태에 상관없이, 본원에 기술된 조성물에 의해 형성된 경화층은 열 및 접착 특성이 우수할 것이다. 재료는 유리전이온도(Tg)가 바람직하게는 약 30℃ 내지 약 200℃, 더 바람직하게는 약 150℃ 내지 약 200℃이다. 상기 층은 또한 분해 온도(Td)가 바람직하게는 적어도 약 300℃, 더 바람직하게는 적어도 약 330℃, 더욱 더 바람직하게는 적어도 약 390℃로 열 안정성이 높을 것이다. 또한, 이러한 재료는 CTE(열 팽창 계수)가 바람직하게는 약 45ppm/℃ 내지 약 200ppm/℃이다.
경화층은 인장 연신율이 바람직하게는 적어도 약 4%, 더 바람직하게는 약 120%이고, 또한 낮은 흡습성을 나타낸다. 상기 층은 구리, 크롬, 아연, 알루미늄, 산화규소, 질화규소(SiN)와 같은 재료에 잘 접착할 수 있으며, 접착력은 ASTM D4541-17로 측정했을 때 적어도 약 10psi, 바람직하게는 적어도 약 30psi, 더욱 더 바람직하게는 적어도 약 40psi일 수 있다.
한 실시양태에서, 상기 층은 바람직하게는 감광성이다. 즉, 상기 층은 적어도 약 1mJ/㎠ 방사선에 노출될 때 패턴화될 수 있다. 1mJ/㎠ 방사선에 노출될 때 패턴화될 수 없는 층은 감광성이 없는 것으로 간주된다.
경화된 재료는 유전체 재료로도 사용될 수 있다. 이러한 경우에, 경화층은 유전 상수가 적어도 약 2.0, 바람직하게는 적어도 약 2.7이고, 유전 손실이 약 0.001 내지 약 0.01, 바람직하게는 약 0.002 내지 약 0.008일 것이다. 상술한 바와 같이 레이저 절제 적용에 사용되는 경우, 경화층은 k 값이 바람직하게는 적어도 약 0.1, 더 바람직하게는 적어도 약 0.15이다.
경화된 재료는 (금속 패시베이션 동안을 포함하여) 양호한 내화학성도 나타내는데, 양호한 내화학성은 대략 실온 내지 약 90℃의 온도에서 약 10분 내지 약 30분 동안 재료를 관심 화학 물질(예를 들어, 테트라메틸 암모늄 하이드록사이드(TMAH), PGME, PGMEA, 에틸 락테이트, 사이클로펜타논, 사이클로헥사논)에 침지하여 테스트한다. 양호한 내화학성은 경화된 재료가 육안 검사 시 화학적 공격의 징후를 보이지 않고 두께 손실이 거의 또는 전혀 없을 때, 즉 바람직하게는 10% 미만의 두께 손실, 더 바람직하게는 약 5% 미만의 두께 손실이 있을 때 입증된다. 경화된 재료는 수명이 최종 장치에서 바람직하게는 적어도 5년, 더 바람직하게는 적어도 10년일 것이다.
다양한 실시양태의 추가 이점은 본원의 개시내용 및 아래의 실시예를 검토할 때 당업자에게 명백할 것이다. 본원에 기술된 다양한 실시양태는 본원에서 달리 나타내지 않는 한 반드시 상호 배타적인 것은 아님을 이해할 것이다. 예를 들어, 하나의 실시양태에서 설명되거나 도시된 특징은 다른 실시양태에도 포함될 수 있지만 반드시 포함되는 것은 아니다. 따라서, 본 개시내용은 본원에 기술된 특정 실시양태의 다양한 조합 및/또는 통합을 포함한다.
본원에서 사용된 "및/또는"이라는 문구는 둘 이상의 항목 목록에서 사용될 때 나열된 항목 중 임의의 하나가 그 자체로 사용될 수 있거나 나열된 항목 중 둘 이상의 임의의 조합이 사용될 수 있음을 의미한다. 예를 들어, 조성물이 성분 A, B 및/또는 C를 함유하거나 배제하는 것으로 기술된 경우, 조성물은 A만; B만; C만; A와 B의 조합; A와 C의 조합; B와 C의 조합; 또는 A, B 및 C의 조합을 함유하거나 배제할 수 있다.
본 설명은 또한 다양한 실시양태에 관한 특정 매개변수를 정량화하기 위해 수치 범위를 사용한다. 수치 범위가 제공되는 경우, 이러한 범위는 범위의 하한값만을 인용하는 청구범위 한계는 물론 범위의 상한값만을 인용하는 청구범위 한계에 대한 문자 그대로의 지지를 제공하는 것으로 해석되어야 함을 이해해야 한다. 예를 들어, 개시된 약 10 내지 약 100의 수치 범위는 "약 10 초과"(상한 없음)를 인용하는 청구범위 및 "약 100 미만"(하한 없음)을 인용하는 청구범위에 대한 문자 그대로의 지지를 제공한다.
실시예
하기 실시예는 본 개시내용에 따른 방법을 설명한다. 그러나 이러한 실시예는 예시로서 제공되며, 그 어떤 것도 전체 범위에 대한 제한으로 받아들여져서는 안 된다는 것을 이해해야 한다.
실시예 1
접합 조성물 1
이 실시예에서는 45g의 BMI 1700(Designer Molecules, San Diego, CA)을 55g의 메시틸렌에 용해시켰다. 혼합물이 균질해질 때까지 용액을 교반 휠(stir wheel)에서 혼합하고 0.2-μm 필터로 플라스틱 병으로 여과하였다.
실시예 2
접합 조성물 2
이 과정에서는 45g의 BMI 1700 및 2g의 디큐밀 퍼옥사이드(Sigma-Aldrich, St. Louis, MO)를 53g의 메시틸렌에 용해시켰다. 혼합물이 균질해질 때까지 용액을 교반 휠에서 혼합하고 0.2-μm 필터로 플라스틱 병으로 여과하였다.
실시예 3
접합 조성물 3(비교용)
이 실시예에서는 45g의 Ebecryl 3720(Allnex, East St Louis, IL), 3g의 메타크릴 다면형 올리고머 실세스퀴온산("POSS", Hybrid Plastics, Hattiesburg, MS) 및 1.5g의 디큐밀 퍼옥사이드(Sigma)를 50.5g의 사이클로펜타논에 용해시켰다. 용액을 교반 휠에서 밤새 혼합하고 0.2-μm 필터로 플라스틱 병으로 여과하였다.
실시예 4
실시예 2 조성물의 처리
실시예 2로부터의 재료의 5-μm 코팅을 1,500rpm에서 1,500rpm/s의 램프로 30초 동안 스핀 코팅하여 규소 웨이퍼에 도포하였다. 이어서, 웨이퍼를 60℃에서 2분 동안 베이킹한 다음 120℃에서 2분 동안 베이킹하였다. 유리 웨이퍼를 정렬하고 60℃에서 2,000N의 압력으로 3분 동안 EVG 본더(bonder)를 사용하여 규소 웨이퍼에 접합하였다. 이어서, 재료를 UV 램프(IntelliRay Flood Curing 시스템, i-라인 파장, 램프로부터 3인치에서 강도 115mW/㎠) 하에 2분 동안 경화하고, 이어서 220℃에서 5분 동안 열 경화한 다음 250℃에서 5분 동안 경화하여 보이드가 없는 접합된 웨이퍼 쌍을 얻었다. 접합된 웨이퍼 쌍을 그라인딩 테스트하였으며, 이는 DISCO에 의해 수행되었다. 테스트된 모든 웨이퍼는 도 6에 나타낸 바와 같이 보이드, 결함 또는 가장자리 치핑(edge chipping) 없이 20μm 또는 30μm까지 그라인딩되었다.
실시예 5
실시예 2 조성물의 접착 테스트
실시예 2의 재료를 휴대용 풀오프 접착 테스트 장치(portable, pull-off adhesion tester)를 사용하여 ASTM D4541-17에 따라 테스트하였다. 각 테스트 세트에서 3개의 오류값을 평균하여 접착 데이터를 수집하였다. 표 1은 다양한 기판에 대한 접착 결과를 보여준다.
Figure pct00007
실시예 6
실시예 3 조성물의 처리
실시예 3으로부터의 재료의 5-μm 코팅을 1,300rpm에서 1,500rpm/s의 램프로 30초 동안 스핀 코팅하여 규소 웨이퍼에 도포하였다. 코팅된 웨이퍼를 60℃에서 2분 동안 베이킹한 다음 120℃에서 2분 동안 베이킹하였다. 이어서, 유리 웨이퍼를 정렬하고 60℃에서 3000N의 압력으로 3분 동안 EVG 본더를 사용하여 규소 웨이퍼에 접합하였다. 재료를 230℃에서 30분 동안 경화하여 보이드가 없는 접합된 웨이퍼 쌍을 얻었다. 접합된 웨이퍼 쌍을 그라인딩 테스트하였다. 테스트된 모든 웨이퍼는 도 7에 나타낸 바와 같이 보이드, 결함 또는 가장자리 치핑 없이 20μm 또는 30μm까지 그라인딩되었다.
실시예 7
실시예 3 조성물의 접착 테스트
실시예 3 조성물을 휴대용 풀오프 접착 테스트 장치를 사용하여 ASTM D4541-17에 따라 테스트하였다. 각 테스트 세트에서 3개의 오류값을 평균하여 접착 데이터를 수집하였다. 표 2는 상이한 경화 조건 하에 Si 웨이퍼에 대한 접착 결과를 보여준다.
Figure pct00008
실시예 8
접합 조성물 4
이 실시예에서는 58g의 BMI 3000(Designer Molecules Inc, San Diego, CA), 1.2g의 Irgacure OXE 02(광개시제; BASF, 독일) 및 0.3g의 3-글리시딜옥시프로필트리메톡시 실란(TCI Chemical, 일본)을 100g의 메시틸렌에 용해시켰다. 용액을 교반 휠에서 6시간 동안 혼합하고 0.2-μm 필터로 플라스틱 병으로 여과하였다.
실시예 9
접합 조성물 5
이 과정에서는 58g의 BMI 1700, 1.2g의 Irgacure OXE 02 및 0.3g의 3-글리시딜옥시프로필트리메톡시 실란을 60g의 메시틸렌에 용해시켰다. 용액을 교반 휠에서 6시간 동안 혼합하고 0.2-μm 필터로 플라스틱 병으로 여과하였다.
실시예 10
실시예 8 조성물의 200℃에서의 처리
실시예 8로부터의 재료의 5-μm 코팅을 1,000rpm에서 3,000rpm/s의 램프로 30초 동안 스핀 코팅하여 규소 웨이퍼에 도포하였다. 이어서, 웨이퍼를 60℃에서 5분 동안 베이킹한 다음 120℃에서 5분 동안 베이킹하였다. 코팅된 웨이퍼를 EVG610 마스크 얼라이너(mask aligner)를 사용하여 100mJ/㎠의 노광 선량으로 패턴화한 다음, 사이클로헥사논으로 3분 동안 현상하였다. 이어서, 유리 웨이퍼를 정렬하고 200℃에서 2,000N의 압력으로 5분 동안 CEE® Apogee® 본더를 사용하여 규소 웨이퍼에 접합하여 보이드가 없는 접합된 웨이퍼 쌍을 얻었다. 접합된 웨이퍼 쌍을 도 8에 나타낸 바와 같이 180℃에서 60분 동안 경화하였다.
실시예 11
접합 조성물 6
이 실시예에서는 58g의 BMI 3000, 1.2g의 Irgacure OXE 02 및 0.3g의 3-글리시딜옥시프로필트리메톡시 실란을 60g의 메시틸렌에 용해시켰다. 용액을 교반 휠에서 6시간 동안 혼합하고 0.2-μm 필터로 플라스틱 병으로 여과하였다.
실시예 12
실시예 11로부터의 재료의 150℃에서의 처리
실시예 11로부터의 재료의 5-μm 코팅을 1000rpm에서 3000rpm/s의 램프로 30초 동안 스핀 코팅하여 규소 웨이퍼에 도포하였다. 이어서, 웨이퍼를 60℃에서 5분 동안 베이킹한 다음 120℃에서 5분 동안 베이킹하였다. 이어서, 코팅된 웨이퍼를 EVG610 마스크 얼라이너를 사용하여 200mJ/㎠의 노광 선량으로 패턴화한 후 1분 동안 사이클로헥사논으로 현상하였다. 이어서, 유리 웨이퍼를 정렬하고 150℃에서 8000N의 압력으로 15분 동안 CEE® Apogee® 본더를 사용하여 규소 웨이퍼에 접합하여 보이드가 없는 접합된 웨이퍼 쌍을 얻었다. 접합된 웨이퍼 쌍을 도 9에 나타낸 바와 같이 200℃에서 60분 동안 경화하였다.
실시예 13
실시예 9로부터의 재료의 처리
실시예 9로부터의 재료의 10-μm 코팅을 1000rpm에서 3000rpm/s의 램프로 30초 동안 스핀 코팅하여 규소 웨이퍼에 도포하였다. 이어서, 웨이퍼를 60℃에서 5분 동안 베이킹한 다음 120℃에서 5분 동안 베이킹하였다. 이어서, 코팅된 웨이퍼를 EVG610 마스크 얼라이너를 사용하여 300mJ/㎠의 노광 선량으로 패턴화한 후 5분 동안 사이클로헥사논으로 현상하였다. 이어서, 유리 웨이퍼를 정렬하고 60℃에서 2000N의 압력으로 5분 동안 CEE® Apogee® 본더를 사용하여 규소 웨이퍼에 접합하여 보이드가 없는 접합된 웨이퍼 쌍을 얻었다. 접합된 웨이퍼 쌍을 도 10에 나타낸 바와 같이 180℃에서 60분 동안 경화하였다.
실시예 14
접합 조성물 7
이 실시예에서는 30g의 BMI 3000 및 2.53g의 트리(에틸렌 글리콜) 디비닐 에테르(Sigma, St. Louis)를 30g의 사이클로펜타논 및 7.5g의 메시틸렌에 용해시켰다. 용액을 교반 휠에서 24시간 동안 혼합하고 0.2-μm 필터로 플라스틱 병으로 여과하였다.
실시예 15
실시예 14 조성물의 처리
실시예 14 조성물의 5-μm 코팅을 1,500rpm에서 3,000rpm/s의 램프로 30초 동안 스핀 코팅하여 규소 웨이퍼에 도포하였다. 이어서, 웨이퍼를 60℃에서 5분 동안 베이킹한 다음 120℃에서 5분 동안 베이킹하였다. 이어서, 코팅된 웨이퍼를 UV 램프(IntelliRay Flood Curing 시스템, i-라인 파장, 램프로부터 3인치에서 강도 115mW/㎠)를 사용하여 10초 동안 패턴화한 다음, 1분 동안 사이클로펜타논/이소프로판올(3/1)로 현상하였다. 현상된 웨이퍼를 200℃에서 1분 동안 노출 후 베이킹하였다. 도 11은 패턴화된 웨이퍼의 이미지를 보여준다.
실시예 16
실시예 11 조성물의 처리
실시예 11로부터의 재료의 5-μm 코팅을 700rpm/s에서 3,000rpm/s의 램프로 30초 동안 스핀 코팅하여 200-mm 규소 웨이퍼에 도포하였다. 이어서, 웨이퍼를 60℃에서 5분 동안 베이킹한 다음 120℃에서 15분 동안 베이킹하였다. 이어서, 코팅된 웨이퍼를 SUSS MA300 마스크 얼라이너를 사용하여 200mJ/㎠의 노광 선량으로 패턴화한 다음, 사이클로헥사논으로 2분 동안 현상하였다. 이어서, 웨이퍼를 200℃에서 60분 동안 베이킹하여 접합 재료를 완전히 경화하였다. 코팅된 웨이퍼를 더미(dummy) 10-mm × 10-mm 다이를 사용하여 100℃에서 10초 동안 10N 내지 50N 범위의 접합력으로 다이 접합시켰다. 20N 초과의 접합력 사용으로 100% 수율(즉, 제로 실패(zero failure))을 얻었다. 접합된 다이는 도 12에 나와 있는데, 여기서 "C2W"는 "칩-투-웨이퍼"의 약어이고 "약간 당긴 후"는 다이가 웨이퍼에 접합되어 있는 동안 고정된 상태를 유지하고 가벼운 손가락 압력으로 이동하거나 제거되지 않는 능력을 지칭한다.
실시예 17
실시예 11 조성물의 접합 강도
실시예 12로부터의 접합된 웨이퍼 쌍의 가장자리에 면도날을 삽입한 다음, 생성된 크랙 길이를 측정하였다. 면도날 두께(h), 규소 웨이퍼의 영률(E), 규소 웨이퍼 두께(t) 및 측정된 균열 길이(L)에 기초하여, 실시예 11 조성물의 접합 에너지(BE - 표 3 참조)를 Maszara 모델을 기반으로 계산하였다.
Figure pct00009
Figure pct00010

Claims (47)

  1. 다음 단계를 포함하는, 마이크로전자 구조물(microelectronic structure)을 형성하는 방법:
    후면 및 전면을 갖는 기판을 제공하는 단계로서, 상기 기판은 선택적으로 상기 전면 상에 하나 이상의 중간층을 포함하는 단계;
    용매 시스템에 분산되거나 용해된 비스말레이미드(bismaleimide)를 포함하는 조성물을 상기 전면에 또는, 존재하는 경우, 상기 하나 이상의 중간층에 도포하여 접합층(bonding layer)을 형성하는 단계; 및
    하기 (A), (B) 또는 (C) 중 적어도 하나를 수행하는 단계:
    (A) 다이(die), 또는 적어도 하나의 다이를 포함하는 웨이퍼(wafer)를 상기 접합층에 부착하는 단계;
    (B) 상기 접합층 상에 포토레지스트 층(photoresist layer)을 형성하는 단계;
    상기 포토레지스트 층에 패턴을 형성하는 단계; 및
    상기 패턴을 상기 접합층에 전사(transferring)하여 패턴화된 접합층을 형성하는 단계; 또는
    (C) 접합층의 적어도 일부를 제거하기 위해 접합층을 레이저 에너지에 노출시키는 단계.
  2. 제1항에 있어서, 상기 비스말레이미드가 (Ⅰ) 및 (Ⅱ), (Ⅱ) 및 (Ⅲ), (Ⅰ) 및 (Ⅲ), 또는 (Ⅰ), (Ⅱ) 및 (Ⅲ)으로부터 선택된 모이어티(moiety)를 포함하는 방법:
    Figure pct00011
  3. 제2항에 있어서, 상기 비스말레이미드가 1 내지 약 15개의 상기 모이어티를 포함하는 방법.
  4. 제1항 또는 제3항에 있어서, 상기 조성물이 공단량체(comonomer), 가교결합제, 개시제, 계면활성제, 습윤제, 접착 촉진제, 염료, 안료(pigment), 공중합체 및 이들의 혼합물로부터 선택된 화합물을 추가로 포함하는 방법.
  5. 제4항에 있어서, 상기 조성물이 트리(에틸렌 글리콜) 디비닐 에테르, 1,4-부탄디올 디비닐 에테르, 1,4-사이클로헥산디메탄올 디비닐 에테르, 디(에틸렌 글리콜) 디비닐 에테르, 폴리(에틸렌 글리콜) 디비닐 에테르, 디비닐 아디페이트, 비닐 에테르 가교결합제, 1H-피롤-2,5-디온, 1,1'-C36-알킬렌비스- 및 이들의 혼합물로부터 선택된 공단량체를 포함하는 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 조성물이 본질적으로 다음으로 이루어지는 방법:
    상기 비스말레이미드;
    개시제, 공단량체 및/또는 접착 촉진제 중 적어도 하나; 및
    상기 용매 시스템.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 수행 단계가 (B)를 수행하는 단계를 포함하고,
    다이를 상기 패턴화된 접합층 상에 또는 내에 두는 단계; 또는
    적어도 하나의 다이를 포함하는 웨이퍼를 상기 패턴화된 접합층 상에 두는 단계를 추가로 포함하는 방법.
  8. 다음을 포함하는 마이크로전자 구조물:
    표면을 갖는 마이크로전자 기판;
    선택적으로, 상기 기판 표면 상의 하나 이상의 중간층으로서, 하나 이상의 중간층이 존재하는 경우, 상기 기판 표면 상에 최상부 중간층(uppermost intermediate layer)이 존재하는, 하나 이상의 중간층;
    존재하는 경우, 상기 최상부 중간층 상에 있거나, 중간층이 존재하지 않는 경우, 상기 기판 표면 상에 있는 접합층으로서, 비스말레이미드 또는 가교결합된 비스말레이미드 중 적어도 하나를 포함하는 접합층; 및
    다음 중 적어도 하나:
    (A) 상기 접합층 상의 또는 내의 다이
    (B) 상기 접합층 상의 적어도 하나의 다이를 포함하는 웨이퍼;
    (C) 상기 접합층 상의 패턴화된 포토레지스트 층; 또는
    (D) 상기 접합층 상의 캐리어 웨이퍼(carrier wafer).
  9. 제8항에 있어서, 상기 비스말레이미드가 (Ⅰ) 및 (Ⅱ), (Ⅱ) 및 (Ⅲ), (Ⅰ) 및 (Ⅲ), 또는 (Ⅰ), (Ⅱ) 및 (Ⅲ)으로부터 선택된 모이어티를 포함하는 구조물:
    Figure pct00012
  10. 제9항에 있어서, 상기 비스말레이미드가 1 내지 약 15개의 상기 모이어티를 포함하는 구조물.
  11. 제8항 내지 제10항 중 어느 한 항에 있어서, 상기 접합층이 공단량체, 가교결합제 또는 공중합체 중 적어도 하나를 추가로 포함하는 구조물.
  12. 제11항에 있어서, 상기 접합층이 트리(에틸렌 글리콜) 디비닐 에테르, 1,4-부탄디올 디비닐 에테르, 1,4-사이클로헥산디메탄올 디비닐 에테르, 디(에틸렌 글리콜) 디비닐 에테르, 폴리(에틸렌 글리콜) 디비닐 에테르, 디비닐 아디페이트, 비닐 에테르 가교결합제, 1H-피롤-2,5-디온, 1,1'-C36-알킬렌비스- 및 이들의 혼합물로부터 선택된 공단량체를 포함하는 구조물.
  13. 제11항 또는 제12항에 있어서, 상기 공단량체가 상기 비스말레이미드와 반응하는 구조물.
  14. 제8항 내지 제13항 중 어느 한 항에 있어서, 상기 접합층이 본질적으로 다음으로 이루어지는 구조물:
    상기 비스말레이미드 또는 상기 가교결합된 비스말레이미드 중 하나 또는 둘 모두; 및
    공단량체 및/또는 공중합체 중 적어도 하나.
  15. 제8항 내지 제14항 중 어느 한 항에 있어서, 상기 마이크로전자 기판이 규소 기판, 알루미늄 기판, 텅스텐 기판, 규화텅스텐 기판, 비소화갈륨 기판, 게르마늄 기판, 탄탈륨 기판, 아질산탄탈륨 기판, 규소 게르마늄 기판, 유리 기판, 구리 기판, 크롬 기판, 아연 기판, 산화규소 기판, 질화규소 기판 및 이들의 조합으로 이루어진 군으로부터 선택되는 구조물.
  16. 제8항 내지 제15항 중 어느 한 항에 있어서, 상기 구조물이 (D)를 포함하고, 상기 캐리어 웨이퍼가 유리 기판을 포함하는 구조물.
  17. 다음을 포함하는 가접합 방법(temporary bonding method):
    후면 및 전면을 갖는 제1 기판으로서, 선택적으로 상기 전면 상에 하나 이상의 중간층을 포함하는 제1 기판;
    상기 전면 상의, 또는 존재하는 경우, 상기 하나 이상의 중간층 상의 접합층으로서, 비스말레이미드 또는 가교결합된 비스말레이미드 중 하나 또는 둘 모두를 포함하는 접합층; 및
    제1 표면을 갖는 제2 기판으로서, 상기 접합층이 상기 제1 표면 상에 있는 제2 기판을 포함하는 스택(stack)을 제공하는 단계; 및
    상기 제1 기판과 제2 기판의 분리를 용이하게 하기 위해 상기 접합층을 레이저 또는 다른 에너지에 노출시키는 단계.
  18. 제17항에 있어서, 상기 비스말레이미드가 (Ⅰ) 및 (Ⅱ), (Ⅱ) 및 (Ⅲ), (Ⅰ) 및 (Ⅲ), 또는 (Ⅰ), (Ⅱ) 및 (Ⅲ)으로부터 선택된 모이어티를 포함하는 방법:
    Figure pct00013
  19. 제18항에 있어서, 상기 비스말레이미드가 1 내지 약 15개의 상기 모이어티를 포함하는 방법.
  20. 제17항 내지 제19항 중 어느 한 항에 있어서, 상기 접합층이 공단량체, 가교결합제 또는 공중합체 중 적어도 하나를 추가로 포함하는 방법.
  21. 제20항에 있어서, 상기 접합층이 트리(에틸렌 글리콜) 디비닐 에테르, 1,4-부탄디올 디비닐 에테르, 1,4-사이클로헥산디메탄올 디비닐 에테르, 디(에틸렌 글리콜) 디비닐 에테르, 폴리(에틸렌 글리콜) 디비닐 에테르, 디비닐 아디페이트, 비닐 에테르 가교결합제, 1H-피롤-2,5-디온, 1,1'-C36-알킬렌비스- 및 이들의 혼합물로부터 선택된 공단량체를 포함하는 방법.
  22. 제20항 또는 제21항에 있어서, 상기 공단량체가 상기 비스말레이미드와 반응하는 방법.
  23. 제17항 내지 제22항 중 어느 한 항에 있어서, 상기 조성물이 본질적으로 다음으로 이루어지는 방법:
    상기 비스말레이미드 또는 상기 가교결합된 비스말레이미드 중 하나 또는 둘 모두; 및
    공단량체 및/또는 공중합체 중 적어도 하나.
  24. 제17항 내지 제23항 중 어느 한 항에 있어서, 상기 제1 기판 및 제2 기판 중 적어도 하나가 규소 기판, 알루미늄 기판, 텅스텐 기판, 규화텅스텐 기판, 비소화갈륨 기판, 게르마늄 기판, 탄탈륨 기판, 아질산탄탈륨 기판, 규소 게르마늄 기판, 유리 기판, 구리 기판, 크롬 기판, 아연 기판, 산화규소 기판, 질화규소 기판 및 이들의 조합으로 이루어진 군으로부터 선택되는 방법.
  25. 제17항 내지 제24항 중 어느 한 항에 있어서, 상기 제1 기판 및 제2 기판 중 하나가 디바이스 웨이퍼(device wafer)이고, 상기 제1 기판 및 제2 기판 중 다른 하나가 캐리어 웨이퍼인 방법.
  26. 접합 방법(bonding method)으로서,
    a) 상부 표면(upper surface)을 갖는 제1 기판을 제공하는 단계로서, 상기 상부 표면 상에 또는 내에 형성된 패드(pad), 기둥(pillar), 마이크로범프(microbump) 또는 이들의 조합으로부터 선택된 제1 피쳐(feature) 세트가 존재하는 단계;
    b) 상기 제1 피쳐 세트의 적어도 일부를 덮고 접합층을 형성하기 위해 상기 상부 표면에 감광성 조성물(photosensitive composition)을 도포하는 단계로서, 상기 조성물은 용매 시스템에 분산되거나 용해된 화합물을 포함하는 단계;
    c) 상기 제1 피쳐 세트의 적어도 일부를 드러내기 위해 상기 접합층의 일부를 제거하여 패턴화된 접합층을 생성하는 단계;
    d) 상기 패턴화된 접합층을 에너지에 노출시키는 단계; 및
    e) 상기 제1 기판에 제2 기판을 접합하는 단계로서, 상기 제2 기판은 패턴화된 접합층 내에 수용되도록 구성된 패턴을 갖는 제2 피쳐 세트를 포함하여 상기 제1 피쳐 세트의 적어도 일부가 상기 제2 피쳐 세트의 적어도 일부와 접촉하는 단계를 포함하고, 노출 단계(d)가 접합 단계(e) 전에 수행될 수 있거나 접합 단계(e)가 노출 단계(d) 전에 수행될 수 있는 방법.
  27. 제26항에 있어서, 상기 제거 단계(c)가
    상기 접합층의 일부를 방사선에 선택적으로 노출시켜 노출된 부분을 현상액(developer)에 불용성으로 만드는 단계; 및
    상기 피쳐의 적어도 일부를 드러내기 위해 현상액으로 상기 노출된 부분을 제거하는 단계를 포함하는 방법.
  28. 제26항 또는 제27항에 있어서, 상기 노출 단계(d)가 상기 패턴화된 접합층을 열 또는 UV 광 중 하나 또는 둘 모두에 노출시키는 단계를 포함하는 방법.
  29. 제26항 내지 제28항 중 어느 한 항에 있어서, 상기 제1 피쳐 세트의 피치(pitch)가 약 40μm 미만인 방법.
  30. 제26항 내지 제29항 중 어느 한 항에 있어서, 상기 도포 단계(b)가 상기 제1 피쳐 세트 모두를 덮는 상기 접합층을 생성하는 방법.
  31. 제26항 내지 제30항 중 어느 한 항에 있어서,
    상기 제1 기판 및 상기 제2 기판이 칩(chip)을 포함하거나;
    상기 제1 기판 및 상기 제2 기판이 웨이퍼를 포함하거나;
    상기 제1 기판이 웨이퍼를 포함하고 상기 제2 기판이 칩을 포함하는 방법.
  32. 제26항 내지 제31항 중 어느 한 항에 있어서, 상기 제거 단계(c) 전에 상기 접합층에 추가 층이 도포되지 않는 방법.
  33. 제26항 내지 제32항 중 어느 한 항에 있어서, 상기 화합물이 비스말레이미드를 포함하는 방법.
  34. 제33항에 있어서, 상기 비스말레이미드가 (Ⅰ) 및 (Ⅱ), (Ⅱ) 및 (Ⅲ), (Ⅰ) 및 (Ⅲ), 또는 (Ⅰ), (Ⅱ) 및 (Ⅲ)으로부터 선택된 모이어티를 포함하는 방법:
    Figure pct00014
  35. 제34항에 있어서, 상기 비스말레이미드가 1 내지 약 15개의 상기 모이어티를 포함하는 방법.
  36. 제26항 내지 제35항 중 어느 한 항에 있어서, 상기 조성물이 공단량체, 가교결합제, 개시제, 계면활성제, 습윤제, 접착 촉진제, 염료, 안료, 공중합체 및 이들의 혼합물로부터 선택된 화합물을 추가로 포함하는 방법.
  37. 제36항에 있어서, 상기 조성물이 트리(에틸렌 글리콜) 디비닐 에테르, 1,4-부탄디올 디비닐 에테르, 1,4-사이클로헥산디메탄올 디비닐 에테르, 디(에틸렌 글리콜) 디비닐 에테르, 폴리(에틸렌 글리콜) 디비닐 에테르, 디비닐 아디페이트, 비닐 에테르 가교결합제, 1H-피롤-2,5-디온, 1,1'-C36-알킬렌비스- 및 이들의 혼합물로부터 선택된 공단량체를 포함하는 방법.
  38. 제33항 내지 제35항 중 어느 한 항에 있어서, 상기 조성물이 본질적으로 다음으로 이루어지는 방법:
    상기 비스말레이미드;
    개시제, 공단량체 및/또는 접착 촉진제 중 적어도 하나; 및
    상기 용매 시스템.
  39. 다음을 포함하는 마이크로전자 구조물:
    상부 표면을 갖는 제1 기판으로서,
    상기 상부 표면 내에 또는 상에 형성된 기둥, 마이크로범프, 또는 기둥과 마이크로범프 둘 모두로부터 선택된 제1 피쳐 세트; 및
    상기 제1 피쳐 세트 사이의 갭(gap)이 있는 제1 기판;
    상기 갭 내의 접합층으로서, 비스말레이미드 또는 가교결합된 비스말레이미드 중 적어도 하나를 포함하는 접합층; 및
    상기 제1 기판에 접합된, 상부 표면을 갖는 제2 기판으로서, 상기 상부 표면은 상기 제2 기판의 상기 상부 표면 상에 또는 내에 형성된 기둥, 마이크로범프, 또는 기둥과 마이크로범프 둘 모두로부터 선택된 제2 피쳐 세트를 포함하고, 상기 제2 피쳐 세트의 적어도 일부는 상기 제1 피쳐 세트의 적어도 일부와 접촉하는 제2 기판.
  40. 제39항에 있어서, 상기 제1 피쳐 세트가 약 40μm 미만의 피치를 갖는 구조물.
  41. 제39항 또는 제40항에 있어서,
    상기 제1 기판 및 상기 제2 기판이 칩을 포함하거나;
    상기 제1 기판 및 상기 제2 기판이 웨이퍼를 포함하거나;
    상기 제1 기판이 웨이퍼를 포함하고 상기 제2 기판이 칩을 포함하는 구조물.
  42. 제38항 내지 제41항 중 어느 한 항에 있어서, 상기 비스말레이미드가 (Ⅰ) 및 (Ⅱ), (Ⅱ) 및 (Ⅲ), (Ⅰ) 및 (Ⅲ), 또는 (Ⅰ), (Ⅱ) 및 (Ⅲ)으로부터 선택된 모이어티를 포함하는 구조물:
    Figure pct00015
  43. 제42항에 있어서, 상기 비스말레이미드가 1 내지 약 15개의 상기 모이어티를 포함하는 구조물.
  44. 제39항 내지 제43항 중 어느 한 항에 있어서, 상기 접합층이 공단량체, 가교결합제 또는 공중합체 중 적어도 하나를 추가로 포함하는 구조물.
  45. 제44항에 있어서, 상기 접합층이 트리(에틸렌 글리콜) 디비닐 에테르, 1,4-부탄디올 디비닐 에테르, 1,4-사이클로헥산디메탄올 디비닐 에테르, 디(에틸렌 글리콜) 디비닐 에테르, 폴리(에틸렌 글리콜) 디비닐 에테르, 디비닐 아디페이트, 비닐 에테르 가교결합제, 1H-피롤-2,5-디온, 1,1'-C36-알킬렌비스- 및 이들의 혼합물로부터 선택된 공단량체를 포함하는 구조물.
  46. 제44항 또는 제45항에 있어서, 상기 공단량체가 상기 비스말레이미드와 반응하는 구조물.
  47. 제39항 내지 제46항 중 어느 한 항에 있어서, 상기 접합층이 본질적으로 다음으로 이루어지는 구조물:
    상기 비스말레이미드 또는 상기 가교결합된 비스말레이미드 중 하나 또는 둘 모두; 및
    공단량체 및/또는 공중합체 중 적어도 하나.




KR1020237006998A 2020-08-14 2021-08-16 영구 접합 및 패터닝 재료 KR20230051202A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202063065727P 2020-08-14 2020-08-14
US63/065,727 2020-08-14
PCT/US2021/046102 WO2022036307A1 (en) 2020-08-14 2021-08-16 Permanent bonding and patterning material

Publications (1)

Publication Number Publication Date
KR20230051202A true KR20230051202A (ko) 2023-04-17

Family

ID=80222708

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020237006998A KR20230051202A (ko) 2020-08-14 2021-08-16 영구 접합 및 패터닝 재료

Country Status (7)

Country Link
US (1) US20220049095A1 (ko)
EP (1) EP4197028A1 (ko)
JP (1) JP2023537612A (ko)
KR (1) KR20230051202A (ko)
CN (1) CN116018675A (ko)
TW (1) TW202219231A (ko)
WO (1) WO2022036307A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117706869B (zh) * 2024-02-04 2024-05-14 微纳动力(北京)科技有限责任公司 基于两步原位光刻实现微流控芯片键合的方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005090510A1 (ja) * 2004-03-19 2005-09-29 Sumitomo Bakelite Company, Ltd. 樹脂組成物及び該樹脂組成物を使用して作製した半導体装置
WO2006137257A1 (ja) * 2005-06-20 2006-12-28 Toppan Printing Co., Ltd. 着色アルカリ現像型感光性樹脂組成物、及び該着色アルカリ現像型感光性樹脂組成物を用いたカラーフィルタ
JP4652197B2 (ja) * 2005-09-29 2011-03-16 富士フイルム株式会社 染料含有ネガ型硬化性組成物、カラーフィルタ及びその製造方法
US20070248913A1 (en) * 2006-04-24 2007-10-25 Rahman M Dalil Process for producing film forming resins for photoresist compositions
JPWO2008153082A1 (ja) * 2007-06-12 2010-08-26 住友ベークライト株式会社 樹脂組成物、埋め込み材、絶縁層および半導体装置
WO2009003029A2 (en) * 2007-06-25 2008-12-31 Brewer Science Inc. High-temperature spin-on temporary bonding compositions
CN103351829A (zh) * 2007-09-05 2013-10-16 日立化成株式会社 粘接剂以及使用该粘接剂的连接结构体
KR101332306B1 (ko) * 2012-03-30 2013-11-22 한국기계연구원 프리스탠딩 나노 박막 제조방법
US9127126B2 (en) * 2012-04-30 2015-09-08 Brewer Science Inc. Development of high-viscosity bonding layer through in-situ polymer chain extension
US9324601B1 (en) * 2014-11-07 2016-04-26 International Business Machines Corporation Low temperature adhesive resins for wafer bonding
US10319701B2 (en) * 2015-01-07 2019-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded 3D integrated circuit (3DIC) structure
JP6744859B2 (ja) * 2015-03-31 2020-08-19 ナミックス株式会社 樹脂組成物、導電性樹脂組成物、接着剤、導電性接着剤、電極形成用ペースト、半導体装置
CN108699411B (zh) * 2016-02-04 2021-03-26 苏州润邦半导体材料科技有限公司 可脱粘粘合剂及其高温用途
CN111556807B (zh) * 2017-12-22 2023-09-08 布鲁尔科技公司 用于3d ic应用的可激光释放粘结材料

Also Published As

Publication number Publication date
CN116018675A (zh) 2023-04-25
TW202219231A (zh) 2022-05-16
US20220049095A1 (en) 2022-02-17
JP2023537612A (ja) 2023-09-04
EP4197028A1 (en) 2023-06-21
WO2022036307A1 (en) 2022-02-17

Similar Documents

Publication Publication Date Title
KR102404034B1 (ko) 3차원 ic 적용용 레이저 박리 재료로서의 폴리이미드
US10103048B2 (en) Dual-layer bonding material process for temporary bonding of microelectronic substrates to carrier substrates
CN107203099B (zh) 半导体器件及其制造方法
CN104022016B (zh) 薄晶片处理的多粘合层
JP7362612B2 (ja) 3-d ic用途用レーザー離型性接着材料
CN110660650B (zh) 半导体器件及其制造方法
US9696623B2 (en) Photosensitive compositions and applications thereof
KR20230051202A (ko) 영구 접합 및 패터닝 재료
CN113782499A (zh) 半导体器件的制造方法
US8361901B2 (en) Die bonding utilizing a patterned adhesion layer
Zussman et al. Using permanent and temporary polyimide adhesives in 3D-TSV processing to avoid thin wafer handling
US20210033975A1 (en) Permanent bonding and patterning material
Fukushima et al. 3-D sidewall interconnect formation climbing over self-assembled KGDs for large-area heterogeneous integration
TWI790664B (zh) 半導體元件及製造方法
TWI836316B (zh) 製造半導體器件的方法及光阻
Jones et al. Advancements, Versatility, and Flexibility of Dual-Layer Material System for Advanced Packaging Applications
Kim et al. Patterned Permanent Bonding of Benzocyclobutene Based Dielectric Materials for Advanced Wafer Level Packaging
JP2020068250A (ja) 半導体装置製造方法および半導体装置
Pelzer et al. Wafer-scale BCB resist-processing technologies for high density integration and electronic packaging
Fowler et al. A Novel Photosensitive Permanent Bonding Material Designed for Polymer/Metal Hybrid Bonding Applications